2013-10-27 03:33:47 -05:00
|
|
|
/*
|
|
|
|
* yosys -- Yosys Open SYnthesis Suite
|
|
|
|
*
|
|
|
|
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
|
2019-07-09 16:28:54 -05:00
|
|
|
* (C) 2019 Eddie Hung <eddie@fpgeh.com>
|
2015-07-02 04:14:30 -05:00
|
|
|
*
|
2013-10-27 03:33:47 -05:00
|
|
|
* Permission to use, copy, modify, and/or distribute this software for any
|
|
|
|
* purpose with or without fee is hereby granted, provided that the above
|
|
|
|
* copyright notice and this permission notice appear in all copies.
|
2015-07-02 04:14:30 -05:00
|
|
|
*
|
2013-10-27 03:33:47 -05:00
|
|
|
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
|
|
|
|
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
|
|
|
|
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
|
|
|
|
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
|
|
|
|
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
|
|
|
|
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
|
|
|
|
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
|
|
|
|
*
|
|
|
|
*/
|
|
|
|
|
|
|
|
#include "kernel/register.h"
|
|
|
|
#include "kernel/celltypes.h"
|
|
|
|
#include "kernel/rtlil.h"
|
|
|
|
#include "kernel/log.h"
|
|
|
|
|
2014-09-27 09:17:53 -05:00
|
|
|
USING_YOSYS_NAMESPACE
|
|
|
|
PRIVATE_NAMESPACE_BEGIN
|
|
|
|
|
2019-07-10 14:47:48 -05:00
|
|
|
#define XC7_WIRE_DELAY 300 // Number with which ABC will map a 6-input gate
|
|
|
|
// to one LUT6 (instead of a LUT5 + LUT2)
|
2019-06-14 13:38:22 -05:00
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
struct SynthXilinxPass : public ScriptPass
|
2013-10-27 03:33:47 -05:00
|
|
|
{
|
2019-04-26 16:32:18 -05:00
|
|
|
SynthXilinxPass() : ScriptPass("synth_xilinx", "synthesis for Xilinx FPGAs") { }
|
2018-04-18 18:48:05 -05:00
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void help() YS_OVERRIDE
|
2013-10-27 03:33:47 -05:00
|
|
|
{
|
|
|
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
|
|
|
log("\n");
|
|
|
|
log(" synth_xilinx [options]\n");
|
|
|
|
log("\n");
|
|
|
|
log("This command runs synthesis for Xilinx FPGAs. This command does not operate on\n");
|
2015-01-13 06:20:32 -06:00
|
|
|
log("partly selected designs. At the moment this command creates netlists that are\n");
|
2015-02-01 16:06:44 -06:00
|
|
|
log("compatible with 7-Series Xilinx devices.\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -top <module>\n");
|
2015-04-04 12:00:15 -05:00
|
|
|
log(" use the specified module as top module\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log("\n");
|
synth_xilinx: Merge blackbox primitive libraries.
First, there are no longer separate cell libraries for xc6s/xc7/xcu.
Manually instantiating a primitive for a "wrong" family will result
in yosys passing it straight through to the output, and it will be
either upgraded or rejected by the P&R tool.
Second, the blackbox library is expanded to cover many more families:
everything from Spartan 3 up is included. Primitives for Virtex and
Virtex 2 are listed in the Python file as well if we ever want to
include them, but that would require having two different ISE versions
(10.1 and 14.7) available when running cells_xtra.py, and so is probably
more trouble than it's worth.
Third, the blockram blackboxes are no longer in separate files — there
is no practical reason to do so (from synthesis PoV, they are no
different from any other cells_xtra blackbox), and they needlessly
complicated the flow (among other things, merging them allows the user
to use eg. Series 7 primitives and have them auto-upgraded to
Ultrascale).
Last, since xc5v logic synthesis appears to work reasonably well
(the only major problem is lack of blockram inference support), xc5v is
now an accepted setting for the -family option.
2019-11-01 09:00:15 -05:00
|
|
|
log(" -family {xcup|xcu|xc7|xc6v|xc5v|xc6s}\n");
|
2019-05-07 08:04:36 -05:00
|
|
|
log(" run synthesis for the specified Xilinx architecture\n");
|
2019-06-27 13:20:15 -05:00
|
|
|
log(" generate the synthesis netlist for the specified family.\n");
|
2019-05-07 08:04:36 -05:00
|
|
|
log(" default: xc7\n");
|
|
|
|
log("\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log(" -edif <file>\n");
|
|
|
|
log(" write the design to the specified edif file. writing of an output file\n");
|
|
|
|
log(" is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
2018-04-18 18:48:05 -05:00
|
|
|
log(" -blif <file>\n");
|
|
|
|
log(" write the design to the specified BLIF file. writing of an output file\n");
|
|
|
|
log(" is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -vpr\n");
|
|
|
|
log(" generate an output netlist (and BLIF file) suitable for VPR\n");
|
|
|
|
log(" (this feature is experimental and incomplete)\n");
|
|
|
|
log("\n");
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
log(" -ise\n");
|
2019-08-13 13:05:49 -05:00
|
|
|
log(" generate an output netlist suitable for ISE (enables -iopad)\n");
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
log("\n");
|
2019-03-01 16:35:14 -06:00
|
|
|
log(" -nobram\n");
|
2019-07-18 16:20:43 -05:00
|
|
|
log(" do not use block RAM cells in output netlist\n");
|
2019-03-01 13:21:07 -06:00
|
|
|
log("\n");
|
2019-07-18 16:20:43 -05:00
|
|
|
log(" -nolutram\n");
|
|
|
|
log(" do not use distributed RAM cells in output netlist\n");
|
2019-03-21 17:04:44 -05:00
|
|
|
log("\n");
|
2019-04-03 10:28:07 -05:00
|
|
|
log(" -nosrl\n");
|
2019-07-18 16:20:43 -05:00
|
|
|
log(" do not use distributed SRL cells in output netlist\n");
|
2019-03-01 13:21:07 -06:00
|
|
|
log("\n");
|
2019-04-30 05:54:21 -05:00
|
|
|
log(" -nocarry\n");
|
2019-06-26 11:33:38 -05:00
|
|
|
log(" do not use XORCY/MUXCY/CARRY4 cells in output netlist\n");
|
2019-04-30 05:54:21 -05:00
|
|
|
log("\n");
|
2019-06-26 11:33:38 -05:00
|
|
|
log(" -nowidelut\n");
|
|
|
|
log(" do not use MUXF[78] resources to implement LUTs larger than LUT6s\n");
|
2019-04-30 05:54:21 -05:00
|
|
|
log("\n");
|
2019-07-08 13:15:25 -05:00
|
|
|
log(" -nodsp\n");
|
|
|
|
log(" do not use DSP48E1s to implement multipliers and associated logic\n");
|
2019-09-11 15:06:59 -05:00
|
|
|
log("\n");
|
2019-08-12 19:35:54 -05:00
|
|
|
log(" -iopad\n");
|
|
|
|
log(" enable I/O buffer insertion (selected automatically by -ise)\n");
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
log("\n");
|
2019-08-12 19:35:54 -05:00
|
|
|
log(" -noiopad\n");
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
log(" disable I/O buffer insertion (only useful with -ise)\n");
|
|
|
|
log("\n");
|
2019-08-12 19:35:54 -05:00
|
|
|
log(" -noclkbuf\n");
|
|
|
|
log(" disable automatic clock buffer insertion\n");
|
|
|
|
log("\n");
|
2019-10-18 08:02:57 -05:00
|
|
|
log(" -uram\n");
|
|
|
|
log(" infer URAM288s for large memories (xcup only)\n");
|
|
|
|
log("\n");
|
2019-06-26 11:16:45 -05:00
|
|
|
log(" -widemux <int>\n");
|
2019-07-08 19:06:22 -05:00
|
|
|
log(" enable inference of hard multiplexer resources (MUXF[78]) for muxes at or\n");
|
2019-07-08 19:04:39 -05:00
|
|
|
log(" above this number of inputs (minimum value 2, recommended value >= 5).\n");
|
2019-06-24 12:04:01 -05:00
|
|
|
log(" default: 0 (no inference)\n");
|
2019-06-14 14:50:24 -05:00
|
|
|
log("\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log(" -run <from_label>:<to_label>\n");
|
|
|
|
log(" only run the commands between the labels (see below). an empty\n");
|
|
|
|
log(" from label is synonymous to 'begin', and empty to label is\n");
|
|
|
|
log(" synonymous to the end of the command list.\n");
|
|
|
|
log("\n");
|
2015-01-17 13:47:18 -06:00
|
|
|
log(" -flatten\n");
|
|
|
|
log(" flatten design before synthesis\n");
|
|
|
|
log("\n");
|
2019-12-30 16:13:16 -06:00
|
|
|
log(" -dff\n");
|
|
|
|
log(" run 'abc9' with -dff option\n");
|
|
|
|
log("\n");
|
2015-01-17 13:47:18 -06:00
|
|
|
log(" -retime\n");
|
|
|
|
log(" run 'abc' with -dff option\n");
|
|
|
|
log("\n");
|
2019-04-09 12:06:44 -05:00
|
|
|
log(" -abc9\n");
|
2019-06-14 12:32:46 -05:00
|
|
|
log(" use new ABC9 flow (EXPERIMENTAL)\n");
|
2019-04-09 12:06:44 -05:00
|
|
|
log("\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log("\n");
|
|
|
|
log("The following commands are executed by this synthesis command:\n");
|
2019-04-26 16:32:18 -05:00
|
|
|
help_script();
|
2018-04-18 18:48:05 -05:00
|
|
|
log("\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
2019-04-26 16:32:18 -05:00
|
|
|
|
2019-06-27 13:20:15 -05:00
|
|
|
std::string top_opt, edif_file, blif_file, family;
|
2019-12-30 16:13:16 -06:00
|
|
|
bool flatten, retime, vpr, ise, iopad, noiopad, noclkbuf, nobram, nolutram, nosrl, nocarry, nowidelut, nodsp, uram;
|
|
|
|
bool abc9, dff_mode;
|
2019-08-23 18:41:32 -05:00
|
|
|
bool flatten_before_abc;
|
2019-06-26 11:16:45 -05:00
|
|
|
int widemux;
|
2019-04-26 16:32:18 -05:00
|
|
|
|
|
|
|
void clear_flags() YS_OVERRIDE
|
|
|
|
{
|
|
|
|
top_opt = "-auto-top";
|
|
|
|
edif_file.clear();
|
|
|
|
blif_file.clear();
|
2019-06-27 13:20:15 -05:00
|
|
|
family = "xc7";
|
2019-04-26 16:32:18 -05:00
|
|
|
flatten = false;
|
|
|
|
retime = false;
|
|
|
|
vpr = false;
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
ise = false;
|
2019-08-12 19:35:54 -05:00
|
|
|
iopad = false;
|
|
|
|
noiopad = false;
|
|
|
|
noclkbuf = false;
|
2019-06-12 10:50:39 -05:00
|
|
|
nocarry = false;
|
2019-04-26 16:32:18 -05:00
|
|
|
nobram = false;
|
2019-07-18 16:20:43 -05:00
|
|
|
nolutram = false;
|
2019-04-26 16:32:18 -05:00
|
|
|
nosrl = false;
|
2019-06-26 11:33:38 -05:00
|
|
|
nocarry = false;
|
|
|
|
nowidelut = false;
|
2019-07-08 13:15:25 -05:00
|
|
|
nodsp = false;
|
2019-10-18 08:02:57 -05:00
|
|
|
uram = false;
|
2019-06-26 12:23:29 -05:00
|
|
|
abc9 = false;
|
2019-12-30 16:13:16 -06:00
|
|
|
dff_mode = false;
|
2019-08-23 18:41:32 -05:00
|
|
|
flatten_before_abc = false;
|
2019-06-26 11:16:45 -05:00
|
|
|
widemux = 0;
|
2019-04-26 16:32:18 -05:00
|
|
|
}
|
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
|
2013-10-27 03:33:47 -05:00
|
|
|
{
|
|
|
|
std::string run_from, run_to;
|
2019-04-26 16:32:18 -05:00
|
|
|
clear_flags();
|
2013-10-27 03:33:47 -05:00
|
|
|
|
|
|
|
size_t argidx;
|
|
|
|
for (argidx = 1; argidx < args.size(); argidx++)
|
|
|
|
{
|
|
|
|
if (args[argidx] == "-top" && argidx+1 < args.size()) {
|
2015-04-04 12:00:15 -05:00
|
|
|
top_opt = "-top " + args[++argidx];
|
2013-10-27 03:33:47 -05:00
|
|
|
continue;
|
|
|
|
}
|
2019-06-27 13:20:15 -05:00
|
|
|
if ((args[argidx] == "-family" || args[argidx] == "-arch") && argidx+1 < args.size()) {
|
|
|
|
family = args[++argidx];
|
2019-05-07 08:04:36 -05:00
|
|
|
continue;
|
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
if (args[argidx] == "-edif" && argidx+1 < args.size()) {
|
|
|
|
edif_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
2018-04-18 18:48:05 -05:00
|
|
|
if (args[argidx] == "-blif" && argidx+1 < args.size()) {
|
|
|
|
blif_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
if (args[argidx] == "-run" && argidx+1 < args.size()) {
|
|
|
|
size_t pos = args[argidx+1].find(':');
|
|
|
|
if (pos == std::string::npos)
|
|
|
|
break;
|
|
|
|
run_from = args[++argidx].substr(0, pos);
|
|
|
|
run_to = args[argidx].substr(pos+1);
|
|
|
|
continue;
|
|
|
|
}
|
2015-01-17 13:47:18 -06:00
|
|
|
if (args[argidx] == "-flatten") {
|
|
|
|
flatten = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-08-23 18:41:32 -05:00
|
|
|
if (args[argidx] == "-flatten_before_abc") {
|
|
|
|
flatten_before_abc = true;
|
|
|
|
continue;
|
|
|
|
}
|
2015-01-17 13:47:18 -06:00
|
|
|
if (args[argidx] == "-retime") {
|
|
|
|
retime = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-04-30 05:54:21 -05:00
|
|
|
if (args[argidx] == "-nocarry") {
|
|
|
|
nocarry = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-06-26 12:04:01 -05:00
|
|
|
if (args[argidx] == "-nowidelut") {
|
|
|
|
nowidelut = true;
|
2019-04-30 05:54:21 -05:00
|
|
|
continue;
|
|
|
|
}
|
2018-04-18 18:48:05 -05:00
|
|
|
if (args[argidx] == "-vpr") {
|
|
|
|
vpr = true;
|
|
|
|
continue;
|
|
|
|
}
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
if (args[argidx] == "-ise") {
|
|
|
|
ise = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-08-12 19:35:54 -05:00
|
|
|
if (args[argidx] == "-iopad") {
|
|
|
|
iopad = true;
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-noiopad") {
|
|
|
|
noiopad = true;
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
continue;
|
|
|
|
}
|
2019-08-12 19:35:54 -05:00
|
|
|
if (args[argidx] == "-noclkbuf") {
|
|
|
|
noclkbuf = true;
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
continue;
|
|
|
|
}
|
2019-06-12 10:50:39 -05:00
|
|
|
if (args[argidx] == "-nocarry") {
|
|
|
|
nocarry = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-03-01 16:35:14 -06:00
|
|
|
if (args[argidx] == "-nobram") {
|
|
|
|
nobram = true;
|
2019-03-01 13:21:07 -06:00
|
|
|
continue;
|
|
|
|
}
|
2019-07-18 16:20:43 -05:00
|
|
|
if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") {
|
|
|
|
nolutram = true;
|
2019-03-01 13:21:07 -06:00
|
|
|
continue;
|
|
|
|
}
|
2019-03-21 17:04:44 -05:00
|
|
|
if (args[argidx] == "-nosrl") {
|
|
|
|
nosrl = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-06-26 11:16:45 -05:00
|
|
|
if (args[argidx] == "-widemux" && argidx+1 < args.size()) {
|
2019-08-07 13:09:17 -05:00
|
|
|
widemux = atoi(args[++argidx].c_str());
|
2019-06-14 14:50:24 -05:00
|
|
|
continue;
|
|
|
|
}
|
2019-04-09 12:06:44 -05:00
|
|
|
if (args[argidx] == "-abc9") {
|
2019-06-26 12:23:29 -05:00
|
|
|
abc9 = true;
|
2019-04-09 12:06:44 -05:00
|
|
|
continue;
|
|
|
|
}
|
2019-07-08 13:15:25 -05:00
|
|
|
if (args[argidx] == "-nodsp") {
|
|
|
|
nodsp = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-10-18 08:02:57 -05:00
|
|
|
if (args[argidx] == "-uram") {
|
|
|
|
uram = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-12-30 16:13:16 -06:00
|
|
|
if (args[argidx] == "-dff") {
|
|
|
|
dff_mode = true;
|
|
|
|
continue;
|
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
break;
|
|
|
|
}
|
|
|
|
extra_args(args, argidx, design);
|
|
|
|
|
synth_xilinx: Merge blackbox primitive libraries.
First, there are no longer separate cell libraries for xc6s/xc7/xcu.
Manually instantiating a primitive for a "wrong" family will result
in yosys passing it straight through to the output, and it will be
either upgraded or rejected by the P&R tool.
Second, the blackbox library is expanded to cover many more families:
everything from Spartan 3 up is included. Primitives for Virtex and
Virtex 2 are listed in the Python file as well if we ever want to
include them, but that would require having two different ISE versions
(10.1 and 14.7) available when running cells_xtra.py, and so is probably
more trouble than it's worth.
Third, the blockram blackboxes are no longer in separate files — there
is no practical reason to do so (from synthesis PoV, they are no
different from any other cells_xtra blackbox), and they needlessly
complicated the flow (among other things, merging them allows the user
to use eg. Series 7 primitives and have them auto-upgraded to
Ultrascale).
Last, since xc5v logic synthesis appears to work reasonably well
(the only major problem is lack of blockram inference support), xc5v is
now an accepted setting for the -family option.
2019-11-01 09:00:15 -05:00
|
|
|
if (family != "xcup" && family != "xcu" && family != "xc7" && family != "xc6v" && family != "xc5v" && family != "xc6s")
|
2019-07-10 14:47:48 -05:00
|
|
|
log_cmd_error("Invalid Xilinx -family setting: '%s'.\n", family.c_str());
|
2019-05-07 08:04:36 -05:00
|
|
|
|
2019-07-08 13:29:21 -05:00
|
|
|
if (widemux != 0 && widemux < 2)
|
|
|
|
log_cmd_error("-widemux value must be 0 or >= 2.\n");
|
2019-05-07 08:04:36 -05:00
|
|
|
|
2013-10-27 03:33:47 -05:00
|
|
|
if (!design->full_selection())
|
2018-12-07 13:14:07 -06:00
|
|
|
log_cmd_error("This command only operates on fully selected designs!\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
|
2019-07-10 14:47:48 -05:00
|
|
|
if (abc9 && retime)
|
|
|
|
log_cmd_error("-retime option not currently compatible with -abc9!\n");
|
|
|
|
|
2016-04-21 16:28:37 -05:00
|
|
|
log_header(design, "Executing SYNTH_XILINX pass.\n");
|
2013-10-27 03:33:47 -05:00
|
|
|
log_push();
|
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
run_script(design, run_from, run_to);
|
2019-03-01 13:21:07 -06:00
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
log_pop();
|
|
|
|
}
|
2019-03-01 13:21:07 -06:00
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
void script() YS_OVERRIDE
|
|
|
|
{
|
2019-12-04 02:44:00 -06:00
|
|
|
bool do_iopad = iopad || (ise && !noiopad);
|
2019-08-15 22:14:30 -05:00
|
|
|
std::string ff_map_file;
|
|
|
|
if (help_mode)
|
2019-09-14 19:49:53 -05:00
|
|
|
ff_map_file = "+/xilinx/{family}_ff_map.v";
|
2019-08-15 22:14:30 -05:00
|
|
|
else if (family == "xc6s")
|
|
|
|
ff_map_file = "+/xilinx/xc6s_ff_map.v";
|
|
|
|
else
|
|
|
|
ff_map_file = "+/xilinx/xc7_ff_map.v";
|
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
if (check_label("begin")) {
|
2019-10-04 19:27:05 -05:00
|
|
|
std::string read_args;
|
2019-04-26 16:32:18 -05:00
|
|
|
if (vpr)
|
2019-10-04 19:27:05 -05:00
|
|
|
read_args += " -D_EXPLICIT_CARRY";
|
|
|
|
read_args += " -lib +/xilinx/cells_sim.v";
|
|
|
|
run("read_verilog" + read_args);
|
2019-03-01 13:21:07 -06:00
|
|
|
|
synth_xilinx: Merge blackbox primitive libraries.
First, there are no longer separate cell libraries for xc6s/xc7/xcu.
Manually instantiating a primitive for a "wrong" family will result
in yosys passing it straight through to the output, and it will be
either upgraded or rejected by the P&R tool.
Second, the blackbox library is expanded to cover many more families:
everything from Spartan 3 up is included. Primitives for Virtex and
Virtex 2 are listed in the Python file as well if we ever want to
include them, but that would require having two different ISE versions
(10.1 and 14.7) available when running cells_xtra.py, and so is probably
more trouble than it's worth.
Third, the blockram blackboxes are no longer in separate files — there
is no practical reason to do so (from synthesis PoV, they are no
different from any other cells_xtra blackbox), and they needlessly
complicated the flow (among other things, merging them allows the user
to use eg. Series 7 primitives and have them auto-upgraded to
Ultrascale).
Last, since xc5v logic synthesis appears to work reasonably well
(the only major problem is lack of blockram inference support), xc5v is
now an accepted setting for the -family option.
2019-11-01 09:00:15 -05:00
|
|
|
run("read_verilog -lib +/xilinx/cells_xtra.v");
|
2015-01-17 13:47:18 -06:00
|
|
|
|
2019-04-26 16:32:18 -05:00
|
|
|
run(stringf("hierarchy -check %s", top_opt.c_str()));
|
2015-01-13 06:20:32 -06:00
|
|
|
}
|
|
|
|
|
2019-08-13 04:29:42 -05:00
|
|
|
if (check_label("prepare")) {
|
2019-07-09 12:21:54 -05:00
|
|
|
run("proc");
|
2019-07-08 13:15:25 -05:00
|
|
|
if (flatten || help_mode)
|
|
|
|
run("flatten", "(with '-flatten')");
|
2019-12-04 02:44:00 -06:00
|
|
|
run("tribuf -logic");
|
|
|
|
run("deminout");
|
2019-07-09 12:21:54 -05:00
|
|
|
run("opt_expr");
|
|
|
|
run("opt_clean");
|
|
|
|
run("check");
|
|
|
|
run("opt");
|
2019-06-21 19:12:34 -05:00
|
|
|
if (help_mode)
|
2019-07-09 12:21:54 -05:00
|
|
|
run("wreduce [-keepdc]", "(option for '-widemux')");
|
2019-06-21 19:12:34 -05:00
|
|
|
else
|
2019-07-09 12:21:54 -05:00
|
|
|
run("wreduce" + std::string(widemux > 0 ? " -keepdc" : ""));
|
|
|
|
run("peepopt");
|
|
|
|
run("opt_clean");
|
2019-06-12 10:50:39 -05:00
|
|
|
|
2019-06-26 11:16:45 -05:00
|
|
|
if (widemux > 0 || help_mode)
|
|
|
|
run("muxpack", " ('-widemux' only)");
|
2019-06-12 10:50:39 -05:00
|
|
|
|
2019-08-23 14:22:46 -05:00
|
|
|
// xilinx_srl looks for $shiftx cells for identifying variable-length
|
|
|
|
// shift registers, so attempt to convert $pmux-es to this
|
2019-06-14 14:50:24 -05:00
|
|
|
// Also: wide multiplexer inference benefits from this too
|
2019-07-09 23:26:38 -05:00
|
|
|
if (!(nosrl && widemux == 0) || help_mode) {
|
2019-07-08 13:29:21 -05:00
|
|
|
run("pmux2shiftx", "(skip if '-nosrl' and '-widemux=0')");
|
2019-07-09 23:26:38 -05:00
|
|
|
run("clean", " (skip if '-nosrl' and '-widemux=0')");
|
|
|
|
}
|
|
|
|
|
|
|
|
run("techmap -map +/cmp2lut.v -D LUT_WIDTH=6");
|
2019-08-13 04:29:42 -05:00
|
|
|
}
|
2019-07-10 17:58:01 -05:00
|
|
|
|
2019-10-04 23:43:15 -05:00
|
|
|
if (check_label("map_dsp", "(skip if '-nodsp')")) {
|
2019-07-17 15:26:17 -05:00
|
|
|
if (!nodsp || help_mode) {
|
2019-10-17 14:33:54 -05:00
|
|
|
run("memory_dff"); // xilinx_dsp will merge registers, reserve memory port registers first
|
2019-07-17 15:26:17 -05:00
|
|
|
// NB: Xilinx multipliers are signed only
|
2019-10-08 12:00:30 -05:00
|
|
|
if (help_mode)
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/{family}_dsp_map.v {options}");
|
|
|
|
else if (family == "xc2v" || family == "xc3s" || family == "xc3se" || family == "xc3sa")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc3s_mult_map.v -D DSP_A_MAXWIDTH=18 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL18X18");
|
|
|
|
else if (family == "xc3sda")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc3sda_dsp_map.v -D DSP_A_MAXWIDTH=18 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL18X18");
|
|
|
|
else if (family == "xc6s")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc6s_dsp_map.v -D DSP_A_MAXWIDTH=18 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL18X18");
|
|
|
|
else if (family == "xc4v")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc4v_dsp_map.v -D DSP_A_MAXWIDTH=18 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL18X18");
|
|
|
|
else if (family == "xc5v")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc5v_dsp_map.v -D DSP_A_MAXWIDTH=25 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL25X18");
|
|
|
|
else if (family == "xc6v" || family == "xc7")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xc7_dsp_map.v -D DSP_A_MAXWIDTH=25 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MAXWIDTH_PARTIAL=18 " // Partial multipliers are intentionally
|
|
|
|
// limited to 18x18 in order to take
|
|
|
|
// advantage of the (PCOUT << 17) -> PCIN
|
|
|
|
// dedicated cascade chain capability
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL25X18");
|
|
|
|
else if (family == "xcu" || family == "xcup")
|
|
|
|
run("techmap -map +/mul2dsp.v -map +/xilinx/xcu_dsp_map.v -D DSP_A_MAXWIDTH=27 -D DSP_B_MAXWIDTH=18 "
|
|
|
|
"-D DSP_A_MAXWIDTH_PARTIAL=18 " // Partial multipliers are intentionally
|
|
|
|
// limited to 18x18 in order to take
|
|
|
|
// advantage of the (PCOUT << 17) -> PCIN
|
|
|
|
// dedicated cascade chain capability
|
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 " // Blocks Nx1 multipliers
|
|
|
|
"-D DSP_Y_MINWIDTH=9 " // UG901 suggests small multiplies are those 4x4 and smaller
|
|
|
|
"-D DSP_SIGNEDONLY=1 -D DSP_NAME=$__MUL27X18");
|
2019-09-26 12:15:05 -05:00
|
|
|
run("select a:mul2dsp");
|
2019-09-27 16:32:07 -05:00
|
|
|
run("setattr -unset mul2dsp");
|
2019-09-26 12:34:14 -05:00
|
|
|
run("opt_expr -fine");
|
2019-09-26 12:15:05 -05:00
|
|
|
run("wreduce");
|
|
|
|
run("select -clear");
|
2019-09-10 17:26:56 -05:00
|
|
|
run("xilinx_dsp");
|
|
|
|
run("chtype -set $mul t:$__soft_mul");
|
2019-07-17 15:26:17 -05:00
|
|
|
}
|
2019-08-13 04:29:42 -05:00
|
|
|
}
|
2019-07-10 17:58:01 -05:00
|
|
|
|
2019-08-13 04:29:42 -05:00
|
|
|
if (check_label("coarse")) {
|
2019-07-09 23:26:38 -05:00
|
|
|
run("alumacc");
|
|
|
|
run("share");
|
|
|
|
run("opt");
|
|
|
|
run("fsm");
|
|
|
|
run("opt -fast");
|
|
|
|
run("memory -nomap");
|
|
|
|
run("opt_clean");
|
2015-04-09 01:17:14 -05:00
|
|
|
}
|
|
|
|
|
2019-10-18 08:02:57 -05:00
|
|
|
if (check_label("map_uram", "(only if '-uram')")) {
|
|
|
|
if (help_mode) {
|
|
|
|
run("memory_bram -rules +/xilinx/{family}_urams.txt");
|
|
|
|
run("techmap -map +/xilinx/{family}_urams_map.v");
|
|
|
|
} else if (uram) {
|
|
|
|
if (family == "xcup") {
|
|
|
|
run("memory_bram -rules +/xilinx/xcup_urams.txt");
|
|
|
|
run("techmap -map +/xilinx/xcup_urams_map.v");
|
|
|
|
} else {
|
|
|
|
log_warning("UltraRAM inference not supported for family %s.\n", family.c_str());
|
|
|
|
}
|
|
|
|
}
|
|
|
|
}
|
|
|
|
|
2019-07-18 16:20:43 -05:00
|
|
|
if (check_label("map_bram", "(skip if '-nobram')")) {
|
2019-07-02 07:28:35 -05:00
|
|
|
if (help_mode) {
|
|
|
|
run("memory_bram -rules +/xilinx/{family}_brams.txt");
|
|
|
|
run("techmap -map +/xilinx/{family}_brams_map.v");
|
|
|
|
} else if (!nobram) {
|
|
|
|
if (family == "xc6s") {
|
|
|
|
run("memory_bram -rules +/xilinx/xc6s_brams.txt");
|
|
|
|
run("techmap -map +/xilinx/xc6s_brams_map.v");
|
2019-09-14 19:49:53 -05:00
|
|
|
} else if (family == "xc6v" || family == "xc7") {
|
2019-10-18 07:24:19 -05:00
|
|
|
run("memory_bram -rules +/xilinx/xc7_xcu_brams.txt");
|
2019-07-02 07:28:35 -05:00
|
|
|
run("techmap -map +/xilinx/xc7_brams_map.v");
|
2019-10-18 07:24:19 -05:00
|
|
|
} else if (family == "xcu" || family == "xcup") {
|
|
|
|
run("memory_bram -rules +/xilinx/xc7_xcu_brams.txt");
|
|
|
|
run("techmap -map +/xilinx/xcu_brams_map.v");
|
2019-07-02 07:28:35 -05:00
|
|
|
} else {
|
|
|
|
log_warning("Block RAM inference not yet supported for family %s.\n", family.c_str());
|
|
|
|
}
|
2019-03-01 13:21:07 -06:00
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
2019-03-01 13:21:07 -06:00
|
|
|
|
2019-07-18 16:20:43 -05:00
|
|
|
if (check_label("map_lutram", "(skip if '-nolutram')")) {
|
|
|
|
if (!nolutram || help_mode) {
|
|
|
|
run("memory_bram -rules +/xilinx/lutrams.txt");
|
|
|
|
run("techmap -map +/xilinx/lutrams_map.v");
|
2019-03-01 13:21:07 -06:00
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
|
|
|
|
2019-07-18 16:20:43 -05:00
|
|
|
if (check_label("map_ffram")) {
|
2019-11-20 23:30:06 -06:00
|
|
|
// Required for dffsr2dff to work.
|
|
|
|
run("simplemap t:$dff t:$adff t:$mux");
|
|
|
|
// Needs to be done before opt -mux_bool happens.
|
|
|
|
run("dffsr2dff");
|
|
|
|
if (help_mode)
|
|
|
|
run("dff2dffs [-match-init]", "(-match-init for xc6s only)");
|
|
|
|
else if (family == "xc6s")
|
|
|
|
run("dff2dffs -match-init");
|
|
|
|
else
|
|
|
|
run("dff2dffs");
|
2019-07-09 11:22:12 -05:00
|
|
|
if (widemux > 0)
|
2019-07-09 01:49:16 -05:00
|
|
|
run("opt -fast -mux_bool -undriven -fine"); // Necessary to omit -mux_undef otherwise muxcover
|
|
|
|
// performs less efficiently
|
|
|
|
else
|
|
|
|
run("opt -fast -full");
|
2019-05-01 20:23:21 -05:00
|
|
|
run("memory_map");
|
2019-07-18 16:20:43 -05:00
|
|
|
}
|
|
|
|
|
|
|
|
if (check_label("fine")) {
|
2019-11-20 23:30:06 -06:00
|
|
|
run("dff2dffe -direct-match $_DFF_* -direct-match $__DFFS_*");
|
2019-06-26 19:41:21 -05:00
|
|
|
if (help_mode) {
|
2019-06-26 19:42:50 -05:00
|
|
|
run("muxcover <internal options>, ('-widemux' only)");
|
2019-06-26 19:41:21 -05:00
|
|
|
}
|
|
|
|
else if (widemux > 0) {
|
2019-07-08 13:08:20 -05:00
|
|
|
constexpr int cost_mux2 = 100;
|
|
|
|
std::string muxcover_args = stringf(" -nodecode -mux2=%d", cost_mux2);
|
2019-06-26 19:41:21 -05:00
|
|
|
switch (widemux) {
|
2019-07-09 11:16:00 -05:00
|
|
|
case 2: muxcover_args += stringf(" -mux4=%d -mux8=%d -mux16=%d", cost_mux2+1, cost_mux2+2, cost_mux2+3); break;
|
2019-07-08 13:29:21 -05:00
|
|
|
case 3:
|
|
|
|
case 4: muxcover_args += stringf(" -mux4=%d -mux8=%d -mux16=%d", cost_mux2*(widemux-1)-2, cost_mux2*(widemux-1)-1, cost_mux2*(widemux-1)); break;
|
2019-07-08 13:08:20 -05:00
|
|
|
case 5:
|
|
|
|
case 6:
|
|
|
|
case 7:
|
|
|
|
case 8: muxcover_args += stringf(" -mux8=%d -mux16=%d", cost_mux2*(widemux-1)-1, cost_mux2*(widemux-1)); break;
|
|
|
|
case 9:
|
|
|
|
case 10:
|
|
|
|
case 11:
|
|
|
|
case 12:
|
|
|
|
case 13:
|
|
|
|
case 14:
|
|
|
|
case 15:
|
|
|
|
default: muxcover_args += stringf(" -mux16=%d", cost_mux2*(widemux-1)-1); break;
|
2019-06-24 12:04:01 -05:00
|
|
|
}
|
2019-06-26 19:41:21 -05:00
|
|
|
run("muxcover " + muxcover_args);
|
2019-06-21 13:12:32 -05:00
|
|
|
}
|
2019-05-01 20:09:38 -05:00
|
|
|
run("opt -full");
|
2019-04-22 12:45:39 -05:00
|
|
|
|
2019-08-23 14:22:46 -05:00
|
|
|
if (!nosrl || help_mode)
|
2019-08-21 19:34:40 -05:00
|
|
|
run("xilinx_srl -variable -minlen 3", "(skip if '-nosrl')");
|
2019-03-15 21:13:40 -05:00
|
|
|
|
2019-06-24 12:04:01 -05:00
|
|
|
std::string techmap_args = " -map +/techmap.v";
|
2019-06-12 10:50:39 -05:00
|
|
|
if (help_mode)
|
2019-06-24 12:04:01 -05:00
|
|
|
techmap_args += " [-map +/xilinx/mux_map.v]";
|
2019-06-26 11:16:45 -05:00
|
|
|
else if (widemux > 0)
|
|
|
|
techmap_args += stringf(" -D MIN_MUX_INPUTS=%d -map +/xilinx/mux_map.v", widemux);
|
2019-06-12 10:50:39 -05:00
|
|
|
if (help_mode)
|
2019-06-24 12:04:01 -05:00
|
|
|
techmap_args += " [-map +/xilinx/arith_map.v]";
|
2019-06-12 10:50:39 -05:00
|
|
|
else if (!nocarry) {
|
2019-06-24 12:04:01 -05:00
|
|
|
techmap_args += " -map +/xilinx/arith_map.v";
|
2019-06-12 11:21:52 -05:00
|
|
|
if (vpr)
|
2019-06-24 12:04:01 -05:00
|
|
|
techmap_args += " -D _EXPLICIT_CARRY";
|
2019-06-26 12:23:29 -05:00
|
|
|
else if (abc9)
|
2019-06-24 12:04:01 -05:00
|
|
|
techmap_args += " -D _CLB_CARRY";
|
2019-06-12 10:50:39 -05:00
|
|
|
}
|
2019-06-24 12:04:01 -05:00
|
|
|
run("techmap " + techmap_args);
|
2019-05-01 20:09:38 -05:00
|
|
|
run("opt -fast");
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
|
|
|
|
2019-05-01 20:09:38 -05:00
|
|
|
if (check_label("map_cells")) {
|
2019-12-04 02:44:00 -06:00
|
|
|
// Needs to be done before logic optimization, so that inverters (OE vs T) are handled.
|
|
|
|
if (help_mode || do_iopad)
|
|
|
|
run("iopadmap -bits -outpad OBUF I:O -inpad IBUF O:I -toutpad $__XILINX_TOUTPAD OE:I:O -tinoutpad $__XILINX_TINOUTPAD OE:O:I:IO A:top", "(only if '-iopad' or '-ise' and not '-noiopad')");
|
2019-08-20 14:39:11 -05:00
|
|
|
std::string techmap_args = "-map +/techmap.v -map +/xilinx/cells_map.v";
|
2019-06-26 11:16:45 -05:00
|
|
|
if (widemux > 0)
|
|
|
|
techmap_args += stringf(" -D MIN_MUX_INPUTS=%d", widemux);
|
2019-06-24 12:04:01 -05:00
|
|
|
run("techmap " + techmap_args);
|
2019-04-26 16:32:18 -05:00
|
|
|
run("clean");
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
|
|
|
|
2019-08-21 17:37:55 -05:00
|
|
|
if (check_label("map_ffs")) {
|
2019-08-15 22:14:30 -05:00
|
|
|
if (abc9 || help_mode) {
|
|
|
|
run("techmap -map " + ff_map_file, "('-abc9' only)");
|
|
|
|
}
|
2019-08-21 17:37:55 -05:00
|
|
|
}
|
|
|
|
|
2019-05-01 20:09:38 -05:00
|
|
|
if (check_label("map_luts")) {
|
2019-06-20 18:45:09 -05:00
|
|
|
run("opt_expr -mux_undef");
|
2019-08-23 18:41:32 -05:00
|
|
|
if (flatten_before_abc)
|
|
|
|
run("flatten");
|
2019-06-26 12:23:29 -05:00
|
|
|
if (help_mode)
|
2019-08-21 13:47:17 -05:00
|
|
|
run("abc -luts 2:2,3,6:5[,10,20] [-dff]", "(option for 'nowidelut'; option for '-retime')");
|
2019-06-26 12:23:29 -05:00
|
|
|
else if (abc9) {
|
2019-06-27 13:22:49 -05:00
|
|
|
if (family != "xc7")
|
2019-10-04 13:04:10 -05:00
|
|
|
log_warning("'synth_xilinx -abc9' not currently supported for the '%s' family, "
|
2019-10-04 19:39:08 -05:00
|
|
|
"will use timing for 'xc7' instead.\n", family.c_str());
|
2019-12-30 16:13:16 -06:00
|
|
|
std::string techmap_args = "-map +/xilinx/abc9_map.v -max_iter 1";
|
|
|
|
if (dff_mode)
|
|
|
|
techmap_args += " -D DFF_MODE";
|
|
|
|
run("techmap " + techmap_args);
|
2019-10-04 13:04:10 -05:00
|
|
|
run("read_verilog -icells -lib +/xilinx/abc9_model.v");
|
2019-10-04 19:56:38 -05:00
|
|
|
std::string abc9_opts = " -box +/xilinx/abc9_xc7.box";
|
2019-10-04 19:35:43 -05:00
|
|
|
abc9_opts += stringf(" -W %d", XC7_WIRE_DELAY);
|
|
|
|
abc9_opts += " -nomfs";
|
2019-06-26 12:23:29 -05:00
|
|
|
if (nowidelut)
|
2019-10-04 19:56:38 -05:00
|
|
|
abc9_opts += " -lut +/xilinx/abc9_xc7_nowide.lut";
|
2019-06-26 12:23:29 -05:00
|
|
|
else
|
2019-10-04 19:56:38 -05:00
|
|
|
abc9_opts += " -lut +/xilinx/abc9_xc7.lut";
|
2019-10-04 19:35:43 -05:00
|
|
|
run("abc9" + abc9_opts);
|
2019-12-03 16:27:45 -06:00
|
|
|
run("techmap -map +/xilinx/abc9_unmap.v");
|
2019-06-26 12:23:29 -05:00
|
|
|
}
|
|
|
|
else {
|
|
|
|
if (nowidelut)
|
|
|
|
run("abc -luts 2:2,3,6:5" + string(retime ? " -dff" : ""));
|
|
|
|
else
|
|
|
|
run("abc -luts 2:2,3,6:5,10,20" + string(retime ? " -dff" : ""));
|
2019-06-15 11:08:56 -05:00
|
|
|
}
|
2019-11-28 14:59:43 -06:00
|
|
|
run("clean");
|
2019-06-12 10:50:39 -05:00
|
|
|
|
2019-04-22 12:45:39 -05:00
|
|
|
// This shregmap call infers fixed length shift registers after abc
|
|
|
|
// has performed any necessary retiming
|
2019-04-26 16:32:18 -05:00
|
|
|
if (!nosrl || help_mode)
|
2019-08-21 19:34:40 -05:00
|
|
|
run("xilinx_srl -fixed -minlen 3", "(skip if '-nosrl')");
|
2019-08-21 17:37:55 -05:00
|
|
|
std::string techmap_args = "-map +/xilinx/lut_map.v -map +/xilinx/cells_map.v";
|
|
|
|
if (help_mode)
|
2019-12-03 16:27:45 -06:00
|
|
|
techmap_args += stringf("[-map %s]", ff_map_file.c_str());
|
|
|
|
else if (!abc9)
|
|
|
|
techmap_args += stringf(" -map %s", ff_map_file.c_str());
|
|
|
|
run("techmap " + techmap_args, "(option without '-abc9')");
|
2019-12-18 06:42:26 -06:00
|
|
|
run("xilinx_dffopt");
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
|
|
|
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
if (check_label("finalize")) {
|
2019-12-04 02:44:00 -06:00
|
|
|
if (help_mode || !noclkbuf)
|
|
|
|
run("clkbufmap -buf BUFG O:I ", "(skip if '-noclkbuf')");
|
2019-08-28 10:28:01 -05:00
|
|
|
if (help_mode || ise)
|
|
|
|
run("extractinv -inv INV O:I", "(only if '-ise')");
|
2019-11-26 16:51:39 -06:00
|
|
|
run("clean");
|
Add clock buffer insertion pass, improve iopadmap.
A few new attributes are defined for use in cell libraries:
- iopad_external_pin: marks PAD cell's external-facing pin. Pad
insertion will be skipped for ports that are already connected
to such a pin.
- clkbuf_sink: marks an input pin as a clock pin, requesting clock
buffer insertion.
- clkbuf_driver: marks an output pin as a clock buffer output pin.
Clock buffer insertion will be skipped for nets that are already
driven by such a pin.
All three are module attributes that should be set to a comma-separeted
list of pin names.
Clock buffer insertion itself works as follows:
1. All cell ports, starting from bottom up, can be marked as clock sinks
(requesting clock buffer insertion) or as clock buffer outputs.
2. If a wire in a given module is driven by a cell port that is a clock
buffer output, it is in turn also considered a clock buffer output.
3. If an input port in a non-top module is connected to a clock sink in a
contained cell, it is also in turn considered a clock sink.
4. If a wire in a module is driven by a non-clock-buffer cell, and is
also connected to a clock sink port in a contained cell, a clock
buffer is inserted in this module.
5. For the top module, a clock buffer is also inserted on input ports
connected to clock sinks, optionally with a special kind of input
PAD (such as IBUFG for Xilinx).
6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit
attribute is set on it.
2019-08-12 10:57:43 -05:00
|
|
|
}
|
|
|
|
|
2019-05-01 20:09:38 -05:00
|
|
|
if (check_label("check")) {
|
2019-04-26 16:32:18 -05:00
|
|
|
run("hierarchy -check");
|
2019-05-11 02:24:52 -05:00
|
|
|
run("stat -tech xilinx");
|
2019-04-26 16:32:18 -05:00
|
|
|
run("check -noinit");
|
2015-02-15 06:00:00 -06:00
|
|
|
}
|
|
|
|
|
2019-05-01 20:09:38 -05:00
|
|
|
if (check_label("edif")) {
|
2019-04-26 16:32:18 -05:00
|
|
|
if (!edif_file.empty() || help_mode)
|
|
|
|
run(stringf("write_edif -pvector bra %s", edif_file.c_str()));
|
2018-04-18 18:48:05 -05:00
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
|
2019-05-01 20:09:38 -05:00
|
|
|
if (check_label("blif")) {
|
2019-04-26 16:32:18 -05:00
|
|
|
if (!blif_file.empty() || help_mode)
|
|
|
|
run(stringf("write_blif %s", edif_file.c_str()));
|
2018-04-18 18:48:05 -05:00
|
|
|
}
|
2013-10-27 03:33:47 -05:00
|
|
|
}
|
|
|
|
} SynthXilinxPass;
|
2015-07-02 04:14:30 -05:00
|
|
|
|
2014-09-27 09:17:53 -05:00
|
|
|
PRIVATE_NAMESPACE_END
|