Eddie Hung
|
4de03bd5e6
|
Remove double push
|
2019-07-12 01:08:48 -07:00 |
Eddie Hung
|
62ac5ebd02
|
Map to and from this box if -abc9
|
2019-07-12 00:53:01 -07:00 |
Eddie Hung
|
0f5bddcd79
|
ice40_opt to handle this box and opt back to SB_LUT4
|
2019-07-12 00:52:31 -07:00 |
Eddie Hung
|
a79ff2501e
|
Add new box to cells_sim.v
|
2019-07-12 00:52:19 -07:00 |
Eddie Hung
|
c6e16e1334
|
_ABC macro will map and unmap to this new box
|
2019-07-12 00:51:37 -07:00 |
Eddie Hung
|
fc3d74616f
|
Combine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 box
|
2019-07-12 00:50:42 -07:00 |
whitequark
|
b700a4b1c5
|
synth_ice40: switch -relut to be always on.
|
2019-07-11 20:18:41 +00:00 |
whitequark
|
a8c5f7f41e
|
synth_ice40: fix help text typo. NFC.
|
2019-07-11 20:18:41 +00:00 |
Eddie Hung
|
19c1c3cfa3
|
Merge pull request #1182 from koriakin/xc6s-bram
synth_xilinx: Initial Spartan 6 block RAM inference support.
|
2019-07-11 12:55:35 -07:00 |
Marcin Kościelnicki
|
a9efacd01d
|
xilinx: Fix the default values for FDPE/FDSE INIT attributes to match ISE/Vivado.
|
2019-07-11 21:13:12 +02:00 |
Marcin Kościelnicki
|
ce250b341c
|
synth_xilinx: Initial Spartan 6 block RAM inference support.
|
2019-07-11 14:45:48 +02:00 |
Eddie Hung
|
bb2144ae73
|
Merge pull request #1180 from YosysHQ/eddie/no_abc9_retime
Error out if -abc9 and -retime specified
|
2019-07-10 14:38:13 -07:00 |
Eddie Hung
|
2f990a7319
|
Merge pull request #1148 from YosysHQ/xc7mux
synth_xilinx to infer wide multiplexers using new '-widemux <min>' option
|
2019-07-10 14:38:00 -07:00 |
Eddie Hung
|
6bbd286e03
|
Error out if -abc9 and -retime specified
|
2019-07-10 12:47:48 -07:00 |
Eddie Hung
|
58bb84e5b2
|
Add some spacing
|
2019-07-10 12:32:33 -07:00 |
Eddie Hung
|
521971e32e
|
Add some ASCII art explaining mux decomposition
|
2019-07-10 12:20:04 -07:00 |
Eddie Hung
|
e573d024a2
|
Call muxpack and pmux2shiftx before cmp2lut
|
2019-07-09 21:26:38 -07:00 |
Eddie Hung
|
c55530b901
|
Restore opt_clean back to original place
|
2019-07-09 14:29:58 -07:00 |
Eddie Hung
|
5b48b18d29
|
Restore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6
|
2019-07-09 14:28:54 -07:00 |
David Shah
|
27b27b8781
|
synth_ecp5: Fix typo in copyright header
Signed-off-by: David Shah <dave@ds0.me>
|
2019-07-09 22:26:10 +01:00 |
Eddie Hung
|
b1a048a703
|
Extend using A[1] to preserve don't care
|
2019-07-09 12:35:41 -07:00 |
Eddie Hung
|
93522b0ae1
|
Extend during mux decomposition with 1'bx
|
2019-07-09 10:59:37 -07:00 |
Eddie Hung
|
c864995343
|
Fix typo and comments
|
2019-07-09 10:38:07 -07:00 |
Eddie Hung
|
c91cb73562
|
Merge remote-tracking branch 'origin/master' into xc7mux
|
2019-07-09 10:22:49 -07:00 |
Eddie Hung
|
c68b909210
|
synth_xilinx to call commands of synth -coarse directly
|
2019-07-09 10:21:54 -07:00 |
Eddie Hung
|
737340327f
|
Revert "synth_xilinx to call "synth -run coarse" with "-keepdc""
This reverts commit 7f964859ec .
|
2019-07-09 10:15:02 -07:00 |
Eddie Hung
|
713337255e
|
Revert "Add "synth -keepdc" option"
|
2019-07-09 10:14:23 -07:00 |
Eddie Hung
|
bc84f7dd10
|
Fix spacing
|
2019-07-09 09:22:12 -07:00 |
Eddie Hung
|
667199d460
|
Fix spacing
|
2019-07-09 09:16:00 -07:00 |
Clifford Wolf
|
a429aedc0f
|
Merge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
Cleanup synth_xilinx SRL inference, make more consistent
|
2019-07-09 16:49:08 +02:00 |
Eddie Hung
|
6951e32070
|
Decompose mux inputs in delay-orientated (rather than area) fashion
|
2019-07-08 23:51:13 -07:00 |
Eddie Hung
|
45da3ada7b
|
Do not call opt -mux_undef (part of -full) before muxcover
|
2019-07-08 23:49:16 -07:00 |
Eddie Hung
|
d4ab43d940
|
Add one more comment
|
2019-07-08 23:05:48 -07:00 |
Eddie Hung
|
939a225f92
|
Less thinking
|
2019-07-08 23:02:57 -07:00 |
Eddie Hung
|
de40453553
|
Reword
|
2019-07-08 22:56:19 -07:00 |
Eddie Hung
|
7f8c420cf7
|
Merge pull request #1166 from YosysHQ/eddie/synth_keepdc
Add "synth -keepdc" option
|
2019-07-08 21:43:16 -07:00 |
Eddie Hung
|
7f964859ec
|
synth_xilinx to call "synth -run coarse" with "-keepdc"
|
2019-07-08 19:23:24 -07:00 |
Eddie Hung
|
9ac078be6f
|
Merge remote-tracking branch 'origin/eddie/synth_keepdc' into xc7mux
|
2019-07-08 19:21:53 -07:00 |
Eddie Hung
|
dd9771cbcd
|
Add synth -keepdc option
|
2019-07-08 19:14:54 -07:00 |
Eddie Hung
|
3f86407cc3
|
Map $__XILINX_SHIFTX in a more balanced manner
|
2019-07-08 17:06:35 -07:00 |
Eddie Hung
|
78914e2e0e
|
Capitalisation
|
2019-07-08 17:06:22 -07:00 |
Eddie Hung
|
baf47e496f
|
Add synth_xilinx -widemux recommended value
|
2019-07-08 17:04:39 -07:00 |
Eddie Hung
|
895ca50173
|
Fixes for 2:1 muxes
|
2019-07-08 12:03:38 -07:00 |
Eddie Hung
|
0944acf3af
|
synth_xilinx -widemux=2 is minimum now
|
2019-07-08 11:29:21 -07:00 |
Eddie Hung
|
dbe1326573
|
Parametric muxcover costs as per @daveshah1
|
2019-07-08 11:08:20 -07:00 |
Eddie Hung
|
c58998a7d2
|
atoi -> stoi as per @daveshah1
|
2019-07-08 10:48:10 -07:00 |
Dan Ravensloft
|
4f798cda9d
|
synth_intel: Warn about untested Quartus backend
|
2019-07-07 19:26:31 +01:00 |
Eddie Hung
|
810f8c5dbd
|
Merge branch 'eddie/script_from_wire' into eddie/xc7srl_cleanup
|
2019-07-02 09:21:02 -07:00 |
Eddie Hung
|
2ea6083b7e
|
Fix $__XILINX_MUXF78 box timing
|
2019-07-01 14:04:06 -07:00 |
Eddie Hung
|
09ac274716
|
Revert "Fix broken MUXFx box, use MUXF7x2 box instead"
This reverts commit a9a140aa6c .
|
2019-07-01 14:01:09 -07:00 |
Eddie Hung
|
a9a140aa6c
|
Fix broken MUXFx box, use MUXF7x2 box instead
|
2019-07-01 13:36:27 -07:00 |
Eddie Hung
|
85f1c2dcbe
|
Cleanup SRL inference/make more consistent
|
2019-06-29 21:42:20 -07:00 |
Eddie Hung
|
62ba724ccb
|
Merge remote-tracking branch 'origin/master' into xc7mux
|
2019-06-29 19:39:27 -07:00 |
Eddie Hung
|
dd8d264bf5
|
install *_nowide.lut files
|
2019-06-29 19:37:04 -07:00 |
Eddie Hung
|
728839d6ca
|
Remove peepopt call in synth_xilinx since already in synth -run coarse
|
2019-06-28 12:53:38 -07:00 |
Eddie Hung
|
ea0f7c9be9
|
Restore $__XILINX_MUXF78 const optimisation
|
2019-06-28 12:12:41 -07:00 |
Eddie Hung
|
a193bf27c9
|
Clean up trimming leading 1'bx in A during techmappnig
|
2019-06-28 12:03:43 -07:00 |
Eddie Hung
|
cf020befeb
|
Fix CARRY4 abc_box_id
|
2019-06-28 11:28:50 -07:00 |
Eddie Hung
|
4ef26d4755
|
Merge remote-tracking branch 'origin/master' into xc7mux
|
2019-06-28 11:09:42 -07:00 |
Eddie Hung
|
03705f69f4
|
Update synth_ice40 -device doc to be relevant for -abc9 only
|
2019-06-28 09:49:01 -07:00 |
Eddie Hung
|
3f87575cb6
|
Disable boxing of ECP5 dist RAM due to regression
|
2019-06-28 09:46:36 -07:00 |
Eddie Hung
|
0318860b93
|
Add write address to abc_scc_break of ECP5 dist RAM
|
2019-06-28 09:45:48 -07:00 |
Eddie Hung
|
b9ddee0c87
|
Fix DO4 typo
|
2019-06-28 09:45:40 -07:00 |
Eddie Hung
|
00f63d82ce
|
Reduce diff with upstream
|
2019-06-27 16:13:22 -07:00 |
Eddie Hung
|
af8a5ae5fe
|
Extraneous newline
|
2019-06-27 16:12:20 -07:00 |
Eddie Hung
|
4daa746797
|
Remove noise from ice40/cells_sim.v
|
2019-06-27 16:11:39 -07:00 |
Eddie Hung
|
9398921af1
|
Refactor for one "abc_carry" attribute on module
|
2019-06-27 16:07:14 -07:00 |
Eddie Hung
|
312c03e4ca
|
Remove redundant doc
|
2019-06-27 15:28:55 -07:00 |
Eddie Hung
|
4d00e27ed7
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-27 11:23:30 -07:00 |
Eddie Hung
|
1237a4c116
|
Add warning if synth_xilinx -abc9 with family != xc7
|
2019-06-27 11:22:49 -07:00 |
Eddie Hung
|
6c256b8cda
|
Merge origin/master
|
2019-06-27 11:20:15 -07:00 |
Eddie Hung
|
593e4a30bb
|
MUXF78 -> $__MUXF78 to indicate internal
|
2019-06-26 20:09:28 -07:00 |
Eddie Hung
|
dbb8c8caaa
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-26 20:07:31 -07:00 |
Eddie Hung
|
4de25a1949
|
Add WE to ECP5 dist RAM's abc_scc_break too
|
2019-06-26 20:02:19 -07:00 |
Eddie Hung
|
a7a88109f5
|
Update comment on boxes
|
2019-06-26 20:00:15 -07:00 |
Eddie Hung
|
b7bef15b16
|
Add "WE" to dist RAM's abc_scc_break
|
2019-06-26 19:58:09 -07:00 |
Eddie Hung
|
b9ff0503f3
|
synth_xilinx's muxcover call to be very conservative -- -nodecode
|
2019-06-26 17:57:10 -07:00 |
Eddie Hung
|
f0a1726a1a
|
Accidentally removed "simplemap $mux"
|
2019-06-26 17:48:49 -07:00 |
Eddie Hung
|
2b104ed6c8
|
Replace with <internal options>
|
2019-06-26 17:42:50 -07:00 |
Eddie Hung
|
cae69a3edd
|
Rework help_mode for synth_xilinx -widemux
|
2019-06-26 17:41:21 -07:00 |
Eddie Hung
|
5f807a7a5b
|
Return to upstream synth_xilinx with opt -full and wreduce
|
2019-06-26 16:25:48 -07:00 |
Eddie Hung
|
812469aaa3
|
Merge remote-tracking branch 'origin/eddie/fix1132' into xc7mux
|
2019-06-26 14:48:35 -07:00 |
Eddie Hung
|
c762be5930
|
Instead of blocking wreduce on $mux, use -keepdc instead #1132
|
2019-06-26 11:48:35 -07:00 |
Eddie Hung
|
8d8261c71f
|
Do not call opt with -full before muxcover
|
2019-06-26 11:38:28 -07:00 |
Eddie Hung
|
80de03a7a6
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-26 11:24:39 -07:00 |
Eddie Hung
|
4d0014d1b1
|
Cleanup abc_box_id
|
2019-06-26 11:23:57 -07:00 |
Eddie Hung
|
612083a807
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-26 10:33:54 -07:00 |
Eddie Hung
|
5e1b8d458b
|
Remove unused var
|
2019-06-26 10:33:07 -07:00 |
Eddie Hung
|
988e6163ab
|
Add _nowide variants of LUT libraries in -nowidelut flows
|
2019-06-26 10:23:29 -07:00 |
Eddie Hung
|
741ebba70a
|
Merge branch 'xaig' of github.com:YosysHQ/yosys into xaig
|
2019-06-26 10:10:16 -07:00 |
Eddie Hung
|
799b18263f
|
Merge branch 'koriakin/xc7nocarrymux' into xaig
|
2019-06-26 10:04:01 -07:00 |
Miodrag Milanovic
|
ea0b6258ab
|
Simulation model verilog fix
|
2019-06-26 18:34:34 +02:00 |
Eddie Hung
|
4ce329aefd
|
synth_ecp5 rename -nomux to -nowidelut, but preserve former
|
2019-06-26 09:33:48 -07:00 |
Eddie Hung
|
7389b043c0
|
Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7nocarrymux
|
2019-06-26 09:33:38 -07:00 |
Eddie Hung
|
177c26ca35
|
Rename -minmuxf to -widemux
|
2019-06-26 09:16:45 -07:00 |
Eddie Hung
|
184cfacfb5
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-26 09:15:28 -07:00 |
David Shah
|
0dd850e655
|
abc9: Add wire delays to synth_ice40
Signed-off-by: David Shah <dave@ds0.me>
|
2019-06-26 11:39:44 +01:00 |
whitequark
|
3d4102cfa4
|
Add more ECP5 Diamond flip-flops.
This includes all I/O registers, and a few more regular FFs where it
was convenient.
|
2019-06-26 01:57:29 +00:00 |
Eddie Hung
|
480a04cb3c
|
Realistic delays for RAM32X1D too
|
2019-06-25 09:34:28 -07:00 |
Eddie Hung
|
6095357390
|
Add RAM32X1D box info
|
2019-06-25 09:34:19 -07:00 |
Eddie Hung
|
6f36ec8ecf
|
Merge remote-tracking branch 'origin/master' into xaig
|
2019-06-25 09:33:11 -07:00 |
Eddie Hung
|
4238feed81
|
This optimisation doesn't seem to work...
|
2019-06-25 09:21:46 -07:00 |
Eddie Hung
|
158325956e
|
Realistic delays for RAM32X1D too
|
2019-06-24 23:05:28 -07:00 |
Eddie Hung
|
3825068a75
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-24 23:04:25 -07:00 |
Eddie Hung
|
2f770b7400
|
Use LUT delays for dist RAM delays
|
2019-06-24 23:02:53 -07:00 |
Eddie Hung
|
e1ba25d79f
|
Add RAM32X1D box info
|
2019-06-24 22:54:35 -07:00 |
Eddie Hung
|
1564eb8b54
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-24 22:48:49 -07:00 |
Eddie Hung
|
4fadb471a3
|
Re-enable dist RAM boxes for ECP5
|
2019-06-24 22:12:50 -07:00 |
Eddie Hung
|
a4a7e63d84
|
Revert "Re-enable dist RAM boxes for ECP5"
This reverts commit ca0225fcfa .
|
2019-06-24 22:10:28 -07:00 |
Eddie Hung
|
ca0225fcfa
|
Re-enable dist RAM boxes for ECP5
|
2019-06-24 21:55:54 -07:00 |
Eddie Hung
|
152e682bd5
|
Add Xilinx dist RAM as comb boxes
|
2019-06-24 21:54:01 -07:00 |
Eddie Hung
|
f1675b88f6
|
Merge remote-tracking branch 'origin/eddie/ram32x1d' into xc7mux
|
2019-06-24 16:39:18 -07:00 |
Eddie Hung
|
efd04880db
|
Add RAM32X1D support
|
2019-06-24 16:16:50 -07:00 |
Eddie Hung
|
c3df895bf4
|
Reduce MuxFx resources in mux techmapping
|
2019-06-24 15:16:44 -07:00 |
Eddie Hung
|
db6a0b72b2
|
Reduce number of decomposed muxes during techmap
|
2019-06-24 14:28:56 -07:00 |
Eddie Hung
|
2e7992efff
|
Revert "Fix techmapping muxes some more"
This reverts commit 0aae3b4f43 .
|
2019-06-24 14:15:31 -07:00 |
Eddie Hung
|
7fbfcf20d1
|
Move comment
|
2019-06-24 14:15:00 -07:00 |
Eddie Hung
|
0aae3b4f43
|
Fix techmapping muxes some more
|
2019-06-24 12:50:48 -07:00 |
Eddie Hung
|
2b4501503d
|
Fix mux techmapping
|
2019-06-24 12:18:17 -07:00 |
Eddie Hung
|
aa1eeda567
|
Modify costs for muxcover
|
2019-06-24 11:51:55 -07:00 |
Eddie Hung
|
36e6da5396
|
Change synth_xilinx's -nomux to -minmuxf <int>
|
2019-06-24 10:04:01 -07:00 |
Eddie Hung
|
d54dceb547
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-22 19:44:17 -07:00 |
Eddie Hung
|
6027549464
|
Add comments to ecp5 box
|
2019-06-22 14:33:47 -07:00 |
Eddie Hung
|
792d0670c3
|
Add comment to xc7 box
|
2019-06-22 14:28:24 -07:00 |
Eddie Hung
|
63182ed57d
|
Fix and cleanup ice40 boxes for carry in/out
|
2019-06-22 14:27:41 -07:00 |
Eddie Hung
|
7903ebe3e0
|
Carry in/out box ordering now move to end, not swap with end
|
2019-06-22 14:18:42 -07:00 |
Eddie Hung
|
65c022c257
|
Remove DFF and RAMD box info for now
|
2019-06-21 20:41:14 -07:00 |
Eddie Hung
|
bbf3ad90f5
|
Remove $_MUX4_ techmap rule
|
2019-06-21 18:12:33 -07:00 |
Eddie Hung
|
39e0e006d5
|
Fix wreduce call (!!!), tweak muxcover costs
|
2019-06-21 18:12:07 -07:00 |
Eddie Hung
|
6c2cb51996
|
Merge remote-tracking branch 'origin/xaig' into xc7mux
|
2019-06-21 17:44:21 -07:00 |
Eddie Hung
|
1abe93e48d
|
Merge remote-tracking branch 'origin/master' into xaig
|
2019-06-21 17:43:29 -07:00 |
Eddie Hung
|
faa2d6fc1c
|
Constrain wreduce only if wide mux
|
2019-06-21 17:12:34 -07:00 |
Eddie Hung
|
aeee9dcad7
|
Simplify and comment out mux_map.v
|
2019-06-21 17:06:30 -07:00 |
Eddie Hung
|
ed00823b41
|
synth_xilinx to now wreduce except $mux, remove extra peepopt
|
2019-06-21 16:56:56 -07:00 |
Eddie Hung
|
29aee0989f
|
mux_map to no longer copy last value into 1'bx
|
2019-06-21 16:55:59 -07:00 |
Eddie Hung
|
8bce3fb329
|
Fix spacing
|
2019-06-21 16:55:34 -07:00 |
Eddie Hung
|
694d40719f
|
Fix spacing again, A_forward -> A_backward
|
2019-06-21 16:47:07 -07:00 |
Eddie Hung
|
11886c874c
|
Restore wreduce to synth_xilinx, after muxcover
|
2019-06-21 16:18:29 -07:00 |
Eddie Hung
|
44fc616fc7
|
Revert B_SIGNED optimisation, since only works for Y_WIDTH==1
|
2019-06-21 16:18:14 -07:00 |
Eddie Hung
|
4d6fac019a
|
Fix spacing
|
2019-06-21 16:06:13 -07:00 |
Eddie Hung
|
aa0b107afb
|
synth_xilinx to use _ABC macro, and perform muxpack again
|
2019-06-21 15:48:20 -07:00 |
Eddie Hung
|
9abde12110
|
Add $__XILINX_MUXF78 to preserve entire box
|
2019-06-21 15:47:42 -07:00 |
Eddie Hung
|
7acbea6b28
|
Fix alignment
|
2019-06-21 14:38:30 -07:00 |
Eddie Hung
|
f433a52374
|
Add FIXME about need for -mux4
|
2019-06-21 11:15:23 -07:00 |
Eddie Hung
|
c6b4653ebe
|
Since muxcover uses MUX4s, blast them back to gates here
|
2019-06-21 11:13:01 -07:00 |
Eddie Hung
|
dd22edcd28
|
Expand synth -coarse without wreduce, move muxcover
|
2019-06-21 11:12:32 -07:00 |
David Shah
|
a0d3d2bb41
|
ecp5: Improve mapping of $alu when BI is used
Signed-off-by: David Shah <dave@ds0.me>
|
2019-06-21 09:45:11 +01:00 |
Eddie Hung
|
e612dade12
|
Merge remote-tracking branch 'origin/master' into xaig
|
2019-06-20 19:00:36 -07:00 |
Eddie Hung
|
f11c9a419b
|
Call opt_expr -mux_undef to get rid of 1'bx in muxes prior to abc
|
2019-06-20 17:38:16 -07:00 |
Eddie Hung
|
d1dadfcec8
|
Call opt_expr -mux_undef to get rid of 1'bx in muxes prior to abc
|
2019-06-20 16:45:09 -07:00 |