2015-03-05 13:37:55 -06:00
|
|
|
/*
|
|
|
|
* yosys -- Yosys Open SYnthesis Suite
|
|
|
|
*
|
|
|
|
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
|
2015-07-02 04:14:30 -05:00
|
|
|
*
|
2015-03-05 13:37:55 -06:00
|
|
|
* Permission to use, copy, modify, and/or distribute this software for any
|
|
|
|
* purpose with or without fee is hereby granted, provided that the above
|
|
|
|
* copyright notice and this permission notice appear in all copies.
|
2015-07-02 04:14:30 -05:00
|
|
|
*
|
2015-03-05 13:37:55 -06:00
|
|
|
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
|
|
|
|
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
|
|
|
|
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
|
|
|
|
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
|
|
|
|
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
|
|
|
|
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
|
|
|
|
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
|
|
|
|
*
|
|
|
|
*/
|
|
|
|
|
|
|
|
#include "kernel/register.h"
|
|
|
|
#include "kernel/celltypes.h"
|
|
|
|
#include "kernel/rtlil.h"
|
|
|
|
#include "kernel/log.h"
|
|
|
|
|
|
|
|
USING_YOSYS_NAMESPACE
|
|
|
|
PRIVATE_NAMESPACE_BEGIN
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
struct SynthIce40Pass : public ScriptPass
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2016-03-31 04:16:34 -05:00
|
|
|
SynthIce40Pass() : ScriptPass("synth_ice40", "synthesis for iCE40 FPGAs") { }
|
2015-03-05 13:37:55 -06:00
|
|
|
|
2020-05-04 13:44:00 -05:00
|
|
|
void on_register() YS_OVERRIDE
|
|
|
|
{
|
|
|
|
RTLIL::constpad["synth_ice40.abc9.hx.W"] = "250";
|
|
|
|
RTLIL::constpad["synth_ice40.abc9.lp.W"] = "400";
|
|
|
|
RTLIL::constpad["synth_ice40.abc9.u.W"] = "750";
|
|
|
|
}
|
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void help() YS_OVERRIDE
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
|
|
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
|
|
|
log("\n");
|
|
|
|
log(" synth_ice40 [options]\n");
|
|
|
|
log("\n");
|
2016-11-01 05:32:02 -05:00
|
|
|
log("This command runs synthesis for iCE40 FPGAs.\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log("\n");
|
2019-04-17 17:19:02 -05:00
|
|
|
log(" -device < hx | lp | u >\n");
|
2019-06-28 11:49:01 -05:00
|
|
|
log(" relevant only for '-abc9' flow, optimise timing for the specified device.\n");
|
|
|
|
log(" default: hx\n");
|
2019-04-17 17:04:46 -05:00
|
|
|
log("\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log(" -top <module>\n");
|
2017-09-29 10:52:57 -05:00
|
|
|
log(" use the specified module as top module\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log("\n");
|
2015-05-26 10:04:37 -05:00
|
|
|
log(" -blif <file>\n");
|
|
|
|
log(" write the design to the specified BLIF file. writing of an output file\n");
|
|
|
|
log(" is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -edif <file>\n");
|
2018-06-13 06:35:10 -05:00
|
|
|
log(" write the design to the specified EDIF file. writing of an output file\n");
|
|
|
|
log(" is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -json <file>\n");
|
|
|
|
log(" write the design to the specified JSON file. writing of an output file\n");
|
2015-05-26 10:04:37 -05:00
|
|
|
log(" is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log(" -run <from_label>:<to_label>\n");
|
|
|
|
log(" only run the commands between the labels (see below). an empty\n");
|
|
|
|
log(" from label is synonymous to 'begin', and empty to label is\n");
|
|
|
|
log(" synonymous to the end of the command list.\n");
|
|
|
|
log("\n");
|
2015-06-09 13:28:17 -05:00
|
|
|
log(" -noflatten\n");
|
|
|
|
log(" do not flatten design before synthesis\n");
|
2015-04-23 17:06:50 -05:00
|
|
|
log("\n");
|
2020-04-13 19:32:21 -05:00
|
|
|
log(" -dff\n");
|
|
|
|
log(" run 'abc'/'abc9' with -dff option\n");
|
|
|
|
log("\n");
|
2015-04-23 17:06:50 -05:00
|
|
|
log(" -retime\n");
|
2019-12-30 14:11:45 -06:00
|
|
|
log(" run 'abc' with '-dff -D 1' options\n");
|
2015-04-23 17:06:50 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -nocarry\n");
|
|
|
|
log(" do not use SB_CARRY cells in output netlist\n");
|
|
|
|
log("\n");
|
2018-04-16 13:44:26 -05:00
|
|
|
log(" -nodffe\n");
|
|
|
|
log(" do not use SB_DFFE* cells in output netlist\n");
|
|
|
|
log("\n");
|
2018-11-14 19:49:35 -06:00
|
|
|
log(" -dffe_min_ce_use <min_ce_use>\n");
|
|
|
|
log(" do not use SB_DFFE* cells if the resulting CE line would go to less\n");
|
2019-07-11 05:46:45 -05:00
|
|
|
log(" than min_ce_use SB_DFFE* in output netlist\n");
|
2018-11-14 19:49:35 -06:00
|
|
|
log("\n");
|
2015-04-23 17:06:50 -05:00
|
|
|
log(" -nobram\n");
|
|
|
|
log(" do not use SB_RAM40_4K* cells in output netlist\n");
|
|
|
|
log("\n");
|
2019-02-20 09:42:27 -06:00
|
|
|
log(" -dsp\n");
|
|
|
|
log(" use iCE40 UltraPlus DSP cells for large arithmetic\n");
|
|
|
|
log("\n");
|
2018-12-04 23:24:15 -06:00
|
|
|
log(" -noabc\n");
|
|
|
|
log(" use built-in Yosys LUT techmapping instead of abc\n");
|
|
|
|
log("\n");
|
2015-12-08 04:16:26 -06:00
|
|
|
log(" -abc2\n");
|
|
|
|
log(" run two passes of 'abc' for slightly improved logic density\n");
|
|
|
|
log("\n");
|
2017-11-16 14:37:02 -06:00
|
|
|
log(" -vpr\n");
|
|
|
|
log(" generate an output netlist (and BLIF file) suitable for VPR\n");
|
2017-12-08 20:44:45 -06:00
|
|
|
log(" (this feature is experimental and incomplete)\n");
|
2017-11-16 14:37:02 -06:00
|
|
|
log("\n");
|
2019-02-14 15:19:27 -06:00
|
|
|
log(" -abc9\n");
|
2019-06-14 12:32:46 -05:00
|
|
|
log(" use new ABC9 flow (EXPERIMENTAL)\n");
|
2019-02-14 15:19:27 -06:00
|
|
|
log("\n");
|
2020-04-01 11:29:56 -05:00
|
|
|
log(" -flowmap\n");
|
|
|
|
log(" use FlowMap LUT techmapping instead of abc (EXPERIMENTAL)\n");
|
|
|
|
log("\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log("\n");
|
|
|
|
log("The following commands are executed by this synthesis command:\n");
|
2016-03-31 04:16:34 -05:00
|
|
|
help_script();
|
2015-03-05 13:37:55 -06:00
|
|
|
log("\n");
|
|
|
|
}
|
2016-03-31 04:16:34 -05:00
|
|
|
|
2020-01-12 13:26:05 -06:00
|
|
|
string top_opt, blif_file, edif_file, json_file, device_opt;
|
2020-04-13 19:32:21 -05:00
|
|
|
bool nocarry, nodffe, nobram, dsp, flatten, retime, noabc, abc2, vpr, abc9, dff, flowmap;
|
2018-11-14 19:49:35 -06:00
|
|
|
int min_ce_use;
|
2016-03-31 04:16:34 -05:00
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void clear_flags() YS_OVERRIDE
|
2016-03-31 04:16:34 -05:00
|
|
|
{
|
|
|
|
top_opt = "-auto-top";
|
|
|
|
blif_file = "";
|
|
|
|
edif_file = "";
|
2018-06-13 06:35:10 -05:00
|
|
|
json_file = "";
|
2016-03-31 04:16:34 -05:00
|
|
|
nocarry = false;
|
2018-04-16 13:44:26 -05:00
|
|
|
nodffe = false;
|
2018-11-14 19:49:35 -06:00
|
|
|
min_ce_use = -1;
|
2016-03-31 04:16:34 -05:00
|
|
|
nobram = false;
|
2019-02-20 09:42:27 -06:00
|
|
|
dsp = false;
|
2016-03-31 04:16:34 -05:00
|
|
|
flatten = true;
|
|
|
|
retime = false;
|
2018-12-04 23:24:15 -06:00
|
|
|
noabc = false;
|
2016-03-31 04:16:34 -05:00
|
|
|
abc2 = false;
|
2017-11-16 14:37:02 -06:00
|
|
|
vpr = false;
|
2020-01-12 13:26:05 -06:00
|
|
|
abc9 = false;
|
2020-04-01 11:29:56 -05:00
|
|
|
flowmap = false;
|
2019-04-17 17:19:02 -05:00
|
|
|
device_opt = "hx";
|
2016-03-31 04:16:34 -05:00
|
|
|
}
|
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2016-04-23 03:27:33 -05:00
|
|
|
string run_from, run_to;
|
2016-03-31 04:16:34 -05:00
|
|
|
clear_flags();
|
2015-03-05 13:37:55 -06:00
|
|
|
|
|
|
|
size_t argidx;
|
|
|
|
for (argidx = 1; argidx < args.size(); argidx++)
|
|
|
|
{
|
|
|
|
if (args[argidx] == "-top" && argidx+1 < args.size()) {
|
2015-04-14 06:45:15 -05:00
|
|
|
top_opt = "-top " + args[++argidx];
|
2015-03-05 13:37:55 -06:00
|
|
|
continue;
|
|
|
|
}
|
2015-05-26 10:04:37 -05:00
|
|
|
if (args[argidx] == "-blif" && argidx+1 < args.size()) {
|
|
|
|
blif_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-edif" && argidx+1 < args.size()) {
|
|
|
|
edif_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
2018-06-13 06:35:10 -05:00
|
|
|
if (args[argidx] == "-json" && argidx+1 < args.size()) {
|
|
|
|
json_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
2015-03-05 13:37:55 -06:00
|
|
|
if (args[argidx] == "-run" && argidx+1 < args.size()) {
|
|
|
|
size_t pos = args[argidx+1].find(':');
|
|
|
|
if (pos == std::string::npos)
|
|
|
|
break;
|
|
|
|
run_from = args[++argidx].substr(0, pos);
|
|
|
|
run_to = args[argidx].substr(pos+1);
|
|
|
|
continue;
|
|
|
|
}
|
2015-04-23 17:06:50 -05:00
|
|
|
if (args[argidx] == "-flatten") {
|
|
|
|
flatten = true;
|
|
|
|
continue;
|
|
|
|
}
|
2015-06-09 13:28:17 -05:00
|
|
|
if (args[argidx] == "-noflatten") {
|
|
|
|
flatten = false;
|
|
|
|
continue;
|
|
|
|
}
|
2015-04-23 17:06:50 -05:00
|
|
|
if (args[argidx] == "-retime") {
|
|
|
|
retime = true;
|
|
|
|
continue;
|
|
|
|
}
|
2018-12-04 18:28:03 -06:00
|
|
|
if (args[argidx] == "-relut") {
|
2019-07-11 05:46:30 -05:00
|
|
|
// removed, opt_lut is always run
|
2018-12-04 18:28:03 -06:00
|
|
|
continue;
|
|
|
|
}
|
2015-04-18 02:33:08 -05:00
|
|
|
if (args[argidx] == "-nocarry") {
|
|
|
|
nocarry = true;
|
|
|
|
continue;
|
|
|
|
}
|
2018-04-16 13:44:26 -05:00
|
|
|
if (args[argidx] == "-nodffe") {
|
|
|
|
nodffe = true;
|
|
|
|
continue;
|
|
|
|
}
|
2018-11-14 19:49:35 -06:00
|
|
|
if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) {
|
2019-08-07 13:09:17 -05:00
|
|
|
min_ce_use = atoi(args[++argidx].c_str());
|
2018-11-14 19:49:35 -06:00
|
|
|
continue;
|
|
|
|
}
|
2015-04-23 17:06:50 -05:00
|
|
|
if (args[argidx] == "-nobram") {
|
|
|
|
nobram = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-02-20 09:42:27 -06:00
|
|
|
if (args[argidx] == "-dsp") {
|
|
|
|
dsp = true;
|
|
|
|
continue;
|
|
|
|
}
|
2018-12-04 23:24:15 -06:00
|
|
|
if (args[argidx] == "-noabc") {
|
|
|
|
noabc = true;
|
|
|
|
continue;
|
|
|
|
}
|
2015-12-08 04:16:26 -06:00
|
|
|
if (args[argidx] == "-abc2") {
|
|
|
|
abc2 = true;
|
|
|
|
continue;
|
|
|
|
}
|
2017-11-16 14:37:02 -06:00
|
|
|
if (args[argidx] == "-vpr") {
|
|
|
|
vpr = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-02-14 15:19:27 -06:00
|
|
|
if (args[argidx] == "-abc9") {
|
2020-01-12 13:26:05 -06:00
|
|
|
abc9 = true;
|
2019-02-14 15:19:27 -06:00
|
|
|
continue;
|
|
|
|
}
|
2020-04-13 19:32:21 -05:00
|
|
|
if (args[argidx] == "-dff") {
|
|
|
|
dff = true;
|
|
|
|
continue;
|
|
|
|
}
|
2019-04-17 17:04:46 -05:00
|
|
|
if (args[argidx] == "-device" && argidx+1 < args.size()) {
|
|
|
|
device_opt = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
2020-05-12 17:40:13 -05:00
|
|
|
if (args[argidx] == "-flowmap") {
|
|
|
|
flowmap = true;
|
|
|
|
continue;
|
|
|
|
}
|
2015-03-05 13:37:55 -06:00
|
|
|
break;
|
|
|
|
}
|
|
|
|
extra_args(args, argidx, design);
|
|
|
|
|
|
|
|
if (!design->full_selection())
|
2018-12-07 13:14:07 -06:00
|
|
|
log_cmd_error("This command only operates on fully selected designs!\n");
|
2019-04-17 17:19:02 -05:00
|
|
|
if (device_opt != "hx" && device_opt != "lp" && device_opt !="u")
|
2019-04-17 17:07:44 -05:00
|
|
|
log_cmd_error("Invalid or no device specified: '%s'\n", device_opt.c_str());
|
2015-03-05 13:37:55 -06:00
|
|
|
|
2020-01-12 13:26:05 -06:00
|
|
|
if (abc9 && retime)
|
2019-07-10 14:47:48 -05:00
|
|
|
log_cmd_error("-retime option not currently compatible with -abc9!\n");
|
2020-05-12 17:40:13 -05:00
|
|
|
if (abc9 && noabc)
|
|
|
|
log_cmd_error("-abc9 is incompatible with -noabc!\n");
|
|
|
|
if (abc9 && flowmap)
|
|
|
|
log_cmd_error("-abc9 is incompatible with -flowmap!\n");
|
|
|
|
if (flowmap && noabc)
|
|
|
|
log_cmd_error("-flowmap is incompatible with -noabc!\n");
|
2020-02-28 08:29:57 -06:00
|
|
|
|
2016-04-21 16:28:37 -05:00
|
|
|
log_header(design, "Executing SYNTH_ICE40 pass.\n");
|
2015-03-05 13:37:55 -06:00
|
|
|
log_push();
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
run_script(design, run_from, run_to);
|
|
|
|
|
|
|
|
log_pop();
|
|
|
|
}
|
|
|
|
|
2018-07-21 01:41:18 -05:00
|
|
|
void script() YS_OVERRIDE
|
2016-03-31 04:16:34 -05:00
|
|
|
{
|
2020-02-13 12:30:56 -06:00
|
|
|
std::string define;
|
|
|
|
if (device_opt == "lp")
|
|
|
|
define = "-D ICE40_LP";
|
|
|
|
else if (device_opt == "u")
|
|
|
|
define = "-D ICE40_U";
|
|
|
|
else
|
|
|
|
define = "-D ICE40_HX";
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("begin"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2020-02-13 11:58:20 -06:00
|
|
|
run("read_verilog " + define + " -lib -specify +/ice40/cells_sim.v");
|
2016-03-31 04:16:34 -05:00
|
|
|
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
|
2019-02-20 09:42:27 -06:00
|
|
|
run("proc");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2019-04-26 16:31:59 -05:00
|
|
|
if (check_label("flatten", "(unless -noflatten)"))
|
2015-04-23 17:06:50 -05:00
|
|
|
{
|
2019-04-26 16:31:59 -05:00
|
|
|
if (flatten) {
|
|
|
|
run("flatten");
|
|
|
|
run("tribuf -logic");
|
|
|
|
run("deminout");
|
|
|
|
}
|
2015-04-23 17:06:50 -05:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("coarse"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2019-02-20 09:42:27 -06:00
|
|
|
run("opt_expr");
|
|
|
|
run("opt_clean");
|
|
|
|
run("check");
|
|
|
|
run("opt");
|
|
|
|
run("wreduce");
|
2019-04-30 01:10:37 -05:00
|
|
|
run("peepopt");
|
|
|
|
run("opt_clean");
|
2019-02-20 09:42:27 -06:00
|
|
|
run("share");
|
|
|
|
run("techmap -map +/cmp2lut.v -D LUT_WIDTH=4");
|
|
|
|
run("opt_expr");
|
|
|
|
run("opt_clean");
|
2019-07-18 17:38:09 -05:00
|
|
|
if (help_mode || dsp) {
|
2020-01-17 17:41:55 -06:00
|
|
|
run("memory_dff"); // ice40_dsp will merge registers, reserve memory port registers first
|
|
|
|
run("wreduce t:$mul");
|
2019-09-20 10:56:16 -05:00
|
|
|
run("techmap -map +/mul2dsp.v -map +/ice40/dsp_map.v -D DSP_A_MAXWIDTH=16 -D DSP_B_MAXWIDTH=16 "
|
2019-09-20 11:02:29 -05:00
|
|
|
"-D DSP_A_MINWIDTH=2 -D DSP_B_MINWIDTH=2 -D DSP_Y_MINWIDTH=11 "
|
2019-09-20 10:56:16 -05:00
|
|
|
"-D DSP_NAME=$__MUL16X16", "(if -dsp)");
|
2019-09-26 12:15:05 -05:00
|
|
|
run("select a:mul2dsp", " (if -dsp)");
|
2019-09-27 16:32:07 -05:00
|
|
|
run("setattr -unset mul2dsp", " (if -dsp)");
|
2019-09-26 12:15:05 -05:00
|
|
|
run("opt_expr -fine", " (if -dsp)");
|
|
|
|
run("wreduce", " (if -dsp)");
|
|
|
|
run("select -clear", " (if -dsp)");
|
|
|
|
run("ice40_dsp", " (if -dsp)");
|
|
|
|
run("chtype -set $mul t:$__soft_mul", "(if -dsp)");
|
2019-07-18 17:38:09 -05:00
|
|
|
}
|
2019-02-20 09:42:27 -06:00
|
|
|
run("alumacc");
|
|
|
|
run("opt");
|
|
|
|
run("fsm");
|
|
|
|
run("opt -fast");
|
|
|
|
run("memory -nomap");
|
|
|
|
run("opt_clean");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2019-07-11 05:56:59 -05:00
|
|
|
if (!nobram && check_label("map_bram", "(skip if -nobram)"))
|
2015-04-23 17:06:50 -05:00
|
|
|
{
|
2016-03-31 04:16:34 -05:00
|
|
|
run("memory_bram -rules +/ice40/brams.txt");
|
|
|
|
run("techmap -map +/ice40/brams_map.v");
|
2019-03-07 17:11:17 -06:00
|
|
|
run("ice40_braminit");
|
2015-04-23 17:06:50 -05:00
|
|
|
}
|
|
|
|
|
2019-07-11 05:56:59 -05:00
|
|
|
if (check_label("map_ffram"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2016-03-31 04:16:34 -05:00
|
|
|
run("opt -fast -mux_undef -undriven -fine");
|
2020-01-01 03:48:39 -06:00
|
|
|
run("memory_map -iattr -attr !ram_block -attr !rom_block -attr logic_block "
|
|
|
|
"-attr syn_ramstyle=auto -attr syn_ramstyle=registers "
|
|
|
|
"-attr syn_romstyle=auto -attr syn_romstyle=logic");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("opt -undriven -fine");
|
2018-12-06 06:02:42 -06:00
|
|
|
}
|
|
|
|
|
|
|
|
if (check_label("map_gates"))
|
|
|
|
{
|
2015-04-18 02:33:08 -05:00
|
|
|
if (nocarry)
|
2016-03-31 04:16:34 -05:00
|
|
|
run("techmap");
|
2019-08-12 14:06:45 -05:00
|
|
|
else {
|
|
|
|
run("ice40_wrapcarry");
|
|
|
|
run("techmap -map +/techmap.v -map +/ice40/arith_map.v");
|
|
|
|
}
|
2020-02-05 20:39:01 -06:00
|
|
|
run("opt -fast");
|
2019-07-10 14:47:48 -05:00
|
|
|
if (retime || help_mode)
|
2020-01-12 13:26:05 -06:00
|
|
|
run("abc -dff -D 1", "(only if -retime)");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("ice40_opt");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("map_ffs"))
|
2015-04-16 04:30:56 -05:00
|
|
|
{
|
2018-04-16 13:44:26 -05:00
|
|
|
if (!nodffe)
|
|
|
|
run("dff2dffe -direct-match $_DFF_*");
|
2018-11-14 19:49:35 -06:00
|
|
|
if (min_ce_use >= 0) {
|
|
|
|
run("opt_merge");
|
|
|
|
run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
|
2020-03-20 15:29:16 -05:00
|
|
|
run("simplemap t:$dff");
|
2018-11-14 19:49:35 -06:00
|
|
|
}
|
2020-04-13 19:32:21 -05:00
|
|
|
if ((abc9 && dff) || help_mode)
|
|
|
|
run("zinit -all", "(-abc9 and -dff only)");
|
|
|
|
run("techmap -map +/ice40/ff_map.v");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("opt_expr -mux_undef");
|
|
|
|
run("simplemap");
|
|
|
|
run("ice40_ffinit");
|
|
|
|
run("ice40_ffssr");
|
|
|
|
run("ice40_opt -full");
|
2015-04-16 04:30:56 -05:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("map_luts"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2016-03-31 04:16:34 -05:00
|
|
|
if (abc2 || help_mode) {
|
2020-01-12 13:26:05 -06:00
|
|
|
run("abc", " (only if -abc2)");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("ice40_opt", "(only if -abc2)");
|
2015-12-22 05:19:11 -06:00
|
|
|
}
|
2016-05-06 16:02:37 -05:00
|
|
|
run("techmap -map +/ice40/latches_map.v");
|
2020-02-28 08:29:57 -06:00
|
|
|
if (noabc || flowmap || help_mode) {
|
|
|
|
run("simplemap", " (if -noabc or -flowmap)");
|
2020-05-12 17:40:13 -05:00
|
|
|
if (noabc || help_mode)
|
|
|
|
run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
|
|
|
|
if (flowmap || help_mode)
|
|
|
|
run("flowmap -maxlut 4", "(only if -flowmap)");
|
2018-12-04 23:24:15 -06:00
|
|
|
}
|
|
|
|
if (!noabc) {
|
2020-01-12 13:26:05 -06:00
|
|
|
if (abc9) {
|
2020-02-13 12:30:56 -06:00
|
|
|
run("read_verilog " + define + " -icells -lib -specify +/abc9_model.v +/ice40/abc9_model.v");
|
2020-05-04 13:44:00 -05:00
|
|
|
std::string abc9_opts;
|
|
|
|
std::string k = "synth_ice40.abc9.W";
|
|
|
|
if (active_design && active_design->scratchpad.count(k))
|
|
|
|
abc9_opts += stringf(" -W %s", active_design->scratchpad_get_string(k).c_str());
|
|
|
|
else {
|
|
|
|
k = stringf("synth_ice40.abc9.%s.W", device_opt.c_str());
|
|
|
|
abc9_opts += stringf(" -W %s", RTLIL::constpad.at(k).c_str());
|
|
|
|
}
|
2020-04-13 19:32:21 -05:00
|
|
|
if (dff)
|
|
|
|
abc9_opts += " -dff";
|
2020-05-04 13:44:00 -05:00
|
|
|
run("abc9 " + abc9_opts);
|
2019-06-26 05:39:44 -05:00
|
|
|
}
|
2019-04-16 18:39:30 -05:00
|
|
|
else
|
2020-04-13 19:32:21 -05:00
|
|
|
run(stringf("abc -dress -lut 4 %s", dff ? "-dff" : ""), "(skip if -noabc)");
|
2018-12-04 23:24:15 -06:00
|
|
|
}
|
2019-12-09 13:48:28 -06:00
|
|
|
run("ice40_wrapcarry -unwrap");
|
2020-04-13 19:32:21 -05:00
|
|
|
run("techmap -map +/ice40/ff_map.v");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("clean");
|
2019-07-16 16:57:15 -05:00
|
|
|
run("opt_lut -dlogic SB_CARRY:I0=2:I1=1:CI=0");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("map_cells"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2020-04-13 19:32:21 -05:00
|
|
|
if (help_mode)
|
|
|
|
run("techmap [-map +/ice40/ff_map.v] [-map +/ice40/cells_map.v]", "(skip if -abc9; skip if -vpr)");
|
|
|
|
else if (vpr)
|
|
|
|
run("techmap -map +/ice40/ff_map.v");
|
|
|
|
else {
|
|
|
|
std::string techmap_args;
|
|
|
|
if (!abc9)
|
|
|
|
techmap_args += " -map +/ice40/ff_map.v";
|
|
|
|
if (!vpr)
|
|
|
|
techmap_args += " -map +/ice40/cells_map.v";
|
|
|
|
if (!techmap_args.empty())
|
|
|
|
run("techmap " + techmap_args);
|
|
|
|
}
|
2017-11-16 14:37:02 -06:00
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
run("clean");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("check"))
|
2015-03-05 13:37:55 -06:00
|
|
|
{
|
2019-11-13 06:41:16 -06:00
|
|
|
run("autoname");
|
2016-03-31 04:16:34 -05:00
|
|
|
run("hierarchy -check");
|
|
|
|
run("stat");
|
|
|
|
run("check -noinit");
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("blif"))
|
2015-05-26 10:04:37 -05:00
|
|
|
{
|
2017-11-16 14:37:02 -06:00
|
|
|
if (!blif_file.empty() || help_mode) {
|
|
|
|
if (vpr || help_mode) {
|
|
|
|
run(stringf("opt_clean -purge"),
|
2018-06-13 06:35:10 -05:00
|
|
|
" (vpr mode)");
|
2018-04-15 18:05:52 -05:00
|
|
|
run(stringf("write_blif -attr -cname -conn -param %s",
|
2018-06-13 06:35:10 -05:00
|
|
|
help_mode ? "<file-name>" : blif_file.c_str()),
|
|
|
|
" (vpr mode)");
|
2017-11-16 14:37:02 -06:00
|
|
|
}
|
|
|
|
if (!vpr)
|
|
|
|
run(stringf("write_blif -gates -attr -param %s",
|
2018-06-13 06:35:10 -05:00
|
|
|
help_mode ? "<file-name>" : blif_file.c_str()),
|
|
|
|
" (non-vpr mode)");
|
2017-11-16 14:37:02 -06:00
|
|
|
}
|
2015-05-26 10:04:37 -05:00
|
|
|
}
|
|
|
|
|
2016-03-31 04:16:34 -05:00
|
|
|
if (check_label("edif"))
|
2015-05-26 10:04:37 -05:00
|
|
|
{
|
2016-03-31 04:16:34 -05:00
|
|
|
if (!edif_file.empty() || help_mode)
|
|
|
|
run(stringf("write_edif %s", help_mode ? "<file-name>" : edif_file.c_str()));
|
2015-05-26 10:04:37 -05:00
|
|
|
}
|
2018-06-13 06:35:10 -05:00
|
|
|
|
|
|
|
if (check_label("json"))
|
|
|
|
{
|
|
|
|
if (!json_file.empty() || help_mode)
|
|
|
|
run(stringf("write_json %s", help_mode ? "<file-name>" : json_file.c_str()));
|
|
|
|
}
|
2015-03-05 13:37:55 -06:00
|
|
|
}
|
|
|
|
} SynthIce40Pass;
|
2015-07-02 04:14:30 -05:00
|
|
|
|
2015-03-05 13:37:55 -06:00
|
|
|
PRIVATE_NAMESPACE_END
|