yosys/techlibs/ice40/synth_ice40.cc

395 lines
11 KiB
C++
Raw Normal View History

/*
* yosys -- Yosys Open SYnthesis Suite
*
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
2015-07-02 04:14:30 -05:00
*
* Permission to use, copy, modify, and/or distribute this software for any
* purpose with or without fee is hereby granted, provided that the above
* copyright notice and this permission notice appear in all copies.
2015-07-02 04:14:30 -05:00
*
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
*
*/
#include "kernel/register.h"
#include "kernel/celltypes.h"
#include "kernel/rtlil.h"
#include "kernel/log.h"
USING_YOSYS_NAMESPACE
PRIVATE_NAMESPACE_BEGIN
struct SynthIce40Pass : public ScriptPass
{
SynthIce40Pass() : ScriptPass("synth_ice40", "synthesis for iCE40 FPGAs") { }
void help() YS_OVERRIDE
{
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
log("\n");
log(" synth_ice40 [options]\n");
log("\n");
2016-11-01 05:32:02 -05:00
log("This command runs synthesis for iCE40 FPGAs.\n");
log("\n");
2019-04-17 17:04:46 -05:00
log(" -device < hx1k | lp384 | lp1k | lp8k | hx8k | u4k | up5k >\n");
log(" optimise the synthesis netlist for the specified device.\n");
log(" HX1K is the default target if no device argument specified.\n");
log("\n");
log(" -top <module>\n");
log(" use the specified module as top module\n");
log("\n");
2015-05-26 10:04:37 -05:00
log(" -blif <file>\n");
log(" write the design to the specified BLIF file. writing of an output file\n");
log(" is omitted if this parameter is not specified.\n");
log("\n");
log(" -edif <file>\n");
log(" write the design to the specified EDIF file. writing of an output file\n");
log(" is omitted if this parameter is not specified.\n");
log("\n");
log(" -json <file>\n");
log(" write the design to the specified JSON file. writing of an output file\n");
2015-05-26 10:04:37 -05:00
log(" is omitted if this parameter is not specified.\n");
log("\n");
log(" -run <from_label>:<to_label>\n");
log(" only run the commands between the labels (see below). an empty\n");
log(" from label is synonymous to 'begin', and empty to label is\n");
log(" synonymous to the end of the command list.\n");
log("\n");
2015-06-09 13:28:17 -05:00
log(" -noflatten\n");
log(" do not flatten design before synthesis\n");
2015-04-23 17:06:50 -05:00
log("\n");
log(" -retime\n");
log(" run 'abc' with -dff option\n");
log("\n");
log(" -relut\n");
log(" combine LUTs after synthesis\n");
log("\n");
2015-04-23 17:06:50 -05:00
log(" -nocarry\n");
log(" do not use SB_CARRY cells in output netlist\n");
log("\n");
log(" -nodffe\n");
log(" do not use SB_DFFE* cells in output netlist\n");
log("\n");
log(" -dffe_min_ce_use <min_ce_use>\n");
log(" do not use SB_DFFE* cells if the resulting CE line would go to less\n");
log(" than min_ce_use SB_DFFE*in output netlist\n");
log("\n");
2015-04-23 17:06:50 -05:00
log(" -nobram\n");
log(" do not use SB_RAM40_4K* cells in output netlist\n");
log("\n");
log(" -dsp\n");
log(" use iCE40 UltraPlus DSP cells for large arithmetic\n");
log("\n");
log(" -noabc\n");
log(" use built-in Yosys LUT techmapping instead of abc\n");
log("\n");
2015-12-08 04:16:26 -06:00
log(" -abc2\n");
log(" run two passes of 'abc' for slightly improved logic density\n");
log("\n");
2017-11-16 14:37:02 -06:00
log(" -vpr\n");
log(" generate an output netlist (and BLIF file) suitable for VPR\n");
log(" (this feature is experimental and incomplete)\n");
2017-11-16 14:37:02 -06:00
log("\n");
2019-02-14 15:19:27 -06:00
log(" -abc9\n");
log(" use abc9 instead of abc\n");
log("\n");
log("\n");
log("The following commands are executed by this synthesis command:\n");
help_script();
log("\n");
}
2019-04-17 17:04:46 -05:00
string top_opt, blif_file, edif_file, json_file, abc, device_opt;
bool nocarry, nodffe, nobram, dsp, flatten, retime, relut, noabc, abc2, vpr;
int min_ce_use;
void clear_flags() YS_OVERRIDE
{
top_opt = "-auto-top";
blif_file = "";
edif_file = "";
json_file = "";
nocarry = false;
nodffe = false;
min_ce_use = -1;
nobram = false;
dsp = false;
flatten = true;
retime = false;
relut = false;
noabc = false;
abc2 = false;
2017-11-16 14:37:02 -06:00
vpr = false;
2019-02-14 15:19:27 -06:00
abc = "abc";
2019-04-17 17:04:46 -05:00
device_opt = "hx1k";
}
void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
{
string run_from, run_to;
clear_flags();
size_t argidx;
for (argidx = 1; argidx < args.size(); argidx++)
{
if (args[argidx] == "-top" && argidx+1 < args.size()) {
top_opt = "-top " + args[++argidx];
continue;
}
2015-05-26 10:04:37 -05:00
if (args[argidx] == "-blif" && argidx+1 < args.size()) {
blif_file = args[++argidx];
continue;
}
if (args[argidx] == "-edif" && argidx+1 < args.size()) {
edif_file = args[++argidx];
continue;
}
if (args[argidx] == "-json" && argidx+1 < args.size()) {
json_file = args[++argidx];
continue;
}
if (args[argidx] == "-run" && argidx+1 < args.size()) {
size_t pos = args[argidx+1].find(':');
if (pos == std::string::npos)
break;
run_from = args[++argidx].substr(0, pos);
run_to = args[argidx].substr(pos+1);
continue;
}
2015-04-23 17:06:50 -05:00
if (args[argidx] == "-flatten") {
flatten = true;
continue;
}
2015-06-09 13:28:17 -05:00
if (args[argidx] == "-noflatten") {
flatten = false;
continue;
}
2015-04-23 17:06:50 -05:00
if (args[argidx] == "-retime") {
retime = true;
continue;
}
if (args[argidx] == "-relut") {
relut = true;
continue;
}
2015-04-18 02:33:08 -05:00
if (args[argidx] == "-nocarry") {
nocarry = true;
continue;
}
if (args[argidx] == "-nodffe") {
nodffe = true;
continue;
}
if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) {
min_ce_use = std::stoi(args[++argidx]);
continue;
}
2015-04-23 17:06:50 -05:00
if (args[argidx] == "-nobram") {
nobram = true;
continue;
}
if (args[argidx] == "-dsp") {
dsp = true;
continue;
}
if (args[argidx] == "-noabc") {
noabc = true;
continue;
}
2015-12-08 04:16:26 -06:00
if (args[argidx] == "-abc2") {
abc2 = true;
continue;
}
2017-11-16 14:37:02 -06:00
if (args[argidx] == "-vpr") {
vpr = true;
continue;
}
2019-02-14 15:19:27 -06:00
if (args[argidx] == "-abc9") {
abc = "abc9";
continue;
}
2019-04-17 17:04:46 -05:00
if (args[argidx] == "-device" && argidx+1 < args.size()) {
device_opt = args[++argidx];
continue;
}
break;
}
extra_args(args, argidx, design);
if (!design->full_selection())
log_cmd_error("This command only operates on fully selected designs!\n");
2019-04-17 17:04:46 -05:00
if (device_opt != "hx1k" && device_opt !="lp384" && device_opt != "lp1k" && device_opt !="lp8k" && device_opt !="hx8k" && device_opt != "u4k" && device_opt != "up5k")
2019-04-17 17:07:44 -05:00
log_cmd_error("Invalid or no device specified: '%s'\n", device_opt.c_str());
2016-04-21 16:28:37 -05:00
log_header(design, "Executing SYNTH_ICE40 pass.\n");
log_push();
run_script(design, run_from, run_to);
log_pop();
}
void script() YS_OVERRIDE
{
if (check_label("begin"))
{
run("read_verilog -lib +/ice40/cells_sim.v");
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
run("proc");
}
if (flatten && check_label("flatten", "(unless -noflatten)"))
2015-04-23 17:06:50 -05:00
{
run("flatten");
run("tribuf -logic");
2016-06-19 06:08:16 -05:00
run("deminout");
2015-04-23 17:06:50 -05:00
}
if (check_label("coarse"))
{
run("opt_expr");
run("opt_clean");
run("check");
run("opt");
run("wreduce");
run("share");
run("techmap -map +/cmp2lut.v -D LUT_WIDTH=4");
run("opt_expr");
run("opt_clean");
if (help_mode || dsp)
run("ice40_dsp", "(if -dsp)");
run("alumacc");
run("opt");
run("fsm");
run("opt -fast");
run("memory -nomap");
run("opt_clean");
}
if (!nobram && check_label("bram", "(skip if -nobram)"))
2015-04-23 17:06:50 -05:00
{
run("memory_bram -rules +/ice40/brams.txt");
run("techmap -map +/ice40/brams_map.v");
run("ice40_braminit");
2015-04-23 17:06:50 -05:00
}
if (check_label("map"))
{
run("opt -fast -mux_undef -undriven -fine");
run("memory_map");
run("opt -undriven -fine");
}
if (check_label("map_gates"))
{
2015-04-18 02:33:08 -05:00
if (nocarry)
run("techmap");
2015-04-18 02:33:08 -05:00
else
run("techmap -map +/techmap.v -map +/ice40/arith_map.v");
if (retime || help_mode)
2019-02-14 15:19:27 -06:00
run(abc + " -dff", "(only if -retime)");
run("ice40_opt");
}
if (check_label("map_ffs"))
2015-04-16 04:30:56 -05:00
{
run("dffsr2dff");
if (!nodffe)
run("dff2dffe -direct-match $_DFF_*");
if (min_ce_use >= 0) {
run("opt_merge");
run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
}
run("techmap -D NO_LUT -map +/ice40/cells_map.v");
run("opt_expr -mux_undef");
run("simplemap");
run("ice40_ffinit");
run("ice40_ffssr");
run("ice40_opt -full");
2015-04-16 04:30:56 -05:00
}
if (check_label("map_luts"))
{
if (abc2 || help_mode) {
2019-02-14 15:19:27 -06:00
run(abc, " (only if -abc2)");
run("ice40_opt", "(only if -abc2)");
}
run("techmap -map +/ice40/latches_map.v");
if (noabc || help_mode) {
run("simplemap", " (only if -noabc)");
run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
}
if (!noabc) {
2019-04-16 18:39:30 -05:00
if (abc == "abc9") {
2019-04-17 14:22:03 -05:00
run("read_verilog +/ice40/abc.v");
2019-04-16 18:39:30 -05:00
run("techmap -map +/techmap.v A:abc_box_id");
2019-04-17 17:04:46 -05:00
run(abc + stringf(" -dress -lut +/ice40/%s.lut -box +/ice40/%s.box", device_opt.c_str(), device_opt.c_str()), "(skip if -noabc)");
2019-04-16 18:39:30 -05:00
run("blackbox A:abc_box_id");
}
else
run(abc + " -lut 4", "(skip if -noabc)");
}
run("clean");
if (relut || help_mode) {
run("ice40_unlut", " (only if -relut)");
run("opt_lut -dlogic SB_CARRY:I0=1:I1=2:CI=3", "(only if -relut)");
}
}
if (check_label("map_cells"))
{
2017-11-16 14:37:02 -06:00
if (vpr)
run("techmap -D NO_LUT -map +/ice40/cells_map.v");
2017-11-16 14:37:02 -06:00
else
run("techmap -map +/ice40/cells_map.v", "(with -D NO_LUT in vpr mode)");
2017-11-16 14:37:02 -06:00
run("clean");
}
if (check_label("check"))
{
run("hierarchy -check");
run("stat");
run("check -noinit");
}
if (check_label("blif"))
2015-05-26 10:04:37 -05:00
{
2017-11-16 14:37:02 -06:00
if (!blif_file.empty() || help_mode) {
if (vpr || help_mode) {
run(stringf("opt_clean -purge"),
" (vpr mode)");
run(stringf("write_blif -attr -cname -conn -param %s",
help_mode ? "<file-name>" : blif_file.c_str()),
" (vpr mode)");
2017-11-16 14:37:02 -06:00
}
if (!vpr)
run(stringf("write_blif -gates -attr -param %s",
help_mode ? "<file-name>" : blif_file.c_str()),
" (non-vpr mode)");
2017-11-16 14:37:02 -06:00
}
2015-05-26 10:04:37 -05:00
}
if (check_label("edif"))
2015-05-26 10:04:37 -05:00
{
if (!edif_file.empty() || help_mode)
run(stringf("write_edif %s", help_mode ? "<file-name>" : edif_file.c_str()));
2015-05-26 10:04:37 -05:00
}
if (check_label("json"))
{
if (!json_file.empty() || help_mode)
run(stringf("write_json %s", help_mode ? "<file-name>" : json_file.c_str()));
}
}
} SynthIce40Pass;
2015-07-02 04:14:30 -05:00
PRIVATE_NAMESPACE_END