Eddie Hung
|
e990c013c5
|
Merge blockram tests
|
2019-12-16 13:01:51 -08:00 |
Eddie Hung
|
d910bec8e0
|
Update xc7/xcu bram rules
|
2019-12-16 13:00:58 -08:00 |
Eddie Hung
|
503d1db551
|
Implement 'attributes' grammar
|
2019-12-16 12:58:13 -08:00 |
Eddie Hung
|
952d62991f
|
Merge branch 'diego/memattr' of https://github.com/dh73/yosys into diego/memattr
|
2019-12-16 12:07:49 -08:00 |
Eddie Hung
|
5d00996426
|
Merge branch 'eddie/xilinx_lutram' of github.com:YosysHQ/yosys into eddie/xilinx_lutram
|
2019-12-16 12:06:47 -08:00 |
Eddie Hung
|
7545ab3814
|
Populate DID/DOD even if unused
|
2019-12-16 11:57:04 -08:00 |
Eddie Hung
|
c4d37813cb
|
Rename *RAM{32,64}M rules to RAM{32X2,64X1}Q
|
2019-12-16 10:41:13 -08:00 |
Eddie Hung
|
6c340112fe
|
write_xaiger: use sigmap bits more consistently
|
2019-12-16 10:21:57 -08:00 |
Diego H
|
87e21b0122
|
Fixing compiler warning/issues. Moving test script to the correct place
|
2019-12-16 10:23:45 -06:00 |
N. Engelhardt
|
abcd82daca
|
add assert option to scratchpad command
|
2019-12-16 14:00:21 +01:00 |
Diego H
|
f3f59910eb
|
Removing fixed attribute value to !ramstyle rules
|
2019-12-15 23:51:58 -06:00 |
Diego H
|
b35559fc33
|
Merging attribute rules into a single match block; Adding tests
|
2019-12-15 23:33:09 -06:00 |
Eddie Hung
|
6d4b6b1e69
|
Merge pull request #1575 from rodrigomelo9/master
Fixed some missing "verilog_" in documentation
|
2019-12-15 19:00:34 -08:00 |
Eddie Hung
|
b0231df3e5
|
Merge pull request #1577 from gromero/for-yosys
manual: Fix text in Abstract section
|
2019-12-15 18:59:55 -08:00 |
Eddie Hung
|
b1555fa32c
|
Merge pull request #1578 from noopwafel/eqneq-debug
Fix opt_expr.eqneq.cmpzero debug print
|
2019-12-15 18:59:36 -08:00 |
Alyssa Milburn
|
e709fd3da1
|
Fix opt_expr.eqneq.cmpzero debug print
|
2019-12-15 20:40:38 +01:00 |
Eddie Hung
|
c0339bbbf1
|
Name inputs/outputs of aiger 'i%d' and 'o%d'
|
2019-12-13 16:21:09 -08:00 |
Diego H
|
266993408a
|
Refactoring memory attribute matching based on IEEE 1364.1 and Tool specific
|
2019-12-13 15:43:24 -06:00 |
Eddie Hung
|
52875b0d61
|
Merge pull request #1533 from dh73/bram_xilinx
Adjust Xilinx xc7/xcu BRAM min bits threshold for RAMB18E1
|
2019-12-13 12:01:03 -08:00 |
Eddie Hung
|
a5764a1236
|
Disable RAM16X1D test
|
2019-12-13 10:28:13 -08:00 |
Eddie Hung
|
c3262d6075
|
Disable RAM16X1D match rule; carry-over from LUT4 arches
|
2019-12-13 08:59:17 -08:00 |
Eddie Hung
|
d6514fc2e1
|
RAM64M8 to also have [5:0] for address
|
2019-12-13 08:54:19 -08:00 |
Diego H
|
1c96345587
|
Renaming BRAM memory tests for the sake of uniformity
|
2019-12-13 09:33:18 -06:00 |
Rodrigo Alejandro Melo
|
e9dc2759c4
|
Fixed some missing "verilog_" in documentation
|
2019-12-13 10:17:05 -03:00 |
N. Engelhardt
|
ce3615b367
|
add periods and newlines to help message
|
2019-12-13 10:28:34 +01:00 |
Eddie Hung
|
d0ee4cd88f
|
Remove extraneous synth_xilinx call
|
2019-12-12 19:00:26 -08:00 |
Eddie Hung
|
01116f0f0a
|
Add tests for these new models
|
2019-12-12 18:52:48 -08:00 |
Eddie Hung
|
8925bf4b96
|
Add RAM32X6SDP and RAM64X3SDP modes
|
2019-12-12 18:52:28 -08:00 |
Eddie Hung
|
50e0c83560
|
Fix RAM64M model to have 6 bit address bus
|
2019-12-12 18:52:03 -08:00 |
Eddie Hung
|
037d1a03df
|
Add #1460 testcase
|
2019-12-12 17:49:55 -08:00 |
Eddie Hung
|
7a9d1be97d
|
Add memory rules for RAM16X1D, RAM32M, RAM64M
|
2019-12-12 17:44:59 -08:00 |
Eddie Hung
|
caab66111e
|
Rename memory tests to lutram, add more xilinx tests
|
2019-12-12 17:44:37 -08:00 |
Diego H
|
751a18d7e9
|
Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.
|
2019-12-12 17:32:58 -06:00 |
Eddie Hung
|
fce6bad6ae
|
Remove 'clkpart' entry in CHANGELOG
|
2019-12-12 15:02:46 -08:00 |
Eddie Hung
|
bea15b537b
|
Merge remote-tracking branch 'origin/master' into xaig_dff
|
2019-12-12 14:57:17 -08:00 |
Eddie Hung
|
9ab1feeaf1
|
abc9_map.v: fix Xilinx LUTRAM
|
2019-12-12 14:56:52 -08:00 |
Eddie Hung
|
3eed8835b5
|
abc9_map.v: fix Xilinx LUTRAM
|
2019-12-12 14:56:15 -08:00 |
Diego H
|
e33f407655
|
Adding a note (TODO) in the memory_params.ys check file
|
2019-12-12 16:06:46 -06:00 |
N. Engelhardt
|
1187e91c2f
|
add test and make help message more verbose
|
2019-12-12 20:51:59 +01:00 |
Diego H
|
937ec1ee78
|
Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1
|
2019-12-12 13:50:36 -06:00 |
Diego H
|
ab6ac8327f
|
Merge https://github.com/YosysHQ/yosys into bram_xilinx
|
2019-12-12 13:40:05 -06:00 |
Eddie Hung
|
23fcfd0adb
|
Make SV2017 compliant courtesy of @wsnyder
|
2019-12-12 07:34:07 -08:00 |
N. Engelhardt
|
4c7cda1c8b
|
add a command to read/modify scratchpad contents
|
2019-12-12 16:25:03 +01:00 |
Eddie Hung
|
1ac1697e15
|
Stray log_dump
|
2019-12-11 16:59:00 -08:00 |
Eddie Hung
|
af36943cb9
|
Preserve size of $genval$-s in for loops
|
2019-12-11 16:52:37 -08:00 |
Eddie Hung
|
151f7533e8
|
Add testcase
|
2019-12-11 16:52:37 -08:00 |
Eddie Hung
|
2666482282
|
Update README.md :: abc_ -> abc9_
|
2019-12-11 16:38:43 -08:00 |
Eddie Hung
|
f022645cd2
|
Fix bitwidth mismatch; suppresses iverilog warning
|
2019-12-11 13:02:07 -08:00 |
Gustavo Romero
|
993a77d19b
|
manual: Fix text in Abstract section
|
2019-12-11 08:22:08 -03:00 |
David Shah
|
613334d9dc
|
Merge pull request #1564 from ZirconiumX/intel_housekeeping
Intel housekeeping
|
2019-12-11 08:46:10 +00:00 |