Eddie Hung
ef0681ea4c
simple/peepopt.v tests to various/peepopt.ys with equiv_opt & select
2019-09-05 08:43:22 -07:00
Eddie Hung
11f623cbe0
Revert "abc9 followed by clean otherwise netlist could be invalid for sim"
...
This reverts commit 6fe1ca633d
.
2019-09-05 08:25:09 -07:00
Clifford Wolf
71d355560e
Update README.md
...
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 17:20:29 +02:00
Clifford Wolf
30f1ac7ce9
Rename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220
...
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 13:51:53 +02:00
Clifford Wolf
694a8f75cf
Add flatten handling of pre-existing wires as created by interfaces, fixes #1145
...
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 13:30:58 +02:00
Eddie Hung
09c26c55bb
Get rid of sigBset too
2019-09-04 17:22:02 -07:00
whitequark
8d91960663
Merge pull request #1356 from emilazy/fix-makefile-shell
...
Use $(shell :; ...) in Makefile to force shell
2019-09-05 00:20:47 +00:00
Eddie Hung
91ef4457b0
Get rid of sigAset
2019-09-04 17:18:49 -07:00
Eddie Hung
42548d9790
Get rid of sigPused
2019-09-04 17:06:17 -07:00
Eddie Hung
93d798272d
Compute sigP properly
2019-09-04 16:59:57 -07:00
Emily
a9af28694c
Use $(shell :; ...) in Makefile to force shell
...
Did you think that `$(shell command -v ...)` would actually get run by
the shell? Foolish mortal; GNU Make is obviously far more wise than
thee, as it optimizes it to a direct -- and hence broken (since
`command` is a shell builtin) -- exec. This horrifying contortion
ensures that an actual shell runs the command and fixes the behaviour.
@Shizmob found the source of this misbehaviour; turns out gmake has a
hard-coded, incomplete list of shell builtins:
715c787dc6/src/job.c (L2691)
This contains `command`, but the whole function is full of horrible
heuristic garbage so who knows. I'm so sorry.
2019-09-05 00:43:30 +01:00
Eddie Hung
aa1491add3
Resolve TODO with pin assignments for SRL*
2019-09-04 15:47:36 -07:00
Eddie Hung
ba629e6a28
Merge remote-tracking branch 'origin/master' into xaig_arrival
2019-09-04 15:36:07 -07:00
Eddie Hung
d3eea82bc2
Revert "parse_xaiger() to do "clean -purge""
...
This reverts commit 5d16bf8316
.
2019-09-04 15:21:39 -07:00
Eddie Hung
6fe1ca633d
abc9 followed by clean otherwise netlist could be invalid for sim
2019-09-04 15:20:04 -07:00
Eddie Hung
433b0c677c
Remove log_cell() calls
2019-09-04 13:42:44 -07:00
Eddie Hung
229e54568e
Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp
2019-09-04 12:37:48 -07:00
Eddie Hung
3732d421c5
Merge remote-tracking branch 'origin/master' into xc7dsp
2019-09-04 12:37:42 -07:00
Eddie Hung
2b86055848
Add peepopt_dffmuxext
2019-09-04 12:35:15 -07:00
Eddie Hung
0cee66e759
Add peepopt_dffmuxext tests
2019-09-04 12:34:44 -07:00
whitequark
8c1a982494
Merge pull request #1354 from emilazy/remove-which-use
...
Replace `which` with `command -v` in Makefile too
2019-09-04 18:55:17 +00:00
Emily
a7ea6a6fcf
Replace `which` with `command -v` in Makefile too
2019-09-04 19:01:00 +01:00
Eddie Hung
3c462e5eeb
Merge pull request #1338 from YosysHQ/eddie/deferred_top
...
hierarchy -auto-top to work with (* top *) modules from read/read_verilog -defer
2019-09-04 10:55:41 -07:00
Eddie Hung
e67e4a5ed6
Support CEM
2019-09-04 10:52:51 -07:00
Eddie Hung
80aec0f006
st.ffP from if to assert
2019-09-03 16:37:59 -07:00
Eddie Hung
16316aa05d
Rename muxAB to postAddMux
2019-09-03 16:24:59 -07:00
Eddie Hung
cd002ad3fb
Use choices for addAB, now called postAdd
2019-09-03 16:10:16 -07:00
Eddie Hung
2d80866daf
Add support for load value into DSP48E1.P
2019-09-03 15:53:10 -07:00
Eddie Hung
682153de4b
Process post-adder first since C could be used for load-P
2019-09-03 14:57:59 -07:00
Eddie Hung
97d11708e0
Use feedback path for MACC
2019-09-03 14:37:32 -07:00
Eddie Hung
d2306d7b1d
Adopt @cliffordwolf's suggestion
2019-09-03 12:18:50 -07:00
Eddie Hung
0ca0706630
Expand test with `hierarchy' without -auto-top
2019-09-03 12:17:26 -07:00
Eddie Hung
8124716830
Add `read -noverific` before read
2019-09-03 10:52:34 -07:00
Eddie Hung
d6a84a78a7
Merge remote-tracking branch 'origin/master' into eddie/deferred_top
2019-09-03 10:49:21 -07:00
Clifford Wolf
58af64b63a
Merge pull request #1351 from emilazy/remove-which-use
...
Use `command -v` rather than `which`
2019-09-03 09:27:47 +02:00
Emily
69a5dea89e
Use `command -v` rather than `which`
2019-09-03 00:57:32 +01:00
Clifford Wolf
25e5fbac90
Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"
...
Fixes https://github.com/YosysHQ/SymbiYosys/issues/59
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-02 22:56:38 +02:00
Eddie Hung
3459d28349
Add comments
2019-09-02 12:22:15 -07:00
Eddie Hung
696f854801
Rename box
2019-09-02 12:15:11 -07:00
Eddie Hung
2fa3857963
Merge remote-tracking branch 'origin/master' into xaig_arrival
2019-09-02 12:13:44 -07:00
Eddie Hung
7e8f7f4c59
Merge branch 'master' of github.com:YosysHQ/yosys
2019-09-02 12:13:33 -07:00
Eddie Hung
f76cb58494
Recognise built-in types (e.g. $_DFF_*)
2019-09-02 12:13:25 -07:00
Eddie Hung
4aa505d1b2
Merge pull request #1344 from YosysHQ/eddie/ice40_signed_macc
...
ice40_dsp to allow signed multipliers
2019-09-01 10:11:33 -07:00
Clifford Wolf
4a7bc8c772
Merge pull request #1347 from mmicko/fix_select_error_msg
...
Fix select command error msg, fixes issue #1081
2019-09-01 13:30:57 +02:00
David Shah
68fe1eba6c
Merge pull request #1346 from mmicko/fix_ecp5_cells_sim
...
Fix TRELLIS_FF simulation model
2019-09-01 10:01:27 +01:00
Miodrag Milanovic
fa5065e9b5
Fix select command error msg, fixes issue #1081
2019-09-01 11:00:09 +02:00
Miodrag Milanovic
a3c16a0565
Fix TRELLIS_FF simulation model
2019-08-31 11:12:06 +02:00
David Shah
90b44113d8
ecp5_gsr: Fix typo
...
Signed-off-by: David Shah <dave@ds0.me>
2019-08-31 09:58:46 +01:00
Eddie Hung
4290548de3
Make abc9 test a bit more interesting
2019-08-30 20:31:53 -07:00
Eddie Hung
e9bb252e77
Recognise built-in types (e.g. $_DFF_*)
2019-08-30 20:15:09 -07:00