Unify verilog style

This commit is contained in:
Miodrag Milanovic 2019-10-18 12:50:24 +02:00
parent 12383f37b2
commit 9bd9db56c8
11 changed files with 153 additions and 187 deletions

View File

@ -1,13 +1,12 @@
module top module top
( (
input [3:0] x, input [3:0] x,
input [3:0] y, input [3:0] y,
output [3:0] A, output [3:0] A,
output [3:0] B output [3:0] B
); );
assign A = x + y;
assign B = x - y;
assign A = x + y;
assign B = x - y;
endmodule endmodule

View File

@ -1,47 +1,43 @@
module adff module adff( input d, clk, clr, output reg q );
( input d, clk, clr, output reg q );
initial begin initial begin
q = 0; q = 0;
end end
always @( posedge clk, posedge clr ) always @( posedge clk, posedge clr )
if ( clr ) if ( clr )
q <= 1'b0; q <= 1'b0;
else else
q <= d; q <= d;
endmodule endmodule
module adffn module adffn( input d, clk, clr, output reg q );
( input d, clk, clr, output reg q );
initial begin initial begin
q = 0; q = 0;
end end
always @( posedge clk, negedge clr ) always @( posedge clk, negedge clr )
if ( !clr ) if ( !clr )
q <= 1'b0; q <= 1'b0;
else else
q <= d; q <= d;
endmodule endmodule
module dffs module dffs( input d, clk, pre, clr, output reg q );
( input d, clk, pre, clr, output reg q );
initial begin initial begin
q = 0; q = 0;
end end
always @( posedge clk ) always @( posedge clk )
if ( pre ) if ( pre )
q <= 1'b1; q <= 1'b1;
else else
q <= d; q <= d;
endmodule endmodule
module ndffnr module ndffnr( input d, clk, pre, clr, output reg q );
( input d, clk, pre, clr, output reg q );
initial begin initial begin
q = 0; q = 0;
end end
always @( negedge clk ) always @( negedge clk )
if ( !clr ) if ( !clr )
q <= 1'b0; q <= 1'b0;
else else
q <= d; q <= d;
endmodule endmodule

View File

@ -1,17 +1,11 @@
module top ( module top ( out, clk, reset );
out,
clk,
reset
);
output [7:0] out; output [7:0] out;
input clk, reset; input clk, reset;
reg [7:0] out; reg [7:0] out;
always @(posedge clk, posedge reset) always @(posedge clk, posedge reset)
if (reset) begin if (reset)
out <= 8'b0 ; out <= 8'b0;
end else end
out <= out + 1; out <= out + 1;
endmodule endmodule

View File

@ -1,15 +1,13 @@
module dff module dff ( input d, clk, output reg q );
( input d, clk, output reg q ); always @( posedge clk )
always @( posedge clk ) q <= d;
q <= d;
endmodule endmodule
module dffe module dffe( input d, clk, en, output reg q );
( input d, clk, en, output reg q );
initial begin initial begin
q = 0; q = 0;
end end
always @( posedge clk ) always @( posedge clk )
if ( en ) if ( en )
q <= d; q <= d;
endmodule endmodule

View File

@ -1,55 +1,51 @@
module fsm ( module fsm ( clock, reset, req_0, req_1, gnt_0, gnt_1 );
clock, input clock,reset,req_0,req_1;
reset, output gnt_0,gnt_1;
req_0, wire clock,reset,req_0,req_1;
req_1, reg gnt_0,gnt_1;
gnt_0,
gnt_1
);
input clock,reset,req_0,req_1;
output gnt_0,gnt_1;
wire clock,reset,req_0,req_1;
reg gnt_0,gnt_1;
parameter SIZE = 3 ; parameter SIZE = 3;
parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ; parameter IDLE = 3'b001;
parameter GNT0 = 3'b010;
parameter GNT1 = 3'b100;
parameter GNT2 = 3'b101;
reg [SIZE-1:0] state; reg [SIZE-1:0] state;
reg [SIZE-1:0] next_state; reg [SIZE-1:0] next_state;
always @ (posedge clock)
begin : FSM
if (reset == 1'b1) begin
state <= #1 IDLE;
gnt_0 <= 0;
gnt_1 <= 0;
end else
case(state)
IDLE : if (req_0 == 1'b1) begin
state <= #1 GNT0;
gnt_0 <= 1;
end else if (req_1 == 1'b1) begin
gnt_1 <= 1;
state <= #1 GNT0;
end else begin
state <= #1 IDLE;
end
GNT0 : if (req_0 == 1'b1) begin
state <= #1 GNT0;
end else begin
gnt_0 <= 0;
state <= #1 IDLE;
end
GNT1 : if (req_1 == 1'b1) begin
state <= #1 GNT2;
gnt_1 <= req_0;
end
GNT2 : if (req_0 == 1'b1) begin
state <= #1 GNT1;
gnt_1 <= req_1;
end
default : state <= #1 IDLE;
endcase
end
always @ (posedge clock)
begin : FSM
if (reset == 1'b1) begin
state <= #1 IDLE;
gnt_0 <= 0;
gnt_1 <= 0;
end
else
case(state)
IDLE : if (req_0 == 1'b1) begin
state <= #1 GNT0;
gnt_0 <= 1;
end else if (req_1 == 1'b1) begin
gnt_1 <= 1;
state <= #1 GNT0;
end else begin
state <= #1 IDLE;
end
GNT0 : if (req_0 == 1'b1) begin
state <= #1 GNT0;
end else begin
gnt_0 <= 0;
state <= #1 IDLE;
end
GNT1 : if (req_1 == 1'b1) begin
state <= #1 GNT2;
gnt_1 <= req_0;
end
GNT2 : if (req_0 == 1'b1) begin
state <= #1 GNT1;
gnt_1 <= req_1;
end
default : state <= #1 IDLE;
endcase
end
endmodule endmodule

View File

@ -1,19 +1,16 @@
module latchp module latchp ( input d, clk, en, output reg q );
( input d, clk, en, output reg q );
always @* always @*
if ( en ) if ( en )
q <= d; q <= d;
endmodule endmodule
module latchn module latchn ( input d, clk, en, output reg q );
( input d, clk, en, output reg q );
always @* always @*
if ( !en ) if ( !en )
q <= d; q <= d;
endmodule endmodule
module latchsr module latchsr ( input d, clk, en, clr, pre, output reg q );
( input d, clk, en, clr, pre, output reg q );
always @* always @*
if ( clr ) if ( clr )
q <= 1'b0; q <= 1'b0;

View File

@ -1,18 +1,16 @@
module top module top
( (
input [0:7] in, input [0:7] in,
output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10 output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
); );
assign B1 = in[0] & in[1];
assign B1 = in[0] & in[1]; assign B2 = in[0] | in[1];
assign B2 = in[0] | in[1]; assign B3 = in[0] ~& in[1];
assign B3 = in[0] ~& in[1]; assign B4 = in[0] ~| in[1];
assign B4 = in[0] ~| in[1]; assign B5 = in[0] ^ in[1];
assign B5 = in[0] ^ in[1]; assign B6 = in[0] ~^ in[1];
assign B6 = in[0] ~^ in[1]; assign B7 = ~in[0];
assign B7 = ~in[0]; assign B8 = in[0];
assign B8 = in[0]; assign B9 = in[0:1] && in [2:3];
assign B9 = in[0:1] && in [2:3]; assign B10 = in[0:1] || in [2:3];
assign B10 = in[0:1] || in [2:3];
endmodule endmodule

View File

@ -1,11 +1,9 @@
module top module top
( (
input [5:0] x, input [5:0] x,
input [5:0] y, input [5:0] y,
output [11:0] A,
);
assign A = x * y;
output [11:0] A,
);
assign A = x * y;
endmodule endmodule

View File

@ -8,51 +8,47 @@ module mux2 (S,A,B,Y);
endmodule endmodule
module mux4 ( S, D, Y ); module mux4 ( S, D, Y );
input[1:0] S;
input[3:0] D;
output Y;
input[1:0] S; reg Y;
input[3:0] D; wire[1:0] S;
output Y; wire[3:0] D;
reg Y;
wire[1:0] S;
wire[3:0] D;
always @*
begin
case( S )
0 : Y = D[0];
1 : Y = D[1];
2 : Y = D[2];
3 : Y = D[3];
endcase
end
always @*
begin
case( S )
0 : Y = D[0];
1 : Y = D[1];
2 : Y = D[2];
3 : Y = D[3];
endcase
end
endmodule endmodule
module mux8 ( S, D, Y ); module mux8 ( S, D, Y );
input[2:0] S;
input[7:0] D;
output Y;
input[2:0] S; reg Y;
input[7:0] D; wire[2:0] S;
output Y; wire[7:0] D;
reg Y;
wire[2:0] S;
wire[7:0] D;
always @*
begin
case( S )
0 : Y = D[0];
1 : Y = D[1];
2 : Y = D[2];
3 : Y = D[3];
4 : Y = D[4];
5 : Y = D[5];
6 : Y = D[6];
7 : Y = D[7];
endcase
end
always @*
begin
case( S )
0 : Y = D[0];
1 : Y = D[1];
2 : Y = D[2];
3 : Y = D[3];
4 : Y = D[4];
5 : Y = D[5];
6 : Y = D[6];
7 : Y = D[7];
endcase
end
endmodule endmodule
module mux16 (D, S, Y); module mux16 (D, S, Y);
@ -60,6 +56,5 @@ module mux16 (D, S, Y);
input [3:0] S; input [3:0] S;
output Y; output Y;
assign Y = D[S]; assign Y = D[S];
endmodule endmodule

View File

@ -1,8 +1,4 @@
module top ( module top(out, clk, in);
out,
clk,
in
);
output [7:0] out; output [7:0] out;
input signed clk, in; input signed clk, in;
reg signed [7:0] out = 0; reg signed [7:0] out = 0;
@ -11,6 +7,5 @@ in
begin begin
out <= out >> 1; out <= out >> 1;
out[7] <= in; out[7] <= in;
end end
endmodule endmodule

View File

@ -1,8 +1,8 @@
module tristate (en, i, o); module tristate(en, i, o);
input en; input en;
input i; input i;
output reg o; output reg o;
always @(en or i) always @(en or i)
o <= (en)? i : 1'bZ; o <= (en)? i : 1'bZ;
endmodule endmodule