mirror of https://github.com/YosysHQ/yosys.git
test: add another testcase as per @nakengelhardt
This commit is contained in:
parent
237962debd
commit
56a5b1d2da
|
@ -20,6 +20,31 @@ proc
|
||||||
sat -verify -prove-asserts
|
sat -verify -prove-asserts
|
||||||
|
|
||||||
|
|
||||||
|
design -reset
|
||||||
|
read_verilog -sv <<EOT
|
||||||
|
module Task_Test_Top
|
||||||
|
(
|
||||||
|
input a,
|
||||||
|
output b, c
|
||||||
|
);
|
||||||
|
|
||||||
|
task SomeTaskName(x, output y, z);
|
||||||
|
y = ~x;
|
||||||
|
z = x;
|
||||||
|
endtask
|
||||||
|
|
||||||
|
always @*
|
||||||
|
SomeTaskName(a, b, c);
|
||||||
|
|
||||||
|
assert property (b == ~a);
|
||||||
|
assert property (c == a);
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
proc
|
||||||
|
sat -verify -prove-asserts
|
||||||
|
|
||||||
|
|
||||||
design -reset
|
design -reset
|
||||||
logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
|
logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
|
||||||
read_verilog -sv <<EOT
|
read_verilog -sv <<EOT
|
||||||
|
|
Loading…
Reference in New Issue