test: add another testcase as per @nakengelhardt

This commit is contained in:
Eddie Hung 2020-05-14 08:36:36 -07:00
parent 237962debd
commit 56a5b1d2da
1 changed files with 25 additions and 0 deletions

View File

@ -20,6 +20,31 @@ proc
sat -verify -prove-asserts
design -reset
read_verilog -sv <<EOT
module Task_Test_Top
(
input a,
output b, c
);
task SomeTaskName(x, output y, z);
y = ~x;
z = x;
endtask
always @*
SomeTaskName(a, b, c);
assert property (b == ~a);
assert property (c == a);
endmodule
EOT
proc
sat -verify -prove-asserts
design -reset
logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
read_verilog -sv <<EOT