mirror of https://github.com/YosysHQ/yosys.git
Extend test for RSTP and RSTM
This commit is contained in:
parent
ded805ae5d
commit
36d6db7f8a
|
@ -35,7 +35,39 @@ always @(posedge clk)
|
|||
adder_out <= old_result + mult_reg;
|
||||
end
|
||||
|
||||
// Output accumulation result
|
||||
assign accum_out = adder_out;
|
||||
// Output accumulation result
|
||||
assign accum_out = adder_out;
|
||||
|
||||
endmodule
|
||||
|
||||
// Adapted variant of above
|
||||
module macc2 # (parameter SIZEIN = 16, SIZEOUT = 40) (
|
||||
input clk, ce, rst,
|
||||
input signed [SIZEIN-1:0] a, b,
|
||||
output signed [SIZEOUT-1:0] accum_out
|
||||
);
|
||||
// Declare registers for intermediate values
|
||||
reg signed [SIZEIN-1:0] a_reg, b_reg;
|
||||
reg rst_reg;
|
||||
reg signed [2*SIZEIN-1:0] mult_reg;
|
||||
reg signed [SIZEOUT-1:0] adder_out, old_result;
|
||||
always @(posedge clk) begin
|
||||
if (ce)
|
||||
begin
|
||||
a_reg <= a;
|
||||
b_reg <= b;
|
||||
mult_reg <= a_reg * b_reg;
|
||||
rst_reg <= rst;
|
||||
// Store accumulation result into a register
|
||||
adder_out <= adder_out + mult_reg;
|
||||
end
|
||||
if (rst) begin
|
||||
mult_reg <= 0;
|
||||
adder_out <= 0;
|
||||
end
|
||||
end
|
||||
|
||||
// Output accumulation result
|
||||
assign accum_out = adder_out;
|
||||
|
||||
endmodule
|
||||
|
|
|
@ -1,6 +1,8 @@
|
|||
read_verilog macc.v
|
||||
design -save read
|
||||
|
||||
proc
|
||||
hierarchy -auto-top
|
||||
hierarchy -top macc
|
||||
#equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx ### TODO
|
||||
equiv_opt -run :prove -map +/xilinx/cells_sim.v synth_xilinx
|
||||
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||
|
@ -11,3 +13,16 @@ select -assert-count 1 t:BUFG
|
|||
select -assert-count 1 t:FDRE
|
||||
select -assert-count 1 t:DSP48E1
|
||||
select -assert-none t:BUFG t:FDRE t:DSP48E1 %% t:* %D
|
||||
|
||||
design -load read
|
||||
proc
|
||||
hierarchy -top macc2
|
||||
#equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx ### TODO
|
||||
equiv_opt -run :prove -map +/xilinx/cells_sim.v synth_xilinx
|
||||
miter -equiv -flatten -make_assert -make_outputs gold gate miter
|
||||
sat -verify -prove-asserts -seq 10 -show-inputs -show-outputs miter
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd macc2 # Constrain all select calls below inside the top module
|
||||
select -assert-count 1 t:BUFG
|
||||
select -assert-count 1 t:DSP48E1
|
||||
select -assert-none t:BUFG t:DSP48E1 %% t:* %D
|
||||
|
|
Loading…
Reference in New Issue