More deadname stuff

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
This commit is contained in:
Claire Xenia Wolf 2021-06-09 12:40:33 +02:00
parent 06b99950ed
commit 0ff4fb1eb3
2 changed files with 4 additions and 4 deletions

View File

@ -154,7 +154,7 @@ always @*
o <= i[4*W+:W];
endmodule
module cliffordwolf_nonexclusive_select (
module clairexen_nonexclusive_select (
input wire x, y, z,
input wire a, b, c, d,
output reg o
@ -167,7 +167,7 @@ module cliffordwolf_nonexclusive_select (
end
endmodule
module cliffordwolf_freduce (
module clairexen_freduce (
input wire [1:0] s,
input wire a, b, c, d,
output reg [3:0] o

View File

@ -167,7 +167,7 @@ miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
design -load read
hierarchy -top cliffordwolf_nonexclusive_select
hierarchy -top clairexen_nonexclusive_select
prep
design -save gold
muxpack
@ -182,7 +182,7 @@ miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
#design -load read
#hierarchy -top cliffordwolf_freduce
#hierarchy -top clairexen_freduce
#prep
#design -save gold
#proc; opt; freduce; opt