2017-10-01 11:04:17 -05:00
|
|
|
/*
|
|
|
|
* yosys -- Yosys Open SYnthesis Suite
|
|
|
|
*
|
|
|
|
* Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
|
|
|
|
*
|
|
|
|
* Permission to use, copy, modify, and/or distribute this software for any
|
|
|
|
* purpose with or without fee is hereby granted, provided that the above
|
|
|
|
* copyright notice and this permission notice appear in all copies.
|
|
|
|
*
|
|
|
|
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
|
|
|
|
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
|
|
|
|
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
|
|
|
|
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
|
|
|
|
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
|
|
|
|
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
|
|
|
|
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
|
|
|
|
*
|
|
|
|
*/
|
|
|
|
|
|
|
|
#include "kernel/celltypes.h"
|
|
|
|
#include "kernel/log.h"
|
2019-05-04 12:36:06 -05:00
|
|
|
#include "kernel/register.h"
|
|
|
|
#include "kernel/rtlil.h"
|
2017-10-01 11:04:17 -05:00
|
|
|
|
|
|
|
USING_YOSYS_NAMESPACE
|
|
|
|
PRIVATE_NAMESPACE_BEGIN
|
|
|
|
|
|
|
|
struct SynthIntelPass : public ScriptPass {
|
2020-01-27 11:27:47 -06:00
|
|
|
SynthIntelPass() : ScriptPass("synth_intel", "synthesis for Intel (Altera) FPGAs.") { experimental(); }
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2020-06-18 18:34:52 -05:00
|
|
|
void help() override
|
2019-05-04 12:36:06 -05:00
|
|
|
{
|
|
|
|
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
|
|
|
|
log("\n");
|
|
|
|
log(" synth_intel [options]\n");
|
|
|
|
log("\n");
|
|
|
|
log("This command runs synthesis for Intel FPGAs.\n");
|
|
|
|
log("\n");
|
2019-12-10 07:40:32 -06:00
|
|
|
log(" -family <max10 | arria10gx | cyclone10lp | cyclonev | cycloneiv | cycloneive>\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log(" generate the synthesis netlist for the specified family.\n");
|
2019-07-18 11:28:21 -05:00
|
|
|
log(" MAX10 is the default target if no family argument specified.\n");
|
2019-12-10 07:31:45 -06:00
|
|
|
log(" For Cyclone IV GX devices, use cycloneiv argument; for Cyclone IV E, use cycloneive.\n");
|
2019-07-08 14:41:22 -05:00
|
|
|
log(" Cyclone V and Arria 10 GX devices are experimental.\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -top <module>\n");
|
|
|
|
log(" use the specified module as top module (default='top')\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -vqm <file>\n");
|
|
|
|
log(" write the design to the specified Verilog Quartus Mapping File. Writing of an\n");
|
|
|
|
log(" output file is omitted if this parameter is not specified.\n");
|
2019-07-07 10:00:38 -05:00
|
|
|
log(" Note that this backend has not been tested and is likely incompatible\n");
|
|
|
|
log(" with recent versions of Quartus.\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -vpr <file>\n");
|
|
|
|
log(" write BLIF files for VPR flow experiments. The synthesized BLIF output file is not\n");
|
|
|
|
log(" compatible with the Quartus flow. Writing of an\n");
|
|
|
|
log(" output file is omitted if this parameter is not specified.\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -run <from_label>:<to_label>\n");
|
|
|
|
log(" only run the commands between the labels (see below). an empty\n");
|
|
|
|
log(" from label is synonymous to 'begin', and empty to label is\n");
|
|
|
|
log(" synonymous to the end of the command list.\n");
|
|
|
|
log("\n");
|
2019-07-24 04:38:15 -05:00
|
|
|
log(" -iopads\n");
|
|
|
|
log(" use IO pad cells in output netlist\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -nobram\n");
|
2019-07-18 10:46:21 -05:00
|
|
|
log(" do not use block RAM cells in output netlist\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log("\n");
|
|
|
|
log(" -noflatten\n");
|
|
|
|
log(" do not flatten design before synthesis\n");
|
|
|
|
log("\n");
|
|
|
|
log(" -retime\n");
|
2019-12-30 14:11:45 -06:00
|
|
|
log(" run 'abc' with '-dff -D 1' options\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
log("\n");
|
|
|
|
log("The following commands are executed by this synthesis command:\n");
|
|
|
|
help_script();
|
|
|
|
log("\n");
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
string top_opt, family_opt, vout_file, blif_file;
|
2019-07-24 04:38:15 -05:00
|
|
|
bool retime, flatten, nobram, iopads;
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2020-06-18 18:34:52 -05:00
|
|
|
void clear_flags() override
|
2019-05-04 12:36:06 -05:00
|
|
|
{
|
|
|
|
top_opt = "-auto-top";
|
|
|
|
family_opt = "max10";
|
|
|
|
vout_file = "";
|
|
|
|
blif_file = "";
|
|
|
|
retime = false;
|
|
|
|
flatten = true;
|
|
|
|
nobram = false;
|
2019-07-24 04:38:15 -05:00
|
|
|
iopads = false;
|
2019-05-04 12:36:06 -05:00
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2020-06-18 18:34:52 -05:00
|
|
|
void execute(std::vector<std::string> args, RTLIL::Design *design) override
|
2019-05-04 12:36:06 -05:00
|
|
|
{
|
|
|
|
string run_from, run_to;
|
|
|
|
clear_flags();
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
size_t argidx;
|
|
|
|
for (argidx = 1; argidx < args.size(); argidx++) {
|
|
|
|
if (args[argidx] == "-family" && argidx + 1 < args.size()) {
|
|
|
|
family_opt = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-top" && argidx + 1 < args.size()) {
|
|
|
|
top_opt = "-top " + args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-vqm" && argidx + 1 < args.size()) {
|
|
|
|
vout_file = args[++argidx];
|
2019-07-07 10:00:38 -05:00
|
|
|
log_warning("The Quartus backend has not been tested recently and is likely incompatible with modern versions of Quartus.\n");
|
2019-05-04 12:36:06 -05:00
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-vpr" && argidx + 1 < args.size()) {
|
|
|
|
blif_file = args[++argidx];
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-run" && argidx + 1 < args.size()) {
|
|
|
|
size_t pos = args[argidx + 1].find(':');
|
|
|
|
if (pos == std::string::npos)
|
|
|
|
break;
|
|
|
|
run_from = args[++argidx].substr(0, pos);
|
|
|
|
run_to = args[argidx].substr(pos + 1);
|
|
|
|
continue;
|
|
|
|
}
|
2019-07-24 04:38:15 -05:00
|
|
|
if (args[argidx] == "-iopads") {
|
|
|
|
iopads = true;
|
2019-05-04 12:36:06 -05:00
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-nobram") {
|
|
|
|
nobram = true;
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-noflatten") {
|
|
|
|
flatten = false;
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
if (args[argidx] == "-retime") {
|
|
|
|
retime = true;
|
|
|
|
continue;
|
|
|
|
}
|
|
|
|
break;
|
|
|
|
}
|
|
|
|
extra_args(args, argidx, design);
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (!design->full_selection())
|
|
|
|
log_cmd_error("This command only operates on fully selected designs!\n");
|
2019-07-08 14:03:00 -05:00
|
|
|
if (family_opt != "max10" &&
|
2019-12-10 07:40:32 -06:00
|
|
|
family_opt != "arria10gx" &&
|
2019-07-08 14:03:00 -05:00
|
|
|
family_opt != "cyclonev" &&
|
|
|
|
family_opt != "cycloneiv" &&
|
|
|
|
family_opt != "cycloneive" &&
|
2019-12-10 07:31:45 -06:00
|
|
|
family_opt != "cyclone10lp")
|
2019-07-18 11:28:21 -05:00
|
|
|
log_cmd_error("Invalid or no family specified: '%s'\n", family_opt.c_str());
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
log_header(design, "Executing SYNTH_INTEL pass.\n");
|
|
|
|
log_push();
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
run_script(design, run_from, run_to);
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
log_pop();
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2020-06-18 18:34:52 -05:00
|
|
|
void script() override
|
2019-05-04 12:36:06 -05:00
|
|
|
{
|
|
|
|
if (check_label("begin")) {
|
2019-07-08 14:37:24 -05:00
|
|
|
if (check_label("family"))
|
2019-07-18 12:41:34 -05:00
|
|
|
run(stringf("read_verilog -sv -lib +/intel/%s/cells_sim.v", family_opt.c_str()));
|
2019-07-08 14:37:24 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
// Misc and common cells
|
|
|
|
run("read_verilog -sv -lib +/intel/common/m9k_bb.v");
|
|
|
|
run("read_verilog -sv -lib +/intel/common/altpll_bb.v");
|
|
|
|
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (flatten && check_label("flatten", "(unless -noflatten)")) {
|
|
|
|
run("proc");
|
|
|
|
run("flatten");
|
|
|
|
run("tribuf -logic");
|
|
|
|
run("deminout");
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("coarse")) {
|
|
|
|
run("synth -run coarse");
|
|
|
|
}
|
2017-10-04 19:01:30 -05:00
|
|
|
|
2019-07-18 10:46:21 -05:00
|
|
|
if (!nobram && check_label("map_bram", "(skip if -nobram)")) {
|
Harmonize BRAM/LUTRAM descriptions across all of Yosys.
This commit:
* renames all remaining instances of "DRAM" (which is ambiguous)
to "LUTRAM" (which is not), finishing the work started in
the commit 698ab9be;
* renames memory rule files to brams.txt/lutrams.txt;
* adds/renames script labels map_bram/map_lutram;
* extracts where necessary script labels map_ffram and map_gates;
* adds where necessary options -nobram/-nolutram.
The end result is that BRAM/LUTRAM/FFRAM aspects of every target
are now consistent with each other.
Per architecture:
* anlogic: rename drams.txt→lutrams.txt, add -nolutram, add
:map_lutram, :map_ffram, :map_gates
* ecp5: rename bram.txt→brams.txt, lutram.txt→lutrams.txt
* efinix: rename bram.txt→brams.txt, add -nobram, add :map_ffram,
:map_gates
* gowin: rename bram.txt→brams.txt, dram.txt→lutrams.txt,
rename -nodram→-nolutram (-nodram still recognized), rename
:bram→:map_bram, :dram→:map_lutram, add :map_ffram, :map_gates
2020-01-01 06:30:00 -06:00
|
|
|
if (family_opt == "cycloneiv" ||
|
|
|
|
family_opt == "cycloneive" ||
|
|
|
|
family_opt == "max10" ||
|
|
|
|
help_mode) {
|
2019-07-22 06:15:22 -05:00
|
|
|
run("memory_bram -rules +/intel/common/brams_m9k.txt", "(if applicable for family)");
|
|
|
|
run("techmap -map +/intel/common/brams_map_m9k.v", "(if applicable for family)");
|
|
|
|
} else {
|
|
|
|
log_warning("BRAM mapping is not currently supported for %s.\n", family_opt.c_str());
|
|
|
|
}
|
2019-05-04 12:36:06 -05:00
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-07-18 10:46:21 -05:00
|
|
|
if (check_label("map_ffram")) {
|
2019-05-04 12:36:06 -05:00
|
|
|
run("opt -fast -mux_undef -undriven -fine -full");
|
|
|
|
run("memory_map");
|
|
|
|
run("opt -undriven -fine");
|
|
|
|
run("techmap -map +/techmap.v");
|
|
|
|
run("opt -full");
|
|
|
|
run("clean -purge");
|
|
|
|
run("setundef -undriven -zero");
|
|
|
|
if (retime || help_mode)
|
2019-12-30 14:09:53 -06:00
|
|
|
run("abc -markgroups -dff -D 1", "(only if -retime)");
|
2019-05-04 12:36:06 -05:00
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2020-07-04 21:02:42 -05:00
|
|
|
if (check_label("map_ffs")) {
|
|
|
|
run("dfflegalize -cell $_DFFE_PN0P_ 01");
|
|
|
|
run("techmap -map +/intel/common/ff_map.v");
|
|
|
|
}
|
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("map_luts")) {
|
2019-12-10 07:40:32 -06:00
|
|
|
if (family_opt == "arria10gx" || family_opt == "cyclonev")
|
2019-05-04 12:36:06 -05:00
|
|
|
run("abc -luts 2:2,3,6:5" + string(retime ? " -dff" : ""));
|
|
|
|
else
|
|
|
|
run("abc -lut 4" + string(retime ? " -dff" : ""));
|
|
|
|
run("clean");
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("map_cells")) {
|
2019-07-24 04:38:15 -05:00
|
|
|
if (iopads || help_mode)
|
|
|
|
run("iopadmap -bits -outpad $__outpad I:O -inpad $__inpad O:I", "(if -iopads)");
|
Harmonize BRAM/LUTRAM descriptions across all of Yosys.
This commit:
* renames all remaining instances of "DRAM" (which is ambiguous)
to "LUTRAM" (which is not), finishing the work started in
the commit 698ab9be;
* renames memory rule files to brams.txt/lutrams.txt;
* adds/renames script labels map_bram/map_lutram;
* extracts where necessary script labels map_ffram and map_gates;
* adds where necessary options -nobram/-nolutram.
The end result is that BRAM/LUTRAM/FFRAM aspects of every target
are now consistent with each other.
Per architecture:
* anlogic: rename drams.txt→lutrams.txt, add -nolutram, add
:map_lutram, :map_ffram, :map_gates
* ecp5: rename bram.txt→brams.txt, lutram.txt→lutrams.txt
* efinix: rename bram.txt→brams.txt, add -nobram, add :map_ffram,
:map_gates
* gowin: rename bram.txt→brams.txt, dram.txt→lutrams.txt,
rename -nodram→-nolutram (-nodram still recognized), rename
:bram→:map_bram, :dram→:map_lutram, add :map_ffram, :map_gates
2020-01-01 06:30:00 -06:00
|
|
|
run(stringf("techmap -map +/intel/%s/cells_map.v", family_opt.c_str()));
|
2019-05-04 12:36:06 -05:00
|
|
|
run("clean -purge");
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("check")) {
|
|
|
|
run("hierarchy -check");
|
|
|
|
run("stat");
|
|
|
|
run("check -noinit");
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("vqm")) {
|
|
|
|
if (!vout_file.empty() || help_mode)
|
|
|
|
run(stringf("write_verilog -attr2comment -defparam -nohex -decimal -renameprefix syn_ %s",
|
|
|
|
help_mode ? "<file-name>" : vout_file.c_str()));
|
|
|
|
}
|
2018-07-21 01:41:18 -05:00
|
|
|
|
2019-05-04 12:36:06 -05:00
|
|
|
if (check_label("vpr")) {
|
|
|
|
if (!blif_file.empty() || help_mode) {
|
|
|
|
run(stringf("opt_clean -purge"));
|
|
|
|
run(stringf("write_blif %s", help_mode ? "<file-name>" : blif_file.c_str()));
|
|
|
|
}
|
|
|
|
}
|
|
|
|
}
|
2017-10-01 11:04:17 -05:00
|
|
|
} SynthIntelPass;
|
|
|
|
|
|
|
|
PRIVATE_NAMESPACE_END
|