2017-07-27 05:37:16 -05:00
|
|
|
module top (input clk, reset, up, down, output reg [7:0] cnt);
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (reset)
|
|
|
|
cnt <= 0;
|
|
|
|
else if (up)
|
|
|
|
cnt <= cnt + 1;
|
|
|
|
else if (down)
|
|
|
|
cnt <= cnt - 1;
|
|
|
|
end
|
|
|
|
|
|
|
|
default clocking @(posedge clk); endclocking
|
|
|
|
default disable iff (reset);
|
|
|
|
|
2017-07-27 07:05:09 -05:00
|
|
|
assert property (up |=> cnt == $past(cnt) + 8'd 1);
|
|
|
|
assert property (up [*2] |=> cnt == $past(cnt, 2) + 8'd 2);
|
|
|
|
assert property (up ##1 up |=> cnt == $past(cnt, 2) + 8'd 2);
|
2017-07-27 05:37:16 -05:00
|
|
|
|
|
|
|
`ifndef FAIL
|
|
|
|
assume property (down |-> !up);
|
|
|
|
`endif
|
2017-07-27 07:05:09 -05:00
|
|
|
assert property (up ##1 down |=> cnt == $past(cnt, 2));
|
|
|
|
assert property (down |=> cnt == $past(cnt) - 8'd 1);
|
2017-07-27 05:37:16 -05:00
|
|
|
|
|
|
|
property down_n(n);
|
2017-07-27 07:05:09 -05:00
|
|
|
down [*n] |=> cnt == $past(cnt, n) - n;
|
2017-07-27 05:37:16 -05:00
|
|
|
endproperty
|
|
|
|
|
2017-07-27 07:05:09 -05:00
|
|
|
assert property (down_n(8'd 3));
|
|
|
|
assert property (down_n(8'd 5));
|
2017-07-27 05:37:16 -05:00
|
|
|
endmodule
|