yosys/tests/verilog/bug2042-sv.ys

35 lines
435 B
Plaintext
Raw Normal View History

read_verilog -sv <<EOT
module Task_Test_Top
(
input a,
output b
);
task SomeTaskName(a);
b = ~a;
endtask
always @*
SomeTaskName(a);
assert property (b == ~a);
endmodule
EOT
proc
sat -verify -prove-asserts
design -reset
logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
read_verilog -sv <<EOT
module Task_Test_Top
(
);
task SomeTaskName(a)
endtask
endmodule
EOT