gpio_control_block constrains fix (#69)

Co-authored-by: Marwan Abbas <marwan@ciic.c.catx-ext-efabless.internal>
This commit is contained in:
Kareem Farid 2022-04-15 20:50:54 +02:00 committed by GitHub
parent 71600440bc
commit 9ddb806293
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
14 changed files with 13344 additions and 13636 deletions

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -450,7 +450,7 @@ MACRO gpio_control_block
LAYER li1 ;
RECT 4.600 5.355 49.220 57.205 ;
LAYER met1 ;
RECT 4.600 5.200 83.650 57.760 ;
RECT 4.600 4.800 83.190 57.360 ;
LAYER met2 ;
RECT 5.250 60.720 6.710 61.725 ;
RECT 7.550 60.720 9.010 61.725 ;
@ -464,8 +464,8 @@ MACRO gpio_control_block
RECT 25.950 60.720 27.410 61.725 ;
RECT 28.250 60.720 29.710 61.725 ;
RECT 30.550 60.720 32.010 61.725 ;
RECT 32.850 60.720 83.620 61.725 ;
RECT 4.970 2.195 83.620 60.720 ;
RECT 32.850 60.720 83.170 61.725 ;
RECT 4.970 2.195 83.170 60.720 ;
LAYER met3 ;
RECT 6.280 60.840 69.600 61.705 ;
RECT 6.280 60.200 70.000 60.840 ;

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
magic
tech sky130A
magscale 1 2
timestamp 1649159639
timestamp 1649688057
<< nwell >>
rect 882 11141 9882 11462
rect 882 10053 9882 10619
@ -12,7 +12,7 @@ rect 882 5701 9882 6267
<< obsli1 >>
rect 920 1071 9844 11441
<< obsm1 >>
rect 920 1040 16730 11552
rect 920 960 16638 11472
<< metal2 >>
rect 938 12200 994 13000
rect 1398 12200 1454 13000
@ -40,8 +40,8 @@ rect 4730 12144 5022 12345
rect 5190 12144 5482 12345
rect 5650 12144 5942 12345
rect 6110 12144 6402 12345
rect 6570 12144 16724 12345
rect 994 439 16724 12144
rect 6570 12144 16634 12345
rect 994 439 16634 12144
<< metal3 >>
rect 14000 12248 34000 12368
rect 14000 11840 34000 11960
@ -282,8 +282,8 @@ port 47 nsew signal output
string FIXED_BBOX 0 0 34000 13000
string LEFclass BLOCK
string LEFview TRUE
string GDS_END 564466
string GDS_FILE /home/kareem_farid/fresh/caravel_timing/openlane/gpio_control_block/runs/gpio_control_block/results/finishing/gpio_control_block.magic.gds
string GDS_START 191192
string GDS_END 563480
string GDS_FILE /home/marwan/work/caravel_user_project/caravel/openlane/gpio_control_block/runs/gpio_control_block/results/finishing/gpio_control_block.magic.gds
string GDS_START 197174
<< end >>

View File

@ -8,11 +8,11 @@ current_design gpio_control_block
###############################################################################
create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}]
set_clock_transition 0.1500 [get_clocks {serial_clock}]
set_clock_uncertainty 0.2500 serial_clock
set_clock_uncertainty 0.4000 serial_clock
set_propagated_clock [get_clocks {serial_clock}]
create_clock -name serial_load -period 50.0000 [get_ports {serial_load}]
set_clock_transition 0.1500 [get_clocks {serial_load}]
set_clock_uncertainty 0.2500 serial_load
set_clock_uncertainty 0.4000 serial_load
set_propagated_clock [get_clocks {serial_load}]
set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}]
set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}]

View File

@ -1,6 +1,6 @@
###############################################################################
# Created by write_sdc
# Tue Apr 5 11:53:33 2022
# Mon Apr 11 14:40:34 2022
###############################################################################
current_design gpio_control_block
###############################################################################
@ -8,11 +8,11 @@ current_design gpio_control_block
###############################################################################
create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}]
set_clock_transition 0.1500 [get_clocks {serial_clock}]
set_clock_uncertainty 0.2500 serial_clock
set_clock_uncertainty 0.4000 serial_clock
set_propagated_clock [get_clocks {serial_clock}]
create_clock -name serial_load -period 50.0000 [get_ports {serial_load}]
set_clock_transition 0.1500 [get_clocks {serial_load}]
set_clock_uncertainty 0.2500 serial_load
set_clock_uncertainty 0.4000 serial_load
set_propagated_clock [get_clocks {serial_load}]
set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}]
set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}]

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1 @@
openlane 00da77e58c86a2fa745dafc2f4b277191cb8d3ac
magic 47df9da0d3dfe551b5b67e69cd346b040e7e079f
skywater-pdk c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
open_pdks 7519dfb04400f224f140749cda44ee7de6f5e095

View File

@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
0,/home/kareem_farid/fresh/caravel_timing/openlane/gpio_control_block,gpio_control_block,gpio_control_block,flow completed,0h1m34s0ms,0h1m22s0ms,-2.0,0.01105,-1,69.84,521.59,-1,0,0,0,0,0,0,0,0,0,-1,-1,4387,1001,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,4147542.0,0.0,12.18,14.64,14.41,-1,13.57,57,85,50,78,0,0,0,42,2,13,13,0,13,0,0,4,29,44,4,38,28,0,66,20.0,50.0,50,DELAY 0,5,50,1,25,16.9,0.7,0.05,sky130_fd_sc_hd,0,4
0,/home/marwan/work/caravel_user_project/caravel/openlane/gpio_control_block,gpio_control_block,gpio_control_block,flow completed,0h1m34s0ms,0h1m22s0ms,-2.0,0.01105,-1,69.84,500.38,-1,0,0,0,0,0,0,0,0,0,-1,-1,4224,991,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,4147542.0,0.0,11.38,14.38,15.24,-1,13.41,57,85,50,78,0,0,0,42,2,13,13,0,13,0,0,4,29,44,4,38,28,0,66,20.0,50.0,50,DELAY 0,5,50,1,25,16.9,0.7,0.05,sky130_fd_sc_hd,0,4

1 design design_name config flow_status total_runtime routed_runtime (Cell/mm^2)/Core_Util DIEAREA_mm^2 CellPer_mm^2 OpenDP_Util Peak_Memory_Usage_MB cell_count tritonRoute_violations Short_violations MetSpc_violations OffGrid_violations MinHole_violations Other_violations Magic_violations antenna_violations lvs_total_errors cvc_total_errors klayout_violations wire_length vias wns pl_wns optimized_wns fastroute_wns spef_wns tns pl_tns optimized_tns fastroute_tns spef_tns HPWL routing_layer1_pct routing_layer2_pct routing_layer3_pct routing_layer4_pct routing_layer5_pct routing_layer6_pct wires_count wire_bits public_wires_count public_wire_bits memories_count memory_bits processes_count cells_pre_abc AND DFF NAND NOR OR XOR XNOR MUX inputs outputs level EndCaps TapCells Diodes Total_Physical_Cells suggested_clock_frequency suggested_clock_period CLOCK_PERIOD SYNTH_STRATEGY SYNTH_MAX_FANOUT FP_CORE_UTIL FP_ASPECT_RATIO FP_PDN_VPITCH FP_PDN_HPITCH PL_TARGET_DENSITY GLB_RT_ADJUSTMENT STD_CELL_LIBRARY CELL_PAD DIODE_INSERTION_STRATEGY
2 0 /home/kareem_farid/fresh/caravel_timing/openlane/gpio_control_block /home/marwan/work/caravel_user_project/caravel/openlane/gpio_control_block gpio_control_block gpio_control_block flow completed 0h1m34s0ms 0h1m22s0ms -2.0 0.01105 -1 69.84 521.59 500.38 -1 0 0 0 0 0 0 0 0 0 -1 -1 4387 4224 1001 991 0.0 0.0 -1 0.0 0.0 0.0 0.0 -1 0.0 0.0 4147542.0 0.0 12.18 11.38 14.64 14.38 14.41 15.24 -1 13.57 13.41 57 85 50 78 0 0 0 42 2 13 13 0 13 0 0 4 29 44 4 38 28 0 66 20.0 50.0 50 DELAY 0 5 50 1 25 16.9 0.7 0.05 sky130_fd_sc_hd 0 4

File diff suppressed because it is too large Load Diff

View File

@ -4,20 +4,20 @@
.subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view
.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s25_1 abstract view
.subckt sky130_fd_sc_hd__clkdlybuf4s25_1 A VGND VNB VPB VPWR X
* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s50_1 abstract view
.subckt sky130_fd_sc_hd__clkdlybuf4s50_1 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view
@ -32,6 +32,14 @@
.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s25_1 abstract view
.subckt sky130_fd_sc_hd__clkdlybuf4s25_1 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__dlygate4sd3_1 abstract view
.subckt sky130_fd_sc_hd__dlygate4sd3_1 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
.ends
@ -56,12 +64,8 @@
.subckt sky130_fd_sc_hd__or2b_2 A B_N VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view
@ -116,8 +120,8 @@
.subckt sky130_fd_sc_hd__dlygate4sd2_1 A VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s50_1 abstract view
.subckt sky130_fd_sc_hd__clkdlybuf4s50_1 A VGND VNB VPB VPWR X
* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
.ends
.subckt gpio_control_block gpio_defaults[0] gpio_defaults[10] gpio_defaults[11] gpio_defaults[12]
@ -128,95 +132,93 @@
+ pad_gpio_in pad_gpio_inenb pad_gpio_out pad_gpio_outenb pad_gpio_slow_sel pad_gpio_vtrip_sel
+ resetn resetn_out serial_clock serial_clock_out serial_data_in serial_data_out serial_load
+ serial_load_out user_gpio_in user_gpio_oeb user_gpio_out vccd vccd1 vssd vssd1 zero
X_200_ _207_/CLK _200_/D resetn vssd vssd vccd vccd _201_/D sky130_fd_sc_hd__dfrtp_2
XFILLER_18_31 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_200_ _207_/CLK hold2/X resetn vssd vssd vccd vccd _200_/Q sky130_fd_sc_hd__dfrtp_2
XANTENNA__127__B_N gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_18_31 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_114_ resetn vssd vssd vccd vccd _177_/A sky130_fd_sc_hd__buf_1
XFILLER_13_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XFILLER_3_57 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_130_ _130_/A vssd vssd vccd vccd _130_/X sky130_fd_sc_hd__buf_1
XANTENNA__124__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XANTENNA__160__B_N gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xhold20 hold20/A vssd vssd vccd vccd _190_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_0_47 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold20 _201_/Q vssd vssd vccd vccd _202_/D sky130_fd_sc_hd__clkdlybuf4s50_1
X_179__3 _179__3/A vssd vssd vccd vccd _179__3/Y sky130_fd_sc_hd__inv_2
X_189_ _154__11/Y _189_/D _153_/X _156_/X vssd vssd vccd vccd pad_gpio_dm[0] _104_/A2
X_189_ _154__11/Y hold6/X _153_/X _156_/X vssd vssd vccd vccd pad_gpio_dm[0] _104_/A2
+ sky130_fd_sc_hd__dfbbn_2
XANTENNA__200__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xclkbuf_1_1_0__077_ clkbuf_0__077_/X vssd vssd vccd vccd _131__7/A sky130_fd_sc_hd__clkbuf_2
X_112_ _210_/A vssd vssd vccd vccd _112_/X sky130_fd_sc_hd__buf_1
Xhold10 _207_/D vssd vssd vccd vccd hold20/A sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold21 _203_/D vssd vssd vccd vccd _194_/D sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold10 hold9/X vssd vssd vccd vccd _198_/D sky130_fd_sc_hd__clkdlybuf4s50_1
Xhold21 _200_/Q vssd vssd vccd vccd _201_/D sky130_fd_sc_hd__clkdlybuf4s50_1
XFILLER_3_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_111_ _111_/A vssd vssd vccd vccd _111_/X sky130_fd_sc_hd__buf_1
XANTENNA__146__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_188_ _148__10/Y _188_/D _147_/X _150_/X vssd vssd vccd vccd _188_/Q _188_/Q_N sky130_fd_sc_hd__dfbbn_2
X_188_ _148__10/Y hold8/X _147_/X _150_/X vssd vssd vccd vccd _188_/Q _188_/Q_N sky130_fd_sc_hd__dfbbn_2
Xhold22 _205_/D vssd vssd vccd vccd _185_/D sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold11 _195_/Q vssd vssd vccd vccd hold12/A sky130_fd_sc_hd__dlygate4sd3_1
XFILLER_15_24 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold22 _202_/D vssd vssd vccd vccd _193_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_6_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold11 hold1/X vssd vssd vccd vccd _187_/D sky130_fd_sc_hd__clkdlybuf4s25_1
X_187_ _142__9/Y _187_/D _140_/X _145_/X vssd vssd vccd vccd pad_gpio_ib_mode_sel
X_187_ _142__9/Y hold2/X _140_/X _145_/X vssd vssd vccd vccd pad_gpio_ib_mode_sel
+ _187_/Q_N sky130_fd_sc_hd__dfbbn_2
X_110_ _180_/A gpio_defaults[0] vssd vssd vccd vccd _111_/A sky130_fd_sc_hd__or2_2
Xhold12 _200_/D vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold23 _201_/D vssd vssd vccd vccd _192_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_1_82 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold12 hold12/A vssd vssd vccd vccd _196_/D sky130_fd_sc_hd__clkdlybuf4s50_1
Xhold23 _207_/D vssd vssd vccd vccd _190_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XANTENNA__162__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_1_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XANTENNA__157__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_186_ _136__8/Y _186_/D _135_/X _138_/X vssd vssd vccd vccd pad_gpio_inenb _186_/Q_N
X_186_ _136__8/Y _199_/D _135_/X _138_/X vssd vssd vccd vccd pad_gpio_inenb _186_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
Xhold13 hold2/X vssd vssd vccd vccd _191_/D sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold13 _198_/Q vssd vssd vccd vccd hold14/A sky130_fd_sc_hd__dlygate4sd3_1
XPHY_0 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__203__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_185_ _131__7/Y _185_/D _130_/X _133_/X vssd vssd vccd vccd pad_gpio_vtrip_sel _185_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
XANTENNA__196__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_099_ _188_/Q mgmt_gpio_oeb _182_/Q _098_/X vssd vssd vccd vccd pad_gpio_outenb sky130_fd_sc_hd__a31o_2
Xhold14 hold4/X vssd vssd vccd vccd _188_/D sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold14 hold14/A vssd vssd vccd vccd _199_/D sky130_fd_sc_hd__clkdlybuf4s50_1
X_168_ _168_/A vssd vssd vccd vccd _168_/X sky130_fd_sc_hd__buf_1
XFILLER_6_29 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_1 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_164__13 _164__13/A vssd vssd vccd vccd _164__13/Y sky130_fd_sc_hd__inv_2
X_184_ _126__6/Y hold8/X _125_/X _128_/X vssd vssd vccd vccd pad_gpio_slow_sel _184_/Q_N
X_184_ _126__6/Y _204_/D _125_/X _128_/X vssd vssd vccd vccd pad_gpio_slow_sel _184_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
XFILLER_18_27 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xconst_source vssd vssd vccd vccd one zero sky130_fd_sc_hd__conb_1
X_098_ _182_/Q user_gpio_oeb vssd vssd vccd vccd _098_/X sky130_fd_sc_hd__and2b_2
Xhold15 hold5/X vssd vssd vccd vccd _183_/D sky130_fd_sc_hd__clkdlybuf4s25_1
X_167_ _172_/A gpio_defaults[5] vssd vssd vccd vccd _168_/A sky130_fd_sc_hd__or2_2
Xhold15 _203_/Q vssd vssd vccd vccd hold16/A sky130_fd_sc_hd__dlygate4sd3_1
XFILLER_16_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_2 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_183_ _120__5/Y _183_/D _119_/X _122_/X vssd vssd vccd vccd pad_gpio_holdover _183_/Q_N
X_183_ _120__5/Y _198_/D _119_/X _122_/X vssd vssd vccd vccd pad_gpio_holdover _183_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
X_166_ _166_/A vssd vssd vccd vccd _166_/X sky130_fd_sc_hd__buf_1
X_097_ _097_/A vssd vssd vccd vccd _097_/X sky130_fd_sc_hd__buf_1
Xhold16 hold6/X vssd vssd vccd vccd _182_/D sky130_fd_sc_hd__clkdlybuf4s25_1
X_149_ _165_/A gpio_defaults[1] vssd vssd vccd vccd _150_/A sky130_fd_sc_hd__or2b_2
Xhold16 hold16/A vssd vssd vccd vccd _204_/D sky130_fd_sc_hd__clkdlybuf4s50_1
XPHY_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__100__A user_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_182_ _113__4/Y _182_/D _111_/X _117_/X vssd vssd vccd vccd _182_/Q _182_/Q_N sky130_fd_sc_hd__dfbbn_2
Xhold17 hold3/X vssd vssd vccd vccd _189_/D sky130_fd_sc_hd__clkdlybuf4s25_1
X_182_ _113__4/Y _196_/D _111_/X _117_/X vssd vssd vccd vccd _182_/Q _182_/Q_N sky130_fd_sc_hd__dfbbn_2
Xhold17 _204_/Q vssd vssd vccd vccd _205_/D sky130_fd_sc_hd__clkdlybuf4s50_1
X_096_ pad_gpio_inenb _188_/Q vssd vssd vccd vccd _097_/A sky130_fd_sc_hd__or2b_2
X_165_ _165_/A gpio_defaults[12] vssd vssd vccd vccd _166_/A sky130_fd_sc_hd__or2b_2
XANTENNA__206__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XPHY_4 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__199__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xclkbuf_1_1_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _210_/A sky130_fd_sc_hd__clkbuf_2
XANTENNA__195__D serial_data_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xclkbuf_1_1_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _210_/A sky130_fd_sc_hd__clkbuf_2
X_181_ _181_/A vssd vssd vccd vccd _181_/X sky130_fd_sc_hd__buf_1
XFILLER_18_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_6
XFILLER_18_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_4
X_147_ _147_/A vssd vssd vccd vccd _147_/X sky130_fd_sc_hd__buf_1
Xhold18 hold7/X vssd vssd vccd vccd _186_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XANTENNA__106__A pad_gpio_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xhold18 _206_/Q vssd vssd vccd vccd _207_/D sky130_fd_sc_hd__clkdlybuf4s50_1
XPHY_5 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__114__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_180_ _180_/A gpio_defaults[7] vssd vssd vccd vccd _181_/A sky130_fd_sc_hd__or2b_2
X_169__1 _179__3/A vssd vssd vccd vccd _169__1/Y sky130_fd_sc_hd__inv_2
XANTENNA__109__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xhold19 hold9/X vssd vssd vccd vccd _185_/D sky130_fd_sc_hd__clkdlybuf4s25_1
X_163_ _163_/A vssd vssd vccd vccd _163_/X sky130_fd_sc_hd__buf_1
XANTENNA__149__B_N gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_1_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2
Xhold19 _202_/Q vssd vssd vccd vccd _203_/D sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_1_78 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_163_ _163_/A vssd vssd vccd vccd _163_/X sky130_fd_sc_hd__buf_1
X_129_ _146_/A gpio_defaults[9] vssd vssd vccd vccd _130_/A sky130_fd_sc_hd__or2_2
Xclkbuf_0__077_ _112_/X vssd vssd vccd vccd clkbuf_0__077_/X sky130_fd_sc_hd__clkbuf_16
Xclkbuf_1_0_0__077_ clkbuf_0__077_/X vssd vssd vccd vccd _136__8/A sky130_fd_sc_hd__clkbuf_2
@ -227,7 +229,7 @@ X_162_ _172_/A gpio_defaults[12] vssd vssd vccd vccd _163_/A sky130_fd_sc_hd__or
X_145_ _145_/A vssd vssd vccd vccd _145_/X sky130_fd_sc_hd__buf_1
XANTENNA__116__B_N gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_128_ _128_/A vssd vssd vccd vccd _128_/X sky130_fd_sc_hd__buf_1
XFILLER_7_78 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XFILLER_7_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_7 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_161_ _161_/A vssd vssd vccd vccd _161_/X sky130_fd_sc_hd__buf_1
Xgpio_in_buf _106_/Y gpio_in_buf/TE vssd vssd vccd vccd user_gpio_in sky130_fd_sc_hd__einvp_8
@ -255,8 +257,8 @@ X_210_ _210_/A vssd vssd vccd vccd serial_load_out sky130_fd_sc_hd__buf_2
XTAP_61 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_50 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_141_ _210_/A vssd vssd vccd vccd _141_/X sky130_fd_sc_hd__buf_1
X_124_ _146_/A gpio_defaults[8] vssd vssd vccd vccd _125_/A sky130_fd_sc_hd__or2_2
Xclkbuf_1_1_0_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _209_/A sky130_fd_sc_hd__clkbuf_2
X_124_ _146_/A gpio_defaults[8] vssd vssd vccd vccd _125_/A sky130_fd_sc_hd__or2_2
XANTENNA__202__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XANTENNA__195__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_14_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
@ -270,58 +272,59 @@ XTAP_40 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_123_ _177_/A vssd vssd vccd vccd _146_/A sky130_fd_sc_hd__buf_1
X_106_ pad_gpio_in vssd vssd vccd vccd _106_/Y sky130_fd_sc_hd__inv_2
X_148__10 _142__9/A vssd vssd vccd vccd _148__10/Y sky130_fd_sc_hd__inv_2
XANTENNA__177__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XANTENNA__132__B_N gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XTAP_63 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XANTENNA__177__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XTAP_52 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_41 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XANTENNA__155__B_N gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_16_27 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XFILLER_11_60 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_122_ _122_/A vssd vssd vccd vccd _122_/X sky130_fd_sc_hd__buf_1
X_199_ _207_/CLK hold7/A resetn vssd vssd vccd vccd _200_/D sky130_fd_sc_hd__dfrtp_2
X_199_ _207_/CLK _199_/D resetn vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__dfrtp_2
X_105_ _182_/Q _100_/Y _103_/X _104_/Y vssd vssd vccd vccd pad_gpio_out sky130_fd_sc_hd__o22ai_2
XTAP_64 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XFILLER_14_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XTAP_53 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_198_ _209_/A hold5/A resetn vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_2
X_198_ _209_/A _198_/D resetn vssd vssd vccd vccd _198_/Q sky130_fd_sc_hd__dfrtp_2
XTAP_42 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XANTENNA__098__B user_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_121_ _137_/A gpio_defaults[2] vssd vssd vccd vccd _122_/A sky130_fd_sc_hd__or2b_2
X_104_ pad_gpio_dm[2] _104_/A2 _101_/Y _182_/Q vssd vssd vccd vccd _104_/Y sky130_fd_sc_hd__o31ai_2
XFILLER_12_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XTAP_65 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XANTENNA__205__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XTAP_65 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_54 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_43 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_197_ _209_/A hold4/A resetn vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_2
X_197_ _209_/A hold8/X resetn vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_2
XANTENNA__198__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_103_ pad_gpio_dm[2] _101_/Y _102_/Y vssd vssd vccd vccd _103_/X sky130_fd_sc_hd__o21a_2
X_120__5 _136__8/A vssd vssd vccd vccd _120__5/Y sky130_fd_sc_hd__inv_2
Xhold1 hold1/A vssd vssd vccd vccd hold1/X sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold1 hold1/A vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dlygate4sd3_1
XTAP_55 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_196_ _209_/A hold6/A resetn vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dfrtp_2
X_196_ _209_/A _196_/D resetn vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_2
XANTENNA__101__A mgmt_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XTAP_44 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_102_ mgmt_gpio_out vssd vssd vccd vccd _102_/Y sky130_fd_sc_hd__inv_2
Xclkbuf_0_serial_load serial_load vssd vssd vccd vccd clkbuf_0_serial_load/X sky130_fd_sc_hd__clkbuf_16
Xhold2 hold2/A vssd vssd vccd vccd hold2/X sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold2 hold2/A vssd vssd vccd vccd hold2/X sky130_fd_sc_hd__clkdlybuf4s50_1
XTAP_56 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_45 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
Xgpio_logic_high gpio_in_buf/TE vccd1 vssd1 gpio_logic_high
X_195_ _209_/A serial_data_in resetn vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dfrtp_2
XFILLER_5_32 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_195_ _209_/A serial_data_in resetn vssd vssd vccd vccd _195_/Q sky130_fd_sc_hd__dfrtp_2
X_101_ mgmt_gpio_oeb pad_gpio_dm[1] vssd vssd vccd vccd _101_/Y sky130_fd_sc_hd__nand2_2
X_178_ _178_/A vssd vssd vccd vccd _178_/X sky130_fd_sc_hd__buf_1
Xhold3 hold3/A vssd vssd vccd vccd hold3/X sky130_fd_sc_hd__clkdlybuf4s25_1
Xclkbuf_1_1_0__049_ clkbuf_0__049_/X vssd vssd vccd vccd _142__9/A sky130_fd_sc_hd__clkbuf_2
Xclkbuf_1_0_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _179__3/A sky130_fd_sc_hd__clkbuf_2
XFILLER_10_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold3 hold3/A vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dlygate4sd3_1
X_126__6 _131__7/A vssd vssd vccd vccd _126__6/Y sky130_fd_sc_hd__inv_2
XFILLER_14_42 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XTAP_57 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XPHY_30 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XTAP_46 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
X_194_ _179__3/Y _194_/D _178_/X _181_/X vssd vssd vccd vccd pad_gpio_ana_pol _194_/Q_N
X_194_ _179__3/Y _203_/D _178_/X _181_/X vssd vssd vccd vccd pad_gpio_ana_pol _194_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
X_100_ user_gpio_out vssd vssd vccd vccd _100_/Y sky130_fd_sc_hd__inv_2
XANTENNA__208__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
@ -332,24 +335,24 @@ XTAP_58 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_47 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XPHY_31 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_20 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_193_ _174__2/Y _193_/D _173_/X _176_/X vssd vssd vccd vccd pad_gpio_ana_sel _193_/Q_N
X_193_ _174__2/Y _202_/D _173_/X _176_/X vssd vssd vccd vccd pad_gpio_ana_sel _193_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
XANTENNA__118__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_176_ _176_/A vssd vssd vccd vccd _176_/X sky130_fd_sc_hd__buf_1
Xhold5 hold5/A vssd vssd vccd vccd hold5/X sky130_fd_sc_hd__clkdlybuf4s25_1
Xdata_delay_1 hold2/A vssd vssd vccd vccd data_delay_2/A sky130_fd_sc_hd__dlygate4sd2_1
Xhold5 hold5/A vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dlygate4sd3_1
Xdata_delay_1 hold3/A vssd vssd vccd vccd data_delay_2/A sky130_fd_sc_hd__dlygate4sd2_1
XPHY_32 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XTAP_59 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XPHY_21 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XTAP_48 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XPHY_10 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_192_ _169__1/Y _192_/D _168_/X _171_/X vssd vssd vccd vccd pad_gpio_ana_en _192_/Q_N
X_192_ _169__1/Y _201_/D _168_/X _171_/X vssd vssd vccd vccd pad_gpio_ana_en _192_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
X_175_ _180_/A gpio_defaults[6] vssd vssd vccd vccd _176_/A sky130_fd_sc_hd__or2b_2
XFILLER_2_47 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XANTENNA__129__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XANTENNA__134__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xhold6 hold6/A vssd vssd vccd vccd hold6/X sky130_fd_sc_hd__clkdlybuf4s25_1
Xhold6 hold6/A vssd vssd vccd vccd hold6/X sky130_fd_sc_hd__clkdlybuf4s50_1
X_158_ _158_/A vssd vssd vccd vccd _158_/X sky130_fd_sc_hd__buf_1
XTAP_49 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_38 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1
@ -359,12 +362,13 @@ XPHY_33 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XFILLER_11_24 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_22 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
Xclkbuf_0_serial_clock serial_clock vssd vssd vccd vccd clkbuf_0_serial_clock/X sky130_fd_sc_hd__clkbuf_16
X_191_ _164__13/Y _191_/D _163_/X _166_/X vssd vssd vccd vccd pad_gpio_dm[2] _191_/Q_N
X_191_ _164__13/Y hold4/X _163_/X _166_/X vssd vssd vccd vccd pad_gpio_dm[2] _191_/Q_N
+ sky130_fd_sc_hd__dfbbn_2
XPHY_11 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_157_ _172_/A gpio_defaults[11] vssd vssd vccd vccd _158_/A sky130_fd_sc_hd__or2_2
X_209_ _209_/A vssd vssd vccd vccd serial_clock_out sky130_fd_sc_hd__buf_2
Xhold7 hold7/A vssd vssd vccd vccd hold7/X sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_17_45 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold7 hold7/A vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dlygate4sd3_1
XFILLER_5_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_142__9 _142__9/A vssd vssd vccd vccd _142__9/Y sky130_fd_sc_hd__inv_2
XANTENNA__201__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
@ -376,10 +380,10 @@ X_190_ _159__12/Y _190_/D _158_/X _161_/X vssd vssd vccd vccd pad_gpio_dm[1] _19
+ sky130_fd_sc_hd__dfbbn_2
X_173_ _173_/A vssd vssd vccd vccd _173_/X sky130_fd_sc_hd__buf_1
X_156_ _156_/A vssd vssd vccd vccd _156_/X sky130_fd_sc_hd__buf_1
XFILLER_8_37 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
Xhold8 hold8/A vssd vssd vccd vccd hold8/X sky130_fd_sc_hd__clkdlybuf4s50_1
Xhold8 hold8/A vssd vssd vccd vccd hold8/X sky130_fd_sc_hd__clkdlybuf4s25_1
X_208_ resetn vssd vssd vccd vccd resetn_out sky130_fd_sc_hd__buf_2
X_139_ _146_/A gpio_defaults[4] vssd vssd vccd vccd _140_/A sky130_fd_sc_hd__or2_2
XFILLER_0_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_35 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_24 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_13 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
@ -388,29 +392,30 @@ X_155_ _165_/A gpio_defaults[10] vssd vssd vccd vccd _156_/A sky130_fd_sc_hd__or
X_172_ _172_/A gpio_defaults[6] vssd vssd vccd vccd _173_/A sky130_fd_sc_hd__or2_2
XFILLER_3_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_138_ _138_/A vssd vssd vccd vccd _138_/X sky130_fd_sc_hd__buf_1
Xhold9 hold9/A vssd vssd vccd vccd hold9/X sky130_fd_sc_hd__clkdlybuf4s25_1
XFILLER_0_72 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_207_ _207_/CLK _207_/D resetn vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dfrtp_2
XANTENNA__172__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
Xhold9 hold9/A vssd vssd vccd vccd hold9/X sky130_fd_sc_hd__dlygate4sd3_1
X_207_ _207_/CLK _207_/D resetn vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_2
XANTENNA__167__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XANTENNA__172__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XPHY_36 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_25 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_171_ _171_/A vssd vssd vccd vccd _171_/X sky130_fd_sc_hd__buf_1
XPHY_14 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XFILLER_18_80 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XFILLER_17_48 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XFILLER_3_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_137_ _137_/A gpio_defaults[3] vssd vssd vccd vccd _138_/A sky130_fd_sc_hd__or2b_2
XFILLER_17_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XANTENNA__096__A pad_gpio_inenb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_206_ _209_/A hold3/A resetn vssd vssd vccd vccd _207_/D sky130_fd_sc_hd__dfrtp_2
X_206_ _209_/A hold6/X resetn vssd vssd vccd vccd _206_/Q sky130_fd_sc_hd__dfrtp_2
XFILLER_0_84 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_37 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_26 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_15 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__121__B_N gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_170_ _180_/A gpio_defaults[5] vssd vssd vccd vccd _171_/A sky130_fd_sc_hd__or2b_2
XANTENNA__204__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
XFILLER_12_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_205_ _209_/A hold9/A resetn vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_2
X_153_ _153_/A vssd vssd vccd vccd _153_/X sky130_fd_sc_hd__buf_1
XFILLER_12_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_205_ _209_/A _205_/D resetn vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_2
XANTENNA__144__B_N gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_119_ _119_/A vssd vssd vccd vccd _119_/X sky130_fd_sc_hd__buf_1
XANTENNA__197__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
@ -418,18 +423,19 @@ XFILLER_15_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
XPHY_27 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_16 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
X_113__4 _136__8/A vssd vssd vccd vccd _113__4/Y sky130_fd_sc_hd__inv_2
XFILLER_12_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_152_ _172_/A gpio_defaults[10] vssd vssd vccd vccd _153_/A sky130_fd_sc_hd__or2_2
X_135_ _135_/A vssd vssd vccd vccd _135_/X sky130_fd_sc_hd__buf_1
X_204_ _209_/A hold8/A resetn vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_2
X_204_ _209_/A _204_/D resetn vssd vssd vccd vccd _204_/Q sky130_fd_sc_hd__dfrtp_2
X_118_ _180_/A gpio_defaults[2] vssd vssd vccd vccd _119_/A sky130_fd_sc_hd__or2_2
XPHY_28 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_17 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
Xclkbuf_0__049_ _141_/X vssd vssd vccd vccd clkbuf_0__049_/X sky130_fd_sc_hd__clkbuf_16
Xclkbuf_1_0_0__049_ clkbuf_0__049_/X vssd vssd vccd vccd _164__13/A sky130_fd_sc_hd__clkbuf_2
X_134_ _146_/A gpio_defaults[3] vssd vssd vccd vccd _135_/A sky130_fd_sc_hd__or2_2
X_203_ _207_/CLK _203_/D resetn vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dfrtp_2
X_203_ _207_/CLK _203_/D resetn vssd vssd vccd vccd _203_/Q sky130_fd_sc_hd__dfrtp_2
X_151_ _177_/A vssd vssd vccd vccd _172_/A sky130_fd_sc_hd__buf_1
XFILLER_18_61 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2
XFILLER_18_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1
X_117_ _117_/A vssd vssd vccd vccd _117_/X sky130_fd_sc_hd__buf_1
XPHY_29 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XPHY_18 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
@ -437,7 +443,7 @@ XANTENNA__102__A mgmt_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_150_ _150_/A vssd vssd vccd vccd _150_/X sky130_fd_sc_hd__buf_1
X_159__12 _164__13/A vssd vssd vccd vccd _159__12/Y sky130_fd_sc_hd__inv_2
X_133_ _133_/A vssd vssd vccd vccd _133_/X sky130_fd_sc_hd__buf_1
X_202_ _207_/CLK _202_/D resetn vssd vssd vccd vccd _203_/D sky130_fd_sc_hd__dfrtp_2
X_202_ _207_/CLK _202_/D resetn vssd vssd vccd vccd _202_/Q sky130_fd_sc_hd__dfrtp_2
X_116_ _137_/A gpio_defaults[0] vssd vssd vccd vccd _117_/A sky130_fd_sc_hd__or2b_2
XPHY_19 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3
XANTENNA__180__B_N gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
@ -446,7 +452,8 @@ XANTENNA_clkbuf_0_serial_clock_A serial_clock vssd vssd vccd vccd sky130_fd_sc_h
XANTENNA__137__B_N gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_132_ _137_/A gpio_defaults[9] vssd vssd vccd vccd _133_/A sky130_fd_sc_hd__or2b_2
XANTENNA__099__A2 mgmt_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_201_ _207_/CLK _201_/D resetn vssd vssd vccd vccd _202_/D sky130_fd_sc_hd__dfrtp_2
X_201_ _207_/CLK _201_/D resetn vssd vssd vccd vccd _201_/Q sky130_fd_sc_hd__dfrtp_2
XFILLER_18_63 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2
XANTENNA__110__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2
X_115_ _177_/A vssd vssd vccd vccd _137_/A sky130_fd_sc_hd__buf_1
XANTENNA__211__A pad_gpio_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2

View File

@ -480,7 +480,15 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_0_72 (.VGND(vssd),
sky130_fd_sc_hd__fill_1 FILLER_0_47 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_0_84 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_0_93 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
@ -504,6 +512,10 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_12_83 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_12_93 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
@ -552,11 +564,19 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_17_45 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_17_48 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_18_27 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__decap_6 FILLER_18_3 (.VGND(vssd),
sky130_fd_sc_hd__decap_4 FILLER_18_3 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
@ -564,11 +584,11 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_2 FILLER_18_61 (.VGND(vssd),
sky130_fd_sc_hd__fill_2 FILLER_18_63 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_18_80 (.VGND(vssd),
sky130_fd_sc_hd__fill_1 FILLER_18_83 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
@ -576,22 +596,10 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_2 FILLER_1_34 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_1_82 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_1_93 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_2_47 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
@ -604,6 +612,10 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_3_83 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_3_93 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
@ -612,15 +624,15 @@ module gpio_control_block (mgmt_gpio_in,
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_6_26 (.VGND(vssd),
sky130_fd_sc_hd__fill_1 FILLER_5_32 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_7_78 (.VGND(vssd),
sky130_fd_sc_hd__fill_1 FILLER_6_29 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
sky130_fd_sc_hd__fill_1 FILLER_8_37 (.VGND(vssd),
sky130_fd_sc_hd__fill_1 FILLER_7_34 (.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd));
@ -1394,7 +1406,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.X(_027_));
sky130_fd_sc_hd__dfbbn_2 _182_ (.CLK_N(net4),
.D(net29),
.D(net24),
.RESET_B(_002_),
.SET_B(_003_),
.VGND(vssd),
@ -1404,7 +1416,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(mgmt_ena),
.Q_N(_094_));
sky130_fd_sc_hd__dfbbn_2 _183_ (.CLK_N(net5),
.D(net28),
.D(net22),
.RESET_B(_004_),
.SET_B(_005_),
.VGND(vssd),
@ -1414,7 +1426,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_holdover),
.Q_N(_093_));
sky130_fd_sc_hd__dfbbn_2 _184_ (.CLK_N(net6),
.D(net21),
.D(net28),
.RESET_B(_006_),
.SET_B(_007_),
.VGND(vssd),
@ -1424,7 +1436,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_slow_sel),
.Q_N(_092_));
sky130_fd_sc_hd__dfbbn_2 _185_ (.CLK_N(net7),
.D(net32),
.D(net35),
.RESET_B(_008_),
.SET_B(_009_),
.VGND(vssd),
@ -1434,7 +1446,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_vtrip_sel),
.Q_N(_091_));
sky130_fd_sc_hd__dfbbn_2 _186_ (.CLK_N(net8),
.D(net31),
.D(net26),
.RESET_B(_010_),
.SET_B(_011_),
.VGND(vssd),
@ -1444,7 +1456,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_inenb),
.Q_N(_090_));
sky130_fd_sc_hd__dfbbn_2 _187_ (.CLK_N(net9),
.D(net24),
.D(net14),
.RESET_B(_012_),
.SET_B(_013_),
.VGND(vssd),
@ -1454,7 +1466,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_ib_mode_sel),
.Q_N(_089_));
sky130_fd_sc_hd__dfbbn_2 _188_ (.CLK_N(net10),
.D(net27),
.D(net20),
.RESET_B(_014_),
.SET_B(_015_),
.VGND(vssd),
@ -1464,7 +1476,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(gpio_outenb),
.Q_N(_088_));
sky130_fd_sc_hd__dfbbn_2 _189_ (.CLK_N(net11),
.D(net30),
.D(net18),
.RESET_B(_016_),
.SET_B(_017_),
.VGND(vssd),
@ -1474,7 +1486,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_dm[0]),
.Q_N(_000_));
sky130_fd_sc_hd__dfbbn_2 _190_ (.CLK_N(net12),
.D(net33),
.D(net36),
.RESET_B(_018_),
.SET_B(_019_),
.VGND(vssd),
@ -1484,7 +1496,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_dm[1]),
.Q_N(_087_));
sky130_fd_sc_hd__dfbbn_2 _191_ (.CLK_N(net13),
.D(net26),
.D(net16),
.RESET_B(_020_),
.SET_B(_021_),
.VGND(vssd),
@ -1494,7 +1506,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_dm[2]),
.Q_N(_086_));
sky130_fd_sc_hd__dfbbn_2 _192_ (.CLK_N(net1),
.D(net36),
.D(net34),
.RESET_B(_022_),
.SET_B(_023_),
.VGND(vssd),
@ -1504,7 +1516,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_ana_en),
.Q_N(_085_));
sky130_fd_sc_hd__dfbbn_2 _193_ (.CLK_N(net2),
.D(net35),
.D(net33),
.RESET_B(_024_),
.SET_B(_025_),
.VGND(vssd),
@ -1514,7 +1526,7 @@ module gpio_control_block (mgmt_gpio_in,
.Q(pad_gpio_ana_sel),
.Q_N(_084_));
sky130_fd_sc_hd__dfbbn_2 _194_ (.CLK_N(net3),
.D(net34),
.D(net32),
.RESET_B(_026_),
.SET_B(_027_),
.VGND(vssd),
@ -1532,7 +1544,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[0] ));
sky130_fd_sc_hd__dfrtp_2 _196_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[0] ),
.D(net24),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1540,7 +1552,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[1] ));
sky130_fd_sc_hd__dfrtp_2 _197_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[1] ),
.D(net20),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1548,7 +1560,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[2] ));
sky130_fd_sc_hd__dfrtp_2 _198_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[2] ),
.D(net22),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1556,7 +1568,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[3] ));
sky130_fd_sc_hd__dfrtp_2 _199_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[3] ),
.D(net26),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1564,7 +1576,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[4] ));
sky130_fd_sc_hd__dfrtp_2 _200_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[4] ),
.D(net14),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1572,7 +1584,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[5] ));
sky130_fd_sc_hd__dfrtp_2 _201_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[5] ),
.D(net34),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1580,7 +1592,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[6] ));
sky130_fd_sc_hd__dfrtp_2 _202_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[6] ),
.D(net33),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1588,7 +1600,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[7] ));
sky130_fd_sc_hd__dfrtp_2 _203_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[7] ),
.D(net32),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1596,7 +1608,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[8] ));
sky130_fd_sc_hd__dfrtp_2 _204_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[8] ),
.D(net28),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1604,7 +1616,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[9] ));
sky130_fd_sc_hd__dfrtp_2 _205_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[9] ),
.D(net30),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1612,7 +1624,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[10] ));
sky130_fd_sc_hd__dfrtp_2 _206_ (.CLK(clknet_1_1_0_serial_clock),
.D(\shift_register[10] ),
.D(net18),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1620,7 +1632,7 @@ module gpio_control_block (mgmt_gpio_in,
.VPWR(vccd),
.Q(\shift_register[11] ));
sky130_fd_sc_hd__dfrtp_2 _207_ (.CLK(clknet_1_0_0_serial_clock),
.D(\shift_register[11] ),
.D(net31),
.RESET_B(resetn),
.VGND(vssd),
.VNB(vssd),
@ -1752,142 +1764,142 @@ module gpio_control_block (mgmt_gpio_in,
gpio_logic_high gpio_logic_high (.gpio_logic1(gpio_logic1),
.vccd1(vccd1),
.vssd1(vssd1));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 (.A(net25),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net14));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold10 (.A(\shift_register[11] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net23));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold11 (.A(net14),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net24));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold12 (.A(\shift_register[4] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net25));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold13 (.A(net15),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net26));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold14 (.A(net17),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net27));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(net18),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net28));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold16 (.A(net19),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net29));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold17 (.A(net16),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net30));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 (.A(net20),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net31));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(net22),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net32));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold2 (.A(serial_data_pre),
sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\shift_register[4] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net15));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 (.A(net23),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net33));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold21 (.A(\shift_register[7] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net34));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold22 (.A(\shift_register[6] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net35));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(\shift_register[5] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net36));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 (.A(\shift_register[10] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net16));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(\shift_register[1] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net17));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold5 (.A(\shift_register[2] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net18));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold6 (.A(\shift_register[0] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net19));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold7 (.A(\shift_register[3] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net20));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold8 (.A(\shift_register[8] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net21));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold9 (.A(\shift_register[9] ),
sky130_fd_sc_hd__clkdlybuf4s50_1 hold10 (.A(net23),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net22));
sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\shift_register[0] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net25));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold12 (.A(net25),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net24));
sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\shift_register[3] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net27));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold14 (.A(net27),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net26));
sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\shift_register[8] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net29));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold16 (.A(net29),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net28));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold17 (.A(\shift_register[9] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net30));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold18 (.A(\shift_register[11] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net31));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(\shift_register[7] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net32));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold2 (.A(net15),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net14));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold20 (.A(\shift_register[6] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net33));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold21 (.A(\shift_register[5] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net34));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold22 (.A(net30),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net35));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(net31),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net36));
sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(serial_data_pre),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net17));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(net17),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net16));
sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\shift_register[10] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net19));
sky130_fd_sc_hd__clkdlybuf4s50_1 hold6 (.A(net19),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net18));
sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\shift_register[1] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net21));
sky130_fd_sc_hd__clkdlybuf4s25_1 hold8 (.A(net21),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net20));
sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\shift_register[2] ),
.VGND(vssd),
.VNB(vssd),
.VPB(vccd),
.VPWR(vccd),
.X(net23));
endmodule