diff --git a/def/gpio_control_block.def b/def/gpio_control_block.def index 4c9ed6cc..6c099de0 100644 --- a/def/gpio_control_block.def +++ b/def/gpio_control_block.def @@ -44,66 +44,69 @@ VIAS 5 ; - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ; - via4_1400x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 300 400 310 400 ; END VIAS -COMPONENTS 312 ; +COMPONENTS 315 ; - ANTENNA__096__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 32640 ) N ; - - ANTENNA__098__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 54400 ) FN ; - - ANTENNA__099__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 39100 38080 ) FN ; - - ANTENNA__100__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 51680 ) S ; - - ANTENNA__101__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 27200 ) N ; - - ANTENNA__102__A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 8160 ) S ; - - ANTENNA__106__A sky130_fd_sc_hd__diode_2 + PLACED ( 38640 19040 ) FS ; - - ANTENNA__109__A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 19040 ) FS ; + - ANTENNA__098__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 51680 ) FS ; + - ANTENNA__099__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 30820 40800 ) S ; + - ANTENNA__100__A sky130_fd_sc_hd__diode_2 + PLACED ( 46000 54400 ) N ; + - ANTENNA__101__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 24480 ) FS ; + - ANTENNA__102__A sky130_fd_sc_hd__diode_2 + PLACED ( 46460 5440 ) FN ; + - ANTENNA__106__A sky130_fd_sc_hd__diode_2 + PLACED ( 46920 27200 ) N ; + - ANTENNA__109__A sky130_fd_sc_hd__diode_2 + PLACED ( 18400 19040 ) FS ; - ANTENNA__110__B sky130_fd_sc_hd__diode_2 + PLACED ( 14720 54400 ) FN ; - - ANTENNA__114__A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 43520 ) N ; + - ANTENNA__114__A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 51680 ) FS ; - ANTENNA__116__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 6440 51680 ) FS ; - ANTENNA__118__B sky130_fd_sc_hd__diode_2 + PLACED ( 8280 40800 ) S ; - ANTENNA__121__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 17940 54400 ) FN ; - - ANTENNA__124__B sky130_fd_sc_hd__diode_2 + PLACED ( 30820 54400 ) FN ; - - ANTENNA__127__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 26220 51680 ) FS ; - - ANTENNA__129__B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 54400 ) FN ; - - ANTENNA__132__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 25300 51680 ) S ; + - ANTENNA__124__B sky130_fd_sc_hd__diode_2 + PLACED ( 30820 54400 ) N ; + - ANTENNA__127__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 31740 54400 ) FN ; + - ANTENNA__129__B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 54400 ) N ; + - ANTENNA__132__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 29440 54400 ) FN ; - ANTENNA__134__B sky130_fd_sc_hd__diode_2 + PLACED ( 20240 54400 ) N ; - ANTENNA__137__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 19320 54400 ) N ; - ANTENNA__139__B sky130_fd_sc_hd__diode_2 + PLACED ( 7360 40800 ) S ; - - ANTENNA__144__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 29440 29920 ) S ; + - ANTENNA__144__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 17940 27200 ) N ; - ANTENNA__146__B sky130_fd_sc_hd__diode_2 + PLACED ( 40480 43520 ) FN ; - - ANTENNA__149__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 15640 29920 ) S ; + - ANTENNA__149__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 29440 29920 ) S ; - ANTENNA__152__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 35360 ) S ; - - ANTENNA__155__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 29440 54400 ) N ; + - ANTENNA__155__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 32660 54400 ) N ; - ANTENNA__157__B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 29920 ) S ; - ANTENNA__160__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 27140 21760 ) FN ; - - ANTENNA__162__B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 13600 ) S ; - - ANTENNA__165__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 42320 13600 ) S ; - - ANTENNA__167__B sky130_fd_sc_hd__diode_2 + PLACED ( 25760 16320 ) FN ; - - ANTENNA__170__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 18860 19040 ) FS ; - - ANTENNA__172__B sky130_fd_sc_hd__diode_2 + PLACED ( 17020 19040 ) S ; - - ANTENNA__175__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 17480 16320 ) FN ; - - ANTENNA__177__B sky130_fd_sc_hd__diode_2 + PLACED ( 31740 54400 ) FN ; - - ANTENNA__180__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 16560 16320 ) FN ; - - ANTENNA__195__D sky130_fd_sc_hd__diode_2 + PLACED ( 6440 40800 ) S ; - - ANTENNA__195__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 8740 54400 ) FN ; + - ANTENNA__162__B sky130_fd_sc_hd__diode_2 + PLACED ( 25760 16320 ) FN ; + - ANTENNA__165__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 12880 29920 ) S ; + - ANTENNA__167__B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 16320 ) FN ; + - ANTENNA__170__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 16560 16320 ) FN ; + - ANTENNA__172__B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 5440 ) FN ; + - ANTENNA__175__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 17020 13600 ) S ; + - ANTENNA__177__B sky130_fd_sc_hd__diode_2 + PLACED ( 6440 40800 ) S ; + - ANTENNA__180__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 11960 29920 ) FS ; + - ANTENNA__195__D sky130_fd_sc_hd__diode_2 + PLACED ( 8740 54400 ) FN ; + - ANTENNA__195__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 7820 54400 ) FN ; - ANTENNA__196__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 6440 32640 ) FN ; - ANTENNA__197__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 7360 29920 ) FS ; - ANTENNA__198__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 6440 29920 ) S ; - ANTENNA__199__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 6900 27200 ) N ; - - ANTENNA__200__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 26220 5440 ) N ; - - ANTENNA__201__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 27140 5440 ) N ; - - ANTENNA__202__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 29440 5440 ) N ; - - ANTENNA__203__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 8160 ) FS ; - - ANTENNA__204__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 37260 51680 ) FS ; - - ANTENNA__205__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 36340 54400 ) FN ; - - ANTENNA__206__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 35420 54400 ) FN ; - - ANTENNA__207__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 5440 ) N ; - - ANTENNA__208__A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 54400 ) FN ; - - ANTENNA__211__A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 13600 ) S ; + - ANTENNA__200__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 29440 5440 ) N ; + - ANTENNA__201__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 20240 8160 ) FS ; + - ANTENNA__202__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 39100 5440 ) N ; + - ANTENNA__203__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 5440 ) N ; + - ANTENNA__204__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 54400 ) FN ; + - ANTENNA__205__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 46920 54400 ) FN ; + - ANTENNA__206__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 36340 54400 ) FN ; + - ANTENNA__207__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 42320 5440 ) N ; + - ANTENNA__208__A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 54400 ) FN ; + - ANTENNA__211__A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 5440 ) FN ; - ANTENNA_clkbuf_0_serial_clock_A sky130_fd_sc_hd__diode_2 + PLACED ( 5980 27200 ) FN ; - - ANTENNA_clkbuf_0_serial_load_A sky130_fd_sc_hd__diode_2 + PLACED ( 33580 54400 ) FN ; - - FILLER_0_72 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37720 5440 ) N ; + - ANTENNA_clkbuf_0_serial_load_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 54400 ) FN ; + - FILLER_0_47 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26220 5440 ) N ; + - FILLER_0_84 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43240 5440 ) N ; + - FILLER_0_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 5440 ) N ; - FILLER_10_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17020 32640 ) N ; - FILLER_10_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 32640 ) N ; - FILLER_11_24 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 15640 35360 ) FS ; - FILLER_11_60 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 32200 35360 ) FS ; - FILLER_12_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 38080 ) N ; + - FILLER_12_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 38080 ) N ; - FILLER_12_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 38080 ) N ; - FILLER_13_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 40800 ) FS ; - FILLER_13_69 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 36340 40800 ) FS ; @@ -116,24 +119,24 @@ COMPONENTS 312 ; - FILLER_16_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 48960 ) N ; - FILLER_16_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 48960 ) N ; - FILLER_17_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 51680 ) FS ; + - FILLER_17_45 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 25300 51680 ) FS ; + - FILLER_17_48 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26680 51680 ) FS ; - FILLER_18_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17020 54400 ) N ; - - FILLER_18_3 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 5980 54400 ) N ; + - FILLER_18_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 5980 54400 ) N ; - FILLER_18_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 18860 54400 ) N ; - - FILLER_18_61 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 32660 54400 ) N ; - - FILLER_18_80 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 41400 54400 ) N ; + - FILLER_18_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 33580 54400 ) N ; + - FILLER_18_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 54400 ) N ; - FILLER_1_26 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 16560 8160 ) FS ; - - FILLER_1_34 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 20240 8160 ) FS ; - FILLER_1_78 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40480 8160 ) FS ; - - FILLER_1_82 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42320 8160 ) FS ; - - FILLER_1_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 8160 ) FS ; - FILLER_2_47 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26220 10880 ) N ; - FILLER_3_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 13600 ) FS ; - FILLER_3_57 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 13600 ) FS ; + - FILLER_3_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 13600 ) FS ; - FILLER_3_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 13600 ) FS ; - FILLER_5_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 19040 ) FS ; - - FILLER_6_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 21760 ) N ; - - FILLER_7_78 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40480 24480 ) FS ; - - FILLER_8_37 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 27200 ) N ; + - FILLER_5_32 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19320 19040 ) FS ; + - FILLER_6_29 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 21760 ) N ; + - FILLER_7_34 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 20240 24480 ) FS ; - FILLER_9_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 5980 29920 ) FS ; - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 15180 5440 ) N ; - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 47840 5440 ) FN ; @@ -203,23 +206,23 @@ COMPONENTS 312 ; - TAP_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 43240 54400 ) N ; - _096_ sky130_fd_sc_hd__or2b_2 + PLACED ( 43700 32640 ) N ; - _097_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 16320 ) FN ; - - _098_ sky130_fd_sc_hd__and2b_2 + PLACED ( 43700 54400 ) N ; - - _099_ sky130_fd_sc_hd__a31o_2 + PLACED ( 40020 38080 ) N ; - - _100_ sky130_fd_sc_hd__inv_2 + PLACED ( 41860 54400 ) FN ; - - _101_ sky130_fd_sc_hd__nand2_2 + PLACED ( 44160 24480 ) S ; + - _098_ sky130_fd_sc_hd__and2b_2 + PLACED ( 43700 51680 ) FS ; + - _099_ sky130_fd_sc_hd__a31o_2 + PLACED ( 29900 38080 ) N ; + - _100_ sky130_fd_sc_hd__inv_2 + PLACED ( 44620 54400 ) FN ; + - _101_ sky130_fd_sc_hd__nand2_2 + PLACED ( 44620 24480 ) S ; - _102_ sky130_fd_sc_hd__inv_2 + PLACED ( 45080 10880 ) FN ; - _103_ sky130_fd_sc_hd__o21a_2 + PLACED ( 43700 27200 ) N ; - _104_ sky130_fd_sc_hd__o31ai_2 + PLACED ( 42320 29920 ) S ; - _105_ sky130_fd_sc_hd__o22ai_2 + PLACED ( 38640 32640 ) FN ; - _106_ sky130_fd_sc_hd__inv_2 + PLACED ( 45080 19040 ) S ; - - _107_ sky130_fd_sc_hd__and2_2 + PLACED ( 38180 5440 ) FN ; + - _107_ sky130_fd_sc_hd__and2_2 + PLACED ( 43700 5440 ) FN ; - _108_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 40800 ) FS ; - - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 16560 24480 ) FS ; + - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 16560 21760 ) FN ; - _110_ sky130_fd_sc_hd__or2_2 + PLACED ( 12420 54400 ) N ; - _111_ sky130_fd_sc_hd__buf_1 + PLACED ( 15640 54400 ) N ; - - _112_ sky130_fd_sc_hd__buf_1 + PLACED ( 24380 43520 ) FN ; + - _112_ sky130_fd_sc_hd__buf_1 + PLACED ( 27600 43520 ) N ; - _113__4 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 9660 54400 ) FN ; - - _114_ sky130_fd_sc_hd__buf_1 + PLACED ( 30820 40800 ) FS ; + - _114_ sky130_fd_sc_hd__buf_1 + PLACED ( 24380 43520 ) FN ; - _115_ sky130_fd_sc_hd__buf_1 + PLACED ( 20700 43520 ) FN ; - _116_ sky130_fd_sc_hd__or2b_2 + PLACED ( 13800 48960 ) FN ; - _117_ sky130_fd_sc_hd__buf_1 + PLACED ( 11040 54400 ) N ; @@ -228,15 +231,15 @@ COMPONENTS 312 ; - _120__5 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 16100 43520 ) FN ; - _121_ sky130_fd_sc_hd__or2b_2 + PLACED ( 17940 48960 ) N ; - _122_ sky130_fd_sc_hd__buf_1 + PLACED ( 17940 43520 ) FN ; - - _123_ sky130_fd_sc_hd__buf_1 + PLACED ( 32200 40800 ) S ; + - _123_ sky130_fd_sc_hd__buf_1 + PLACED ( 28520 38080 ) FN ; - _124_ sky130_fd_sc_hd__or2_2 + PLACED ( 30820 46240 ) FS ; - _125_ sky130_fd_sc_hd__buf_1 + PLACED ( 35880 51680 ) FS ; - _126__6 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 33120 46240 ) S ; - _127_ sky130_fd_sc_hd__or2b_2 + PLACED ( 30820 51680 ) FS ; - - _128_ sky130_fd_sc_hd__buf_1 + PLACED ( 33580 40800 ) FS ; + - _128_ sky130_fd_sc_hd__buf_1 + PLACED ( 34960 40800 ) FS ; - _129_ sky130_fd_sc_hd__or2_2 + PLACED ( 24840 54400 ) N ; - - _130_ sky130_fd_sc_hd__buf_1 + PLACED ( 38180 51680 ) FS ; - - _131__7 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 37260 54400 ) N ; + - _130_ sky130_fd_sc_hd__buf_1 + PLACED ( 38640 51680 ) FS ; + - _131__7 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 37260 51680 ) FS ; - _132_ sky130_fd_sc_hd__or2b_2 + PLACED ( 27140 51680 ) FS ; - _133_ sky130_fd_sc_hd__buf_1 + PLACED ( 38640 54400 ) N ; - _134_ sky130_fd_sc_hd__or2_2 + PLACED ( 22540 54400 ) N ; @@ -244,47 +247,47 @@ COMPONENTS 312 ; - _136__8 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 19320 43520 ) N ; - _137_ sky130_fd_sc_hd__or2b_2 + PLACED ( 22080 51680 ) FS ; - _138_ sky130_fd_sc_hd__buf_1 + PLACED ( 21160 54400 ) N ; - - _139_ sky130_fd_sc_hd__or2_2 + PLACED ( 25760 40800 ) FS ; + - _139_ sky130_fd_sc_hd__or2_2 + PLACED ( 23460 40800 ) FS ; - _140_ sky130_fd_sc_hd__buf_1 + PLACED ( 30820 35360 ) S ; - - _141_ sky130_fd_sc_hd__buf_1 + PLACED ( 19320 24480 ) FS ; + - _141_ sky130_fd_sc_hd__buf_1 + PLACED ( 15180 29920 ) FS ; - _142__9 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 16100 35360 ) FS ; - - _143_ sky130_fd_sc_hd__buf_1 + PLACED ( 34960 40800 ) FS ; - - _144_ sky130_fd_sc_hd__or2b_2 + PLACED ( 21620 38080 ) FN ; - - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 17940 24480 ) FS ; - - _146_ sky130_fd_sc_hd__or2_2 + PLACED ( 28060 40800 ) FS ; - - _147_ sky130_fd_sc_hd__buf_1 + PLACED ( 45540 35360 ) S ; + - _143_ sky130_fd_sc_hd__buf_1 + PLACED ( 45540 35360 ) S ; + - _144_ sky130_fd_sc_hd__or2b_2 + PLACED ( 18860 27200 ) FN ; + - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 13800 29920 ) FS ; + - _146_ sky130_fd_sc_hd__or2_2 + PLACED ( 25760 40800 ) S ; + - _147_ sky130_fd_sc_hd__buf_1 + PLACED ( 22080 40800 ) FS ; - _148__10 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 6440 38080 ) FN ; - - _149_ sky130_fd_sc_hd__or2b_2 + PLACED ( 24840 38080 ) N ; + - _149_ sky130_fd_sc_hd__or2b_2 + PLACED ( 25300 38080 ) N ; - _150_ sky130_fd_sc_hd__buf_1 + PLACED ( 30820 21760 ) FN ; - _151_ sky130_fd_sc_hd__buf_1 + PLACED ( 32200 16320 ) FN ; - - _152_ sky130_fd_sc_hd__or2_2 + PLACED ( 36800 38080 ) N ; - - _153_ sky130_fd_sc_hd__buf_1 + PLACED ( 41400 24480 ) FS ; - - _154__11 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 40020 54400 ) N ; - - _155_ sky130_fd_sc_hd__or2b_2 + PLACED ( 29900 38080 ) N ; - - _156_ sky130_fd_sc_hd__buf_1 + PLACED ( 42780 24480 ) S ; + - _152_ sky130_fd_sc_hd__or2_2 + PLACED ( 40480 38080 ) FN ; + - _153_ sky130_fd_sc_hd__buf_1 + PLACED ( 40020 54400 ) N ; + - _154__11 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 37260 54400 ) N ; + - _155_ sky130_fd_sc_hd__or2b_2 + PLACED ( 31740 40800 ) FS ; + - _156_ sky130_fd_sc_hd__buf_1 + PLACED ( 41400 54400 ) FN ; - _157_ sky130_fd_sc_hd__or2_2 + PLACED ( 40020 29920 ) FS ; - - _158_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 24480 ) S ; + - _158_ sky130_fd_sc_hd__buf_1 + PLACED ( 45080 21760 ) FN ; - _159__12 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 35880 19040 ) FS ; - - _160_ sky130_fd_sc_hd__or2b_2 + PLACED ( 34960 24480 ) FS ; - - _161_ sky130_fd_sc_hd__buf_1 + PLACED ( 45080 21760 ) FN ; - - _162_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 24480 ) FS ; - - _163_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 21760 ) FN ; + - _160_ sky130_fd_sc_hd__or2b_2 + PLACED ( 41400 24480 ) FS ; + - _161_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 21760 ) FN ; + - _162_ sky130_fd_sc_hd__or2_2 + PLACED ( 34960 24480 ) FS ; + - _163_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 19040 ) S ; - _164__13 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 26680 16320 ) FN ; - - _165_ sky130_fd_sc_hd__or2b_2 + PLACED ( 40020 27200 ) FN ; - - _166_ sky130_fd_sc_hd__buf_1 + PLACED ( 39560 19040 ) S ; - - _167_ sky130_fd_sc_hd__or2_2 + PLACED ( 24840 21760 ) FN ; + - _165_ sky130_fd_sc_hd__or2b_2 + PLACED ( 40020 27200 ) N ; + - _166_ sky130_fd_sc_hd__buf_1 + PLACED ( 41400 13600 ) S ; + - _167_ sky130_fd_sc_hd__or2_2 + PLACED ( 24840 21760 ) N ; - _168_ sky130_fd_sc_hd__buf_1 + PLACED ( 22540 16320 ) N ; - _169__1 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 18400 16320 ) FN ; - _170_ sky130_fd_sc_hd__or2b_2 + PLACED ( 18400 21760 ) N ; - _171_ sky130_fd_sc_hd__buf_1 + PLACED ( 19780 19040 ) FS ; - _172_ sky130_fd_sc_hd__or2_2 + PLACED ( 28520 21760 ) N ; - _173_ sky130_fd_sc_hd__buf_1 + PLACED ( 21160 16320 ) N ; - - _174__2 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 17020 21760 ) FN ; + - _174__2 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 17020 19040 ) S ; - _175_ sky130_fd_sc_hd__or2b_2 + PLACED ( 21620 21760 ) N ; - - _176_ sky130_fd_sc_hd__buf_1 + PLACED ( 37260 19040 ) S ; - - _177_ sky130_fd_sc_hd__or2_2 + PLACED ( 25760 43520 ) N ; - - _178_ sky130_fd_sc_hd__buf_1 + PLACED ( 46460 19040 ) S ; - - _179__3 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 26680 10880 ) N ; + - _176_ sky130_fd_sc_hd__buf_1 + PLACED ( 26680 10880 ) FN ; + - _177_ sky130_fd_sc_hd__or2_2 + PLACED ( 28060 40800 ) FS ; + - _178_ sky130_fd_sc_hd__buf_1 + PLACED ( 37720 5440 ) N ; + - _179__3 sky130_fd_sc_hd__inv_2 + SOURCE TIMING + PLACED ( 26680 5440 ) N ; - _180_ sky130_fd_sc_hd__or2b_2 + PLACED ( 22540 24480 ) S ; - _181_ sky130_fd_sc_hd__buf_1 + PLACED ( 19780 16320 ) N ; - _182_ sky130_fd_sc_hd__dfbbn_2 + PLACED ( 9200 51680 ) FS ; @@ -306,7 +309,7 @@ COMPONENTS 312 ; - _198_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7360 32640 ) N ; - _199_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7820 27200 ) FN ; - _200_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 16560 5440 ) N ; - - _201_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 16560 10880 ) FN ; + - _201_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 16560 10880 ) N ; - _202_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 21160 8160 ) FS ; - _203_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 30820 8160 ) FS ; - _204_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 30820 43520 ) N ; @@ -314,11 +317,11 @@ COMPONENTS 312 ; - _206_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 28980 32640 ) FN ; - _207_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31280 13600 ) FS ; - _208_ sky130_fd_sc_hd__buf_2 + PLACED ( 41400 43520 ) N ; - - _209_ sky130_fd_sc_hd__buf_2 + PLACED ( 41400 51680 ) FS ; - - _210_ sky130_fd_sc_hd__buf_2 + PLACED ( 39560 51680 ) FS ; + - _209_ sky130_fd_sc_hd__buf_2 + PLACED ( 41860 51680 ) FS ; + - _210_ sky130_fd_sc_hd__buf_2 + PLACED ( 40020 51680 ) FS ; - _211_ sky130_fd_sc_hd__ebufn_2 + PLACED ( 43240 13600 ) FS ; - clkbuf_0__049_ sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 25760 24480 ) FS ; - - clkbuf_0__077_ sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 21160 48960 ) N ; + - clkbuf_0__077_ sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 21160 48960 ) FN ; - clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 30820 27200 ) FN ; - clkbuf_0_serial_load sky130_fd_sc_hd__clkbuf_16 + SOURCE TIMING + PLACED ( 30820 29920 ) S ; - clkbuf_1_0_0__049_ sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 34040 19040 ) FS ; @@ -327,36 +330,36 @@ COMPONENTS 312 ; - clkbuf_1_0_0_serial_load sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 20700 24480 ) S ; - clkbuf_1_1_0__049_ sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 22080 43520 ) FN ; - clkbuf_1_1_0__077_ sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 34040 51680 ) FS ; - - clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 28060 38080 ) FN ; + - clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 25760 43520 ) FN ; - clkbuf_1_1_0_serial_load sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 28980 43520 ) FN ; - const_source sky130_fd_sc_hd__conb_1 + PLACED ( 46460 10880 ) N ; - - data_delay_1 sky130_fd_sc_hd__dlygate4sd2_1 + PLACED ( 41400 5440 ) N ; - - data_delay_2 sky130_fd_sc_hd__dlygate4sd2_1 + PLACED ( 44620 5440 ) FN ; + - data_delay_1 sky130_fd_sc_hd__dlygate4sd2_1 + PLACED ( 41400 8160 ) FS ; + - data_delay_2 sky130_fd_sc_hd__dlygate4sd2_1 + PLACED ( 44620 8160 ) FS ; - gpio_in_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 22080 27200 ) N ; - gpio_logic_high gpio_logic_high + FIXED ( 5980 8400 ) N ; - - hold1 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 8280 29920 ) S ; - - hold10 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 25300 32640 ) FN ; - - hold11 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 11960 29920 ) FS ; - - hold12 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 17940 27200 ) N ; - - hold13 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43700 8160 ) S ; - - hold14 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 22080 40800 ) FS ; - - hold15 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 10120 43520 ) N ; - - hold16 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 10120 48960 ) N ; - - hold17 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43700 48960 ) FN ; - - hold18 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 21620 32640 ) N ; - - hold19 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43240 51680 ) S ; - - hold2 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 41400 19040 ) FS ; - - hold20 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 33120 38080 ) N ; - - hold21 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 30360 5440 ) FN ; - - hold22 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 28520 16320 ) N ; - - hold23 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 34040 5440 ) N ; - - hold3 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43700 43520 ) N ; - - hold4 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 17940 38080 ) N ; - - hold5 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 6440 43520 ) N ; - - hold6 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 6440 48960 ) N ; - - hold7 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 17940 32640 ) N ; - - hold8 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 41400 10880 ) FN ; - - hold9 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43700 38080 ) N ; + - hold1 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 8280 29920 ) FS ; + - hold10 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 10120 43520 ) N ; + - hold11 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 6440 48960 ) N ; + - hold12 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 10120 48960 ) N ; + - hold13 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 17940 32640 ) N ; + - hold14 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 21620 32640 ) FN ; + - hold15 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 41400 10880 ) FN ; + - hold16 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 37260 24480 ) S ; + - hold17 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 43700 38080 ) N ; + - hold18 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 25300 32640 ) N ; + - hold19 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 30360 5440 ) N ; + - hold2 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 16560 24480 ) FS ; + - hold20 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 28520 16320 ) FN ; + - hold21 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 34040 5440 ) FN ; + - hold22 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 43700 48960 ) FN ; + - hold23 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 33120 38080 ) N ; + - hold3 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 41400 19040 ) S ; + - hold4 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 37260 19040 ) S ; + - hold5 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 43700 43520 ) FN ; + - hold6 sky130_fd_sc_hd__clkdlybuf4s50_1 + SOURCE TIMING + PLACED ( 36800 38080 ) FN ; + - hold7 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 17940 38080 ) N ; + - hold8 sky130_fd_sc_hd__clkdlybuf4s25_1 + SOURCE TIMING + PLACED ( 21620 38080 ) N ; + - hold9 sky130_fd_sc_hd__dlygate4sd3_1 + SOURCE TIMING + PLACED ( 6440 43520 ) N ; END COMPONENTS PINS 47 ; - gpio_defaults[0] + NET gpio_defaults[0] + DIRECTION INPUT + USE SIGNAL @@ -725,14 +728,14 @@ NETS 192 ; NEW met1 ( 44390 35870 ) M1M2_PR NEW li1 ( 43010 35870 ) L1M1_PR_MR ; - _001_ ( gpio_in_buf A ) ( _106_ Y ) + USE SIGNAL - + ROUTED met1 ( 42550 20570 ) ( 45770 * ) - NEW met2 ( 42550 20570 ) ( * 29070 ) - NEW met1 ( 27830 29070 ) ( 42550 * ) - NEW met1 ( 27830 28390 ) ( * 29070 ) - NEW li1 ( 45770 20570 ) L1M1_PR_MR - NEW met1 ( 42550 20570 ) M1M2_PR - NEW met1 ( 42550 29070 ) M1M2_PR - NEW li1 ( 27830 28390 ) L1M1_PR_MR ; + + ROUTED met1 ( 37490 21250 ) ( 45770 * ) + NEW met2 ( 37490 21250 ) ( * 28050 ) + NEW met1 ( 28290 28050 ) ( 37490 * ) + NEW met1 ( 28290 28050 ) ( * 28390 ) + NEW li1 ( 45770 21250 ) L1M1_PR_MR + NEW met1 ( 37490 21250 ) M1M2_PR + NEW met1 ( 37490 28050 ) M1M2_PR + NEW li1 ( 28290 28390 ) L1M1_PR_MR ; - _002_ ( _182_ RESET_B ) ( _111_ X ) + USE SIGNAL + ROUTED met1 ( 17710 53210 ) ( 18630 * ) NEW met2 ( 17710 53210 ) ( * 54910 ) @@ -742,11 +745,11 @@ NETS 192 ; NEW met1 ( 17710 54910 ) M1M2_PR NEW li1 ( 16790 54910 ) L1M1_PR_MR ; - _003_ ( _182_ SET_B ) ( _117_ X ) + USE SIGNAL - + ROUTED met2 ( 13110 53550 ) ( * 54910 ) - NEW met1 ( 12190 54910 ) ( 13110 * ) - NEW met1 ( 13110 53550 ) M1M2_PR + + ROUTED met1 ( 12190 54910 ) ( 13110 * ) + NEW met2 ( 13110 53550 ) ( * 54910 ) + NEW li1 ( 12190 54910 ) L1M1_PR_MR NEW met1 ( 13110 54910 ) M1M2_PR - NEW li1 ( 12190 54910 ) L1M1_PR_MR ; + NEW met1 ( 13110 53550 ) M1M2_PR ; - _004_ ( _183_ RESET_B ) ( _119_ X ) + USE SIGNAL + ROUTED met1 ( 17250 42330 ) ( 18630 * ) NEW met2 ( 17250 42330 ) ( * 46750 ) @@ -763,40 +766,33 @@ NETS 192 ; NEW met1 ( 18170 42670 ) M1M2_PR NEW met1 ( 18170 44030 ) RECT ( -355 -70 0 70 ) ; - _006_ ( _184_ RESET_B ) ( _125_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 50150 ) ( * 50490 ) - NEW met1 ( 39790 50490 ) ( 42090 * ) - NEW met2 ( 42090 50490 ) ( * 52870 ) - NEW met1 ( 40250 52870 ) ( 42090 * ) - NEW met1 ( 40250 52530 ) ( * 52870 ) - NEW met1 ( 37030 52530 ) ( 40250 * ) + + ROUTED met1 ( 37030 50150 ) ( 39790 * ) + NEW met2 ( 37030 50150 ) ( * 52190 ) NEW li1 ( 39790 50150 ) L1M1_PR_MR - NEW met1 ( 42090 50490 ) M1M2_PR - NEW met1 ( 42090 52870 ) M1M2_PR - NEW li1 ( 37030 52530 ) L1M1_PR_MR ; + NEW met1 ( 37030 50150 ) M1M2_PR + NEW li1 ( 37030 52190 ) L1M1_PR_MR + NEW met1 ( 37030 52190 ) M1M2_PR + NEW met1 ( 37030 52190 ) RECT ( -355 -70 0 70 ) ; - _007_ ( _184_ SET_B ) ( _128_ X ) + USE SIGNAL - + ROUTED met1 ( 34270 43010 ) ( 34730 * ) - NEW met2 ( 34270 43010 ) ( * 44710 ) - NEW met2 ( 34270 44710 ) ( 34730 * ) - NEW met2 ( 34730 44710 ) ( * 49810 ) - NEW met1 ( 34730 49810 ) M1M2_PR - NEW li1 ( 34730 43010 ) L1M1_PR_MR - NEW met1 ( 34270 43010 ) M1M2_PR ; + + ROUTED met1 ( 36110 43010 ) ( 37490 * ) + NEW met2 ( 37490 43010 ) ( * 49810 ) + NEW li1 ( 36110 43010 ) L1M1_PR_MR + NEW met1 ( 37490 43010 ) M1M2_PR + NEW met1 ( 37490 49810 ) M1M2_PR ; - _008_ ( _185_ RESET_B ) ( _130_ X ) + USE SIGNAL + ROUTED met1 ( 42550 47770 ) ( 43930 * ) - NEW met1 ( 42550 47770 ) ( * 48450 ) - NEW met1 ( 40710 48450 ) ( 42550 * ) - NEW met2 ( 40710 48450 ) ( * 53890 ) - NEW met1 ( 39330 53890 ) ( 40710 * ) + NEW met2 ( 42550 47770 ) ( * 52190 ) + NEW met1 ( 39790 52190 ) ( 42550 * ) NEW li1 ( 43930 47770 ) L1M1_PR_MR - NEW met1 ( 40710 48450 ) M1M2_PR - NEW met1 ( 40710 53890 ) M1M2_PR - NEW li1 ( 39330 53890 ) L1M1_PR_MR ; + NEW met1 ( 42550 47770 ) M1M2_PR + NEW met1 ( 42550 52190 ) M1M2_PR + NEW li1 ( 39790 52190 ) L1M1_PR_MR ; - _009_ ( _185_ SET_B ) ( _133_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 56610 ) ( 41170 * ) - NEW met2 ( 41170 48110 ) ( * 56610 ) - NEW li1 ( 39790 56610 ) L1M1_PR_MR - NEW met1 ( 41170 56610 ) M1M2_PR - NEW met1 ( 41170 48110 ) M1M2_PR ; + + ROUTED met1 ( 39790 56270 ) ( 40250 * ) + NEW met2 ( 40250 48110 ) ( * 56270 ) + NEW li1 ( 39790 56270 ) L1M1_PR_MR + NEW met1 ( 40250 56270 ) M1M2_PR + NEW met1 ( 40250 48110 ) M1M2_PR ; - _010_ ( _186_ RESET_B ) ( _135_ X ) + USE SIGNAL + ROUTED met1 ( 26910 47770 ) ( 27370 * ) NEW met2 ( 27370 47770 ) ( * 54910 ) @@ -812,496 +808,497 @@ NETS 192 ; NEW met1 ( 22310 48110 ) M1M2_PR NEW met1 ( 22310 56270 ) RECT ( -355 -70 0 70 ) ; - _012_ ( _187_ RESET_B ) ( _140_ X ) + USE SIGNAL - + ROUTED met1 ( 25990 31450 ) ( 27830 * ) - NEW met2 ( 27830 31450 ) ( * 36210 ) - NEW met1 ( 27830 36210 ) ( 31050 * ) + + ROUTED met1 ( 25990 31450 ) ( 30590 * ) + NEW met2 ( 30590 31450 ) ( * 35870 ) + NEW met1 ( 30590 35870 ) ( 31050 * ) NEW li1 ( 25990 31450 ) L1M1_PR_MR - NEW met1 ( 27830 31450 ) M1M2_PR - NEW met1 ( 27830 36210 ) M1M2_PR - NEW li1 ( 31050 36210 ) L1M1_PR_MR ; + NEW met1 ( 30590 31450 ) M1M2_PR + NEW met1 ( 30590 35870 ) M1M2_PR + NEW li1 ( 31050 35870 ) L1M1_PR_MR ; - _013_ ( _187_ SET_B ) ( _145_ X ) + USE SIGNAL - + ROUTED met1 ( 19090 26350 ) ( * 26690 ) - NEW met1 ( 19090 26350 ) ( 20930 * ) - NEW met2 ( 20930 26350 ) ( * 31790 ) - NEW li1 ( 19090 26690 ) L1M1_PR_MR - NEW met1 ( 20930 26350 ) M1M2_PR - NEW met1 ( 20930 31790 ) M1M2_PR ; + + ROUTED met1 ( 14950 30770 ) ( 17250 * ) + NEW met1 ( 17250 30430 ) ( * 30770 ) + NEW met1 ( 17250 30430 ) ( 20470 * ) + NEW met2 ( 20470 30430 ) ( * 31790 ) + NEW li1 ( 14950 30770 ) L1M1_PR_MR + NEW met1 ( 20470 30430 ) M1M2_PR + NEW met1 ( 20470 31790 ) M1M2_PR ; - _014_ ( _188_ RESET_B ) ( _147_ X ) + USE SIGNAL - + ROUTED met2 ( 26910 36890 ) ( * 37060 ) - NEW met3 ( 26910 37060 ) ( 28060 * ) - NEW met3 ( 28060 37060 ) ( * 37740 ) - NEW met3 ( 28060 37740 ) ( 44390 * ) - NEW met2 ( 44390 37570 ) ( * 37740 ) - NEW met1 ( 44390 37570 ) ( 45770 * ) + + ROUTED met1 ( 25530 36890 ) ( 26910 * ) + NEW met1 ( 25530 36840 ) ( * 36890 ) + NEW met1 ( 24610 36840 ) ( 25530 * ) + NEW met1 ( 24610 36840 ) ( * 36890 ) + NEW met2 ( 24610 36890 ) ( * 41310 ) + NEW met1 ( 23230 41310 ) ( 24610 * ) NEW li1 ( 26910 36890 ) L1M1_PR_MR - NEW met1 ( 26910 36890 ) M1M2_PR - NEW met2 ( 26910 37060 ) M2M3_PR - NEW met2 ( 44390 37740 ) M2M3_PR - NEW met1 ( 44390 37570 ) M1M2_PR - NEW li1 ( 45770 37570 ) L1M1_PR_MR - NEW met1 ( 26910 36890 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 24610 36890 ) M1M2_PR + NEW met1 ( 24610 41310 ) M1M2_PR + NEW li1 ( 23230 41310 ) L1M1_PR_MR ; - _015_ ( _188_ SET_B ) ( _150_ X ) + USE SIGNAL - + ROUTED met1 ( 30130 23630 ) ( 31050 * ) - NEW met2 ( 30130 23630 ) ( * 37230 ) - NEW met1 ( 25070 37230 0 ) ( 30130 * ) - NEW li1 ( 31050 23630 ) L1M1_PR_MR - NEW met1 ( 30130 23630 ) M1M2_PR - NEW met1 ( 30130 37230 ) M1M2_PR ; + + ROUTED met1 ( 25070 23970 ) ( 31050 * ) + NEW met2 ( 25070 23970 ) ( * 37230 ) + NEW li1 ( 31050 23970 ) L1M1_PR_MR + NEW met1 ( 25070 23970 ) M1M2_PR + NEW met1 ( 25070 37230 ) M1M2_PR_MR ; - _016_ ( _189_ RESET_B ) ( _153_ X ) + USE SIGNAL - + ROUTED met1 ( 42090 26690 ) ( 42550 * ) - NEW met2 ( 42090 26690 ) ( * 36890 ) - NEW li1 ( 42550 26690 ) L1M1_PR_MR - NEW met1 ( 42090 26690 ) M1M2_PR + + ROUTED met1 ( 41170 36890 ) ( 42090 * ) + NEW met2 ( 41170 36890 ) ( * 45220 ) + NEW met2 ( 41170 45220 ) ( 41630 * ) + NEW met2 ( 41630 45220 ) ( * 54060 ) + NEW met2 ( 41170 54060 ) ( 41630 * ) + NEW met2 ( 41170 54060 ) ( * 54910 ) NEW li1 ( 42090 36890 ) L1M1_PR_MR - NEW met1 ( 42090 36890 ) M1M2_PR - NEW met1 ( 42090 36890 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 41170 36890 ) M1M2_PR + NEW li1 ( 41170 54910 ) L1M1_PR_MR + NEW met1 ( 41170 54910 ) M1M2_PR + NEW met1 ( 41170 54910 ) RECT ( -355 -70 0 70 ) ; - _017_ ( _189_ SET_B ) ( _156_ X ) + USE SIGNAL - + ROUTED met1 ( 40250 24990 ) ( 43010 * ) - NEW met2 ( 40250 24990 ) ( * 36380 ) - NEW met3 ( 38410 36380 ) ( 40250 * ) - NEW met2 ( 38410 36380 ) ( * 37230 ) - NEW li1 ( 43010 24990 ) L1M1_PR_MR - NEW met1 ( 40250 24990 ) M1M2_PR - NEW met2 ( 40250 36380 ) M2M3_PR - NEW met2 ( 38410 36380 ) M2M3_PR - NEW met1 ( 38410 37230 ) M1M2_PR ; + + ROUTED met1 ( 40250 37230 0 ) ( 41630 * ) + NEW met2 ( 41630 37230 ) ( * 44540 ) + NEW met2 ( 41630 44540 ) ( 42090 * ) + NEW met2 ( 42090 44540 ) ( * 56270 ) + NEW met1 ( 41630 56270 ) ( 42090 * ) + NEW met1 ( 41630 37230 ) M1M2_PR + NEW met1 ( 42090 56270 ) M1M2_PR + NEW li1 ( 41630 56270 ) L1M1_PR_MR ; - _018_ ( _190_ RESET_B ) ( _158_ X ) + USE SIGNAL - + ROUTED met1 ( 41630 22950 ) ( * 23290 ) - NEW met1 ( 41630 23290 ) ( 46690 * ) - NEW met2 ( 46690 23290 ) ( * 24990 ) + + ROUTED met1 ( 41630 22950 ) ( * 23630 ) + NEW met1 ( 41630 23630 ) ( 45310 * ) NEW li1 ( 41630 22950 ) L1M1_PR_MR - NEW met1 ( 46690 23290 ) M1M2_PR - NEW li1 ( 46690 24990 ) L1M1_PR_MR - NEW met1 ( 46690 24990 ) M1M2_PR - NEW met1 ( 46690 24990 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 45310 23630 ) L1M1_PR_MR ; - _019_ ( _190_ SET_B ) ( _161_ X ) + USE SIGNAL - + ROUTED met1 ( 39790 22610 0 ) ( 45310 * ) - NEW met1 ( 45310 22270 ) ( * 22610 ) - NEW li1 ( 45310 22270 ) L1M1_PR_MR ; + + ROUTED met1 ( 39790 22610 0 ) ( 46690 * ) + NEW met1 ( 46690 22270 ) ( * 22610 ) + NEW li1 ( 46690 22270 ) L1M1_PR_MR ; - _020_ ( _191_ RESET_B ) ( _163_ X ) + USE SIGNAL + ROUTED met1 ( 43010 17510 ) ( 46690 * ) - NEW met2 ( 46690 17510 ) ( * 22270 ) + NEW met2 ( 46690 17510 ) ( * 19550 ) NEW li1 ( 43010 17510 ) L1M1_PR_MR NEW met1 ( 46690 17510 ) M1M2_PR - NEW li1 ( 46690 22270 ) L1M1_PR_MR - NEW met1 ( 46690 22270 ) M1M2_PR - NEW met1 ( 46690 22270 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 46690 19550 ) L1M1_PR_MR + NEW met1 ( 46690 19550 ) M1M2_PR + NEW met1 ( 46690 19550 ) RECT ( -355 -70 0 70 ) ; - _021_ ( _191_ SET_B ) ( _166_ X ) + USE SIGNAL - + ROUTED met2 ( 40250 17170 ) ( * 19550 ) - NEW met1 ( 39790 19550 ) ( 40250 * ) - NEW met1 ( 40250 17170 ) M1M2_PR - NEW met1 ( 40250 19550 ) M1M2_PR - NEW li1 ( 39790 19550 ) L1M1_PR_MR ; + + ROUTED met2 ( 41630 15810 ) ( * 17170 ) + NEW met1 ( 41170 17170 0 ) ( 41630 * ) + NEW li1 ( 41630 15810 ) L1M1_PR_MR + NEW met1 ( 41630 15810 ) M1M2_PR + NEW met1 ( 41630 17170 ) M1M2_PR + NEW met1 ( 41630 15810 ) RECT ( -355 -70 0 70 ) ; - _022_ ( _192_ RESET_B ) ( _168_ X ) + USE SIGNAL - + ROUTED met1 ( 24610 15130 ) ( 27370 * ) - NEW met2 ( 24610 15130 ) ( * 16830 ) - NEW met1 ( 23690 16830 ) ( 24610 * ) + + ROUTED met1 ( 25990 15130 ) ( 27370 * ) + NEW met1 ( 25990 15130 ) ( * 15810 ) + NEW met1 ( 23690 15810 ) ( 25990 * ) + NEW met2 ( 23690 15810 ) ( * 16830 ) NEW li1 ( 27370 15130 ) L1M1_PR_MR - NEW met1 ( 24610 15130 ) M1M2_PR - NEW met1 ( 24610 16830 ) M1M2_PR - NEW li1 ( 23690 16830 ) L1M1_PR_MR ; + NEW met1 ( 23690 15810 ) M1M2_PR + NEW li1 ( 23690 16830 ) L1M1_PR_MR + NEW met1 ( 23690 16830 ) M1M2_PR + NEW met1 ( 23690 16830 ) RECT ( -355 -70 0 70 ) ; - _023_ ( _192_ SET_B ) ( _171_ X ) + USE SIGNAL + ROUTED met1 ( 20470 19550 ) ( 20930 * ) - NEW met2 ( 20470 15810 ) ( * 19550 ) - NEW met1 ( 20470 15810 ) ( 21390 * ) - NEW met1 ( 21390 15470 ) ( * 15810 ) - NEW met1 ( 21390 15470 ) ( 21850 * 0 ) + NEW met2 ( 20470 18530 ) ( * 19550 ) + NEW met1 ( 20470 18530 ) ( 21850 * ) + NEW met2 ( 21850 15470 ) ( * 18530 ) NEW li1 ( 20930 19550 ) L1M1_PR_MR NEW met1 ( 20470 19550 ) M1M2_PR - NEW met1 ( 20470 15810 ) M1M2_PR ; + NEW met1 ( 20470 18530 ) M1M2_PR + NEW met1 ( 21850 18530 ) M1M2_PR + NEW met1 ( 21850 15470 ) M1M2_PR ; - _024_ ( _193_ RESET_B ) ( _173_ X ) + USE SIGNAL - + ROUTED met1 ( 22310 17850 ) ( * 18190 ) - NEW met1 ( 22310 17850 ) ( 26450 * ) - NEW met1 ( 26450 17850 ) ( * 18190 ) - NEW met1 ( 26450 18190 ) ( 30590 * ) - NEW met2 ( 30590 18190 ) ( * 20570 ) - NEW li1 ( 22310 18190 ) L1M1_PR_MR - NEW met1 ( 30590 18190 ) M1M2_PR + + ROUTED met1 ( 22310 18530 ) ( 30590 * ) + NEW met2 ( 30590 18530 ) ( * 20570 ) + NEW li1 ( 22310 18530 ) L1M1_PR_MR + NEW met1 ( 30590 18530 ) M1M2_PR NEW li1 ( 30590 20570 ) L1M1_PR_MR NEW met1 ( 30590 20570 ) M1M2_PR NEW met1 ( 30590 20570 ) RECT ( -355 -70 0 70 ) ; - _025_ ( _193_ SET_B ) ( _176_ X ) + USE SIGNAL - + ROUTED met1 ( 28750 20910 0 ) ( 34270 * ) - NEW met1 ( 34270 20570 ) ( * 20910 ) - NEW met1 ( 34270 20570 ) ( 35190 * ) - NEW met1 ( 35190 20570 ) ( * 20910 ) - NEW met1 ( 35190 20910 ) ( 37490 * ) - NEW met1 ( 37490 20910 ) ( * 21250 ) - NEW li1 ( 37490 21250 ) L1M1_PR_MR ; + + ROUTED met1 ( 26910 13090 ) ( 27370 * ) + NEW met2 ( 26910 17340 ) ( 27370 * ) + NEW met2 ( 26910 17340 ) ( * 20910 ) + NEW met2 ( 27370 13090 ) ( * 17340 ) + NEW li1 ( 26910 13090 ) L1M1_PR_MR + NEW met1 ( 27370 13090 ) M1M2_PR + NEW met1 ( 26910 20910 ) M1M2_PR ; - _026_ ( _194_ RESET_B ) ( _178_ X ) + USE SIGNAL - + ROUTED met1 ( 37950 12070 ) ( 42090 * ) - NEW met1 ( 42090 19890 ) ( 46690 * ) - NEW met2 ( 42090 12070 ) ( * 19890 ) - NEW li1 ( 37950 12070 ) L1M1_PR_MR - NEW met1 ( 42090 12070 ) M1M2_PR - NEW met1 ( 42090 19890 ) M1M2_PR - NEW li1 ( 46690 19890 ) L1M1_PR_MR ; + + ROUTED met1 ( 37490 7650 ) ( 38870 * ) + NEW met2 ( 37490 7650 ) ( * 11730 ) + NEW met1 ( 37490 11730 ) ( 37950 * ) + NEW met1 ( 37950 11730 ) ( * 12070 ) + NEW li1 ( 38870 7650 ) L1M1_PR_MR + NEW met1 ( 37490 7650 ) M1M2_PR + NEW met1 ( 37490 11730 ) M1M2_PR + NEW li1 ( 37950 12070 ) L1M1_PR_MR ; - _027_ ( _194_ SET_B ) ( _181_ X ) + USE SIGNAL - + ROUTED met1 ( 20930 11390 ) ( 31970 * ) + + ROUTED met1 ( 21390 11390 ) ( 31970 * ) NEW met1 ( 31970 11390 ) ( * 11730 ) NEW met1 ( 31970 11730 ) ( 32430 * 0 ) - NEW met2 ( 20930 11390 ) ( * 16830 ) - NEW met1 ( 20930 11390 ) M1M2_PR - NEW li1 ( 20930 16830 ) L1M1_PR_MR - NEW met1 ( 20930 16830 ) M1M2_PR - NEW met1 ( 20930 16830 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 20930 16830 ) ( 21390 * ) + NEW met2 ( 21390 11390 ) ( * 16830 ) + NEW met1 ( 21390 11390 ) M1M2_PR + NEW met1 ( 21390 16830 ) M1M2_PR + NEW li1 ( 20930 16830 ) L1M1_PR_MR ; - _041_ ( _146_ A ) ( _139_ A ) ( _134_ A ) ( _129_ A ) ( _124_ A ) ( _123_ X ) + USE SIGNAL + ROUTED met1 ( 25990 54910 ) ( * 55250 ) NEW met1 ( 24610 54910 ) ( 25990 * ) NEW met1 ( 24610 54910 ) ( * 55250 ) NEW met1 ( 23690 55250 ) ( 24610 * ) - NEW met1 ( 28290 47770 ) ( 31970 * ) - NEW met1 ( 28290 47770 ) ( * 48110 ) - NEW met1 ( 26450 48110 ) ( 28290 * ) - NEW met1 ( 26450 47770 ) ( * 48110 ) - NEW met1 ( 24150 47770 ) ( 26450 * ) - NEW met2 ( 24150 47770 ) ( * 55250 ) - NEW met1 ( 24150 42670 ) ( 26910 * ) - NEW met2 ( 24150 42670 ) ( * 47770 ) - NEW met1 ( 26910 42670 ) ( 29210 * ) - NEW met1 ( 29210 43010 ) ( 32430 * ) - NEW met1 ( 29210 42670 ) ( * 43010 ) + NEW met1 ( 24610 42670 ) ( 26910 * ) + NEW met1 ( 26450 40290 ) ( 28750 * ) + NEW met2 ( 26450 40290 ) ( * 42670 ) + NEW met1 ( 27825 47770 ) ( 31970 * ) + NEW met1 ( 27825 47090 ) ( * 47770 ) + NEW met1 ( 24610 47090 ) ( 27825 * ) + NEW met2 ( 24610 42670 ) ( * 54910 ) NEW li1 ( 25990 55250 ) L1M1_PR_MR NEW li1 ( 23690 55250 ) L1M1_PR_MR - NEW li1 ( 31970 47770 ) L1M1_PR_MR - NEW met1 ( 24150 47770 ) M1M2_PR - NEW met1 ( 24150 55250 ) M1M2_PR + NEW met1 ( 24610 54910 ) M1M2_PR + NEW li1 ( 24610 42670 ) L1M1_PR_MR + NEW met1 ( 24610 42670 ) M1M2_PR NEW li1 ( 26910 42670 ) L1M1_PR_MR - NEW met1 ( 24150 42670 ) M1M2_PR - NEW li1 ( 29210 42670 ) L1M1_PR_MR - NEW li1 ( 32430 43010 ) L1M1_PR_MR - NEW met1 ( 24150 55250 ) RECT ( -595 -70 0 70 ) ; + NEW li1 ( 28750 40290 ) L1M1_PR_MR + NEW met1 ( 26450 40290 ) M1M2_PR + NEW met1 ( 26450 42670 ) M1M2_PR + NEW li1 ( 31970 47770 ) L1M1_PR_MR + NEW met1 ( 24610 47090 ) M1M2_PR + NEW met1 ( 24610 54910 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 24610 42670 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 26450 42670 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 24610 47090 ) RECT ( -70 -485 70 0 ) ; - _042_ ( _125_ A ) ( _124_ X ) + USE SIGNAL - + ROUTED met2 ( 32890 48110 ) ( * 49470 ) - NEW met1 ( 32890 49470 ) ( 36570 * ) - NEW met2 ( 36570 49470 ) ( * 53210 ) - NEW met1 ( 36110 53210 ) ( 36570 * ) + + ROUTED met1 ( 32890 48110 ) ( 34730 * ) + NEW met1 ( 34730 48110 ) ( * 48450 ) + NEW met1 ( 34730 48450 ) ( 35650 * ) + NEW met2 ( 35650 48450 ) ( * 53210 ) + NEW met1 ( 35650 53210 ) ( 36110 * ) NEW li1 ( 32890 48110 ) L1M1_PR_MR - NEW met1 ( 32890 48110 ) M1M2_PR - NEW met1 ( 32890 49470 ) M1M2_PR - NEW met1 ( 36570 49470 ) M1M2_PR - NEW met1 ( 36570 53210 ) M1M2_PR - NEW li1 ( 36110 53210 ) L1M1_PR_MR - NEW met1 ( 32890 48110 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 35650 48450 ) M1M2_PR + NEW met1 ( 35650 53210 ) M1M2_PR + NEW li1 ( 36110 53210 ) L1M1_PR_MR ; - _043_ ( _128_ A ) ( _127_ X ) + USE SIGNAL - + ROUTED met1 ( 33350 52190 ) ( 33810 * ) - NEW met3 ( 33810 45220 ) ( 36570 * ) - NEW met2 ( 36570 41990 ) ( * 45220 ) - NEW met1 ( 33810 41990 ) ( 36570 * ) - NEW met1 ( 33810 41990 ) ( * 42330 ) - NEW met2 ( 33810 45220 ) ( * 52190 ) - NEW met1 ( 33810 52190 ) M1M2_PR + + ROUTED met1 ( 33350 42330 ) ( 35190 * ) + NEW met2 ( 33350 42330 ) ( * 52190 ) + NEW li1 ( 35190 42330 ) L1M1_PR_MR + NEW met1 ( 33350 42330 ) M1M2_PR NEW li1 ( 33350 52190 ) L1M1_PR_MR - NEW met2 ( 33810 45220 ) M2M3_PR - NEW met2 ( 36570 45220 ) M2M3_PR - NEW met1 ( 36570 41990 ) M1M2_PR - NEW li1 ( 33810 42330 ) L1M1_PR_MR ; + NEW met1 ( 33350 52190 ) M1M2_PR + NEW met1 ( 33350 52190 ) RECT ( -355 -70 0 70 ) ; - _044_ ( _130_ A ) ( _129_ X ) + USE SIGNAL - + ROUTED met1 ( 37030 53210 ) ( 38410 * ) - NEW met1 ( 37030 52870 ) ( * 53210 ) - NEW met1 ( 32890 52870 ) ( 37030 * ) - NEW met2 ( 32890 52870 ) ( * 55250 ) - NEW met1 ( 26910 55250 ) ( 32890 * ) - NEW li1 ( 38410 53210 ) L1M1_PR_MR - NEW met1 ( 32890 52870 ) M1M2_PR - NEW met1 ( 32890 55250 ) M1M2_PR + + ROUTED met1 ( 38870 53210 ) ( * 53890 ) + NEW met1 ( 30590 53890 ) ( 38870 * ) + NEW met2 ( 30590 53890 ) ( * 54910 ) + NEW met1 ( 27830 54910 ) ( 30590 * ) + NEW met1 ( 27830 54910 ) ( * 55250 ) + NEW met1 ( 26910 55250 ) ( 27830 * ) + NEW li1 ( 38870 53210 ) L1M1_PR_MR + NEW met1 ( 30590 53890 ) M1M2_PR + NEW met1 ( 30590 54910 ) M1M2_PR NEW li1 ( 26910 55250 ) L1M1_PR_MR ; - _045_ ( _133_ A ) ( _132_ X ) + USE SIGNAL - + ROUTED met1 ( 29670 53890 ) ( 37490 * ) - NEW met2 ( 37490 53890 ) ( * 55590 ) - NEW met2 ( 37490 55590 ) ( 38410 * ) - NEW met1 ( 38410 55590 ) ( 38870 * ) - NEW li1 ( 29670 53890 ) L1M1_PR_MR - NEW met1 ( 37490 53890 ) M1M2_PR - NEW met1 ( 38410 55590 ) M1M2_PR + + ROUTED met1 ( 29670 52870 ) ( 34270 * ) + NEW met2 ( 34270 52870 ) ( * 53380 ) + NEW met2 ( 34270 53380 ) ( 34730 * ) + NEW met2 ( 34730 53380 ) ( * 56270 ) + NEW met1 ( 34730 56270 ) ( 38870 * ) + NEW met1 ( 38870 55590 ) ( * 56270 ) + NEW li1 ( 29670 52870 ) L1M1_PR_MR + NEW met1 ( 34270 52870 ) M1M2_PR + NEW met1 ( 34730 56270 ) M1M2_PR NEW li1 ( 38870 55590 ) L1M1_PR_MR ; - _046_ ( _135_ A ) ( _134_ X ) + USE SIGNAL + ROUTED met1 ( 24610 55590 ) ( 28290 * ) NEW li1 ( 28290 55590 ) L1M1_PR_MR NEW li1 ( 24610 55590 ) L1M1_PR_MR ; - _047_ ( _138_ A ) ( _137_ X ) + USE SIGNAL - + ROUTED met1 ( 21390 53890 ) ( 24610 * ) - NEW met2 ( 21390 53890 ) ( * 55590 ) - NEW li1 ( 24610 53890 ) L1M1_PR_MR - NEW met1 ( 21390 53890 ) M1M2_PR + + ROUTED met1 ( 21390 52870 ) ( 24610 * ) + NEW met2 ( 21390 52870 ) ( * 55590 ) + NEW li1 ( 24610 52870 ) L1M1_PR_MR + NEW met1 ( 21390 52870 ) M1M2_PR NEW li1 ( 21390 55590 ) L1M1_PR_MR NEW met1 ( 21390 55590 ) M1M2_PR NEW met1 ( 21390 55590 ) RECT ( -355 -70 0 70 ) ; - _048_ ( _140_ A ) ( _139_ X ) + USE SIGNAL - + ROUTED met1 ( 27830 36890 ) ( 31970 * ) - NEW met2 ( 27830 36890 ) ( * 41650 ) + + ROUTED met1 ( 27370 36890 ) ( 31970 * ) + NEW met2 ( 27370 36890 ) ( * 41650 ) + NEW met1 ( 25530 41650 ) ( 27370 * ) NEW li1 ( 31970 36890 ) L1M1_PR_MR - NEW met1 ( 27830 36890 ) M1M2_PR - NEW li1 ( 27830 41650 ) L1M1_PR_MR - NEW met1 ( 27830 41650 ) M1M2_PR - NEW met1 ( 27830 41650 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 27370 36890 ) M1M2_PR + NEW met1 ( 27370 41650 ) M1M2_PR + NEW li1 ( 25530 41650 ) L1M1_PR_MR ; - _049_ ( clkbuf_0__049_ A ) ( _141_ X ) + USE CLOCK - + ROUTED met1 ( 25990 26350 ) ( * 26690 ) - NEW met1 ( 20470 26690 ) ( 25990 * ) + + ROUTED met1 ( 16330 26350 ) ( 25990 * ) + NEW met2 ( 16330 26350 ) ( * 30430 ) NEW li1 ( 25990 26350 ) L1M1_PR_MR - NEW li1 ( 20470 26690 ) L1M1_PR_MR ; + NEW met1 ( 16330 26350 ) M1M2_PR + NEW li1 ( 16330 30430 ) L1M1_PR_MR + NEW met1 ( 16330 30430 ) M1M2_PR + NEW met1 ( 16330 30430 ) RECT ( -355 -70 0 70 ) ; - _050_ ( _165_ A ) ( _160_ A ) ( _155_ A ) ( _149_ A ) ( _144_ A ) ( _143_ X ) + USE SIGNAL - + ROUTED met1 ( 36570 24990 ) ( 37030 * ) - NEW met2 ( 37030 24990 ) ( * 29410 ) - NEW met1 ( 37030 29410 ) ( 41630 * ) - NEW met1 ( 33810 41310 ) ( 36110 * ) - NEW met2 ( 33810 33150 ) ( * 41310 ) - NEW met1 ( 33810 33150 ) ( 37030 * ) - NEW met2 ( 37030 29410 ) ( * 33150 ) - NEW met1 ( 31510 39610 ) ( * 40290 ) - NEW met1 ( 31510 39610 ) ( 33810 * ) - NEW met1 ( 26450 40290 ) ( 31510 * ) - NEW met1 ( 24150 40290 ) ( 26450 * ) - NEW li1 ( 36570 24990 ) L1M1_PR_MR - NEW met1 ( 37030 24990 ) M1M2_PR - NEW met1 ( 37030 29410 ) M1M2_PR - NEW li1 ( 41630 29410 ) L1M1_PR_MR - NEW li1 ( 36110 41310 ) L1M1_PR_MR - NEW met1 ( 33810 41310 ) M1M2_PR - NEW met1 ( 33810 33150 ) M1M2_PR - NEW met1 ( 37030 33150 ) M1M2_PR - NEW li1 ( 31510 40290 ) L1M1_PR_MR - NEW met1 ( 33810 39610 ) M1M2_PR - NEW li1 ( 26450 40290 ) L1M1_PR_MR - NEW li1 ( 24150 40290 ) L1M1_PR_MR - NEW met2 ( 33810 39610 ) RECT ( -70 -485 70 0 ) ; + + ROUTED met1 ( 21390 40290 ) ( 25990 * ) + NEW met2 ( 21390 29410 ) ( * 40290 ) + NEW met1 ( 25990 41310 ) ( 32430 * ) + NEW met2 ( 25990 40290 ) ( * 41310 ) + NEW met1 ( 36570 29410 ) ( 40710 * ) + NEW met2 ( 36570 29410 ) ( * 41310 ) + NEW met1 ( 32430 41310 ) ( 36570 * ) + NEW met1 ( 37950 37570 ) ( 45770 * ) + NEW met2 ( 37950 36550 ) ( * 37570 ) + NEW met1 ( 36570 36550 ) ( 37950 * ) + NEW met1 ( 40250 24990 ) ( 42090 * ) + NEW met2 ( 40250 24990 ) ( * 29410 ) + NEW li1 ( 25990 40290 ) L1M1_PR_MR + NEW met1 ( 21390 40290 ) M1M2_PR + NEW li1 ( 21390 29410 ) L1M1_PR_MR + NEW met1 ( 21390 29410 ) M1M2_PR + NEW li1 ( 32430 41310 ) L1M1_PR_MR + NEW met1 ( 25990 41310 ) M1M2_PR + NEW met1 ( 25990 40290 ) M1M2_PR + NEW li1 ( 40710 29410 ) L1M1_PR_MR + NEW met1 ( 36570 29410 ) M1M2_PR + NEW met1 ( 36570 41310 ) M1M2_PR + NEW li1 ( 45770 37570 ) L1M1_PR_MR + NEW met1 ( 37950 37570 ) M1M2_PR + NEW met1 ( 37950 36550 ) M1M2_PR + NEW met1 ( 36570 36550 ) M1M2_PR + NEW li1 ( 42090 24990 ) L1M1_PR_MR + NEW met1 ( 40250 24990 ) M1M2_PR + NEW met1 ( 40250 29410 ) M1M2_PR + NEW met1 ( 21390 29410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 25990 40290 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 36570 36550 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 40250 29410 ) RECT ( -595 -70 0 70 ) ; - _051_ ( _145_ A ) ( _144_ X ) + USE SIGNAL - + ROUTED met1 ( 18170 25670 ) ( * 26010 ) - NEW met1 ( 18170 25670 ) ( 21390 * ) - NEW met2 ( 21390 25670 ) ( * 26180 ) - NEW met2 ( 21390 26180 ) ( 21850 * ) - NEW met2 ( 21850 26180 ) ( * 38590 ) - NEW met1 ( 21850 38590 ) ( 22310 * ) - NEW li1 ( 18170 26010 ) L1M1_PR_MR - NEW met1 ( 21390 25670 ) M1M2_PR - NEW met1 ( 21850 38590 ) M1M2_PR - NEW li1 ( 22310 38590 ) L1M1_PR_MR ; + + ROUTED met1 ( 14950 29410 ) ( 19550 * ) + NEW met2 ( 14950 29410 ) ( * 31450 ) + NEW met1 ( 14030 31450 ) ( 14950 * ) + NEW li1 ( 19550 29410 ) L1M1_PR_MR + NEW met1 ( 14950 29410 ) M1M2_PR + NEW met1 ( 14950 31450 ) M1M2_PR + NEW li1 ( 14030 31450 ) L1M1_PR_MR ; - _052_ ( _147_ A ) ( _146_ X ) + USE SIGNAL - + ROUTED met2 ( 46690 36890 ) ( * 39780 ) - NEW met3 ( 29670 39780 ) ( 46690 * ) - NEW met2 ( 29670 39780 ) ( * 41310 ) - NEW li1 ( 46690 36890 ) L1M1_PR_MR - NEW met1 ( 46690 36890 ) M1M2_PR - NEW met2 ( 46690 39780 ) M2M3_PR - NEW met2 ( 29670 39780 ) M2M3_PR - NEW li1 ( 29670 41310 ) L1M1_PR_MR - NEW met1 ( 29670 41310 ) M1M2_PR - NEW met1 ( 46690 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29670 41310 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 22310 41990 ) ( 25990 * ) + NEW met1 ( 22310 41990 ) ( * 42330 ) + NEW li1 ( 25990 41990 ) L1M1_PR_MR + NEW li1 ( 22310 42330 ) L1M1_PR_MR ; - _053_ ( _150_ A ) ( _149_ X ) + USE SIGNAL - + ROUTED met2 ( 31970 22950 ) ( * 38590 ) - NEW met1 ( 27370 38590 ) ( 31970 * ) + + ROUTED met1 ( 31970 22950 ) ( * 23290 ) + NEW met1 ( 30590 23290 ) ( 31970 * ) + NEW met2 ( 30590 23290 ) ( * 26010 ) + NEW met1 ( 28290 26010 ) ( 30590 * ) + NEW met2 ( 28290 26010 ) ( * 38590 ) + NEW met1 ( 27830 38590 ) ( 28290 * ) NEW li1 ( 31970 22950 ) L1M1_PR_MR - NEW met1 ( 31970 22950 ) M1M2_PR - NEW met1 ( 31970 38590 ) M1M2_PR - NEW li1 ( 27370 38590 ) L1M1_PR_MR - NEW met1 ( 31970 22950 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 30590 23290 ) M1M2_PR + NEW met1 ( 30590 26010 ) M1M2_PR + NEW met1 ( 28290 26010 ) M1M2_PR + NEW met1 ( 28290 38590 ) M1M2_PR + NEW li1 ( 27830 38590 ) L1M1_PR_MR ; - _054_ ( _172_ A ) ( _167_ A ) ( _162_ A ) ( _157_ A ) ( _152_ A ) ( _151_ X ) + USE SIGNAL - + ROUTED met1 ( 41170 31790 ) ( * 32130 ) - NEW met1 ( 36110 32130 ) ( 41170 * ) - NEW met2 ( 36110 32130 ) ( * 35700 ) - NEW met3 ( 36110 35700 ) ( 36340 * ) - NEW met3 ( 36340 35700 ) ( * 37060 ) - NEW met3 ( 36340 37060 ) ( 37950 * ) - NEW met2 ( 37950 37060 ) ( * 38930 ) - NEW met1 ( 39330 26010 ) ( 39790 * ) - NEW met2 ( 39790 26010 ) ( * 30430 ) - NEW met2 ( 39330 30430 ) ( 39790 * ) - NEW met2 ( 39330 30430 ) ( * 32130 ) - NEW met2 ( 29670 22950 ) ( * 25330 ) - NEW met1 ( 29670 25330 ) ( 31050 * ) - NEW met1 ( 31050 25330 ) ( * 25670 ) - NEW met1 ( 31050 25670 ) ( 39330 * ) - NEW met1 ( 39330 25670 ) ( * 26010 ) - NEW met2 ( 25990 22950 ) ( * 25330 ) - NEW met1 ( 25990 25330 ) ( 29670 * ) - NEW met1 ( 29670 16830 ) ( 32430 * ) - NEW met2 ( 29670 16830 ) ( * 22950 ) + + ROUTED met1 ( 40710 31790 ) ( 41170 * ) + NEW met2 ( 40710 31790 ) ( * 38930 ) + NEW met1 ( 40710 38930 ) ( 41630 * ) + NEW met1 ( 36110 26350 ) ( 40710 * ) + NEW met1 ( 40710 26350 ) ( * 26690 ) + NEW met2 ( 40710 26690 ) ( * 31790 ) + NEW met1 ( 29670 22270 ) ( * 22610 ) + NEW met1 ( 29670 22270 ) ( 31510 * ) + NEW met2 ( 31510 22270 ) ( * 26350 ) + NEW met1 ( 31510 26350 ) ( 36110 * ) + NEW met1 ( 25990 22610 ) ( 27370 * ) + NEW met2 ( 27370 22610 ) ( * 22780 ) + NEW met2 ( 27370 22780 ) ( 27830 * ) + NEW met2 ( 27830 22780 ) ( * 26350 ) + NEW met1 ( 27830 26350 ) ( 31510 * ) + NEW met1 ( 31510 18530 ) ( 32430 * ) + NEW met2 ( 31510 18530 ) ( * 22270 ) NEW li1 ( 41170 31790 ) L1M1_PR_MR - NEW met1 ( 36110 32130 ) M1M2_PR - NEW met2 ( 36110 35700 ) M2M3_PR - NEW met2 ( 37950 37060 ) M2M3_PR - NEW li1 ( 37950 38930 ) L1M1_PR_MR - NEW met1 ( 37950 38930 ) M1M2_PR - NEW li1 ( 39330 26010 ) L1M1_PR_MR - NEW met1 ( 39790 26010 ) M1M2_PR - NEW met1 ( 39330 32130 ) M1M2_PR - NEW li1 ( 29670 22950 ) L1M1_PR_MR - NEW met1 ( 29670 22950 ) M1M2_PR - NEW met1 ( 29670 25330 ) M1M2_PR - NEW li1 ( 25990 22950 ) L1M1_PR_MR - NEW met1 ( 25990 22950 ) M1M2_PR - NEW met1 ( 25990 25330 ) M1M2_PR - NEW li1 ( 32430 16830 ) L1M1_PR_MR - NEW met1 ( 29670 16830 ) M1M2_PR - NEW met1 ( 37950 38930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 39330 32130 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 29670 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25990 22950 ) RECT ( 0 -70 355 70 ) ; + NEW met1 ( 40710 31790 ) M1M2_PR + NEW met1 ( 40710 38930 ) M1M2_PR + NEW li1 ( 41630 38930 ) L1M1_PR_MR + NEW li1 ( 36110 26350 ) L1M1_PR_MR + NEW met1 ( 40710 26690 ) M1M2_PR + NEW li1 ( 29670 22610 ) L1M1_PR_MR + NEW met1 ( 31510 22270 ) M1M2_PR + NEW met1 ( 31510 26350 ) M1M2_PR + NEW li1 ( 25990 22610 ) L1M1_PR_MR + NEW met1 ( 27370 22610 ) M1M2_PR + NEW met1 ( 27830 26350 ) M1M2_PR + NEW li1 ( 32430 18530 ) L1M1_PR_MR + NEW met1 ( 31510 18530 ) M1M2_PR ; - _055_ ( _153_ A ) ( _152_ X ) + USE SIGNAL - + ROUTED met1 ( 41630 26010 ) ( * 26350 ) - NEW met1 ( 37490 26350 ) ( 41630 * ) - NEW met2 ( 37490 26350 ) ( * 39270 ) - NEW met1 ( 37490 39270 ) ( 38870 * ) - NEW li1 ( 41630 26010 ) L1M1_PR_MR - NEW met1 ( 37490 26350 ) M1M2_PR - NEW met1 ( 37490 39270 ) M1M2_PR - NEW li1 ( 38870 39270 ) L1M1_PR_MR ; + + ROUTED met2 ( 40710 39950 ) ( * 55590 ) + NEW met1 ( 40250 55590 ) ( 40710 * ) + NEW li1 ( 40710 39950 ) L1M1_PR_MR + NEW met1 ( 40710 39950 ) M1M2_PR + NEW met1 ( 40710 55590 ) M1M2_PR + NEW li1 ( 40250 55590 ) L1M1_PR_MR + NEW met1 ( 40710 39950 ) RECT ( -355 -70 0 70 ) ; - _056_ ( _156_ A ) ( _155_ X ) + USE SIGNAL - + ROUTED met1 ( 43470 26010 ) ( 43930 * ) - NEW met2 ( 43470 26010 ) ( * 34340 ) - NEW met2 ( 43010 34340 ) ( 43470 * ) - NEW met2 ( 43010 34340 ) ( * 40290 ) - NEW met1 ( 32430 40290 ) ( 43010 * ) - NEW li1 ( 43930 26010 ) L1M1_PR_MR - NEW met1 ( 43470 26010 ) M1M2_PR - NEW met1 ( 43010 40290 ) M1M2_PR - NEW li1 ( 32430 40290 ) L1M1_PR_MR ; + + ROUTED met2 ( 36570 54910 ) ( 37030 * ) + NEW met1 ( 37030 54910 ) ( 38410 * ) + NEW met1 ( 38410 54910 ) ( * 55250 ) + NEW met1 ( 38410 55250 ) ( 42550 * ) + NEW met1 ( 42550 55250 ) ( * 55590 ) + NEW met1 ( 34270 43010 ) ( 34730 * ) + NEW met2 ( 34730 43010 ) ( * 43180 ) + NEW met3 ( 34730 43180 ) ( 36570 * ) + NEW met2 ( 36570 43180 ) ( * 54910 ) + NEW met1 ( 37030 54910 ) M1M2_PR + NEW li1 ( 42550 55590 ) L1M1_PR_MR + NEW li1 ( 34270 43010 ) L1M1_PR_MR + NEW met1 ( 34730 43010 ) M1M2_PR + NEW met2 ( 34730 43180 ) M2M3_PR + NEW met2 ( 36570 43180 ) M2M3_PR ; - _057_ ( _158_ A ) ( _157_ X ) + USE SIGNAL - + ROUTED met2 ( 47610 26010 ) ( * 30770 ) - NEW met1 ( 42090 30770 ) ( 47610 * ) - NEW li1 ( 47610 26010 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) M1M2_PR - NEW met1 ( 47610 30770 ) M1M2_PR - NEW li1 ( 42090 30770 ) L1M1_PR_MR - NEW met1 ( 47610 26010 ) RECT ( -355 -70 0 70 ) ; - - _058_ ( _161_ A ) ( _160_ X ) + USE SIGNAL - + ROUTED met2 ( 46230 22950 ) ( * 25330 ) - NEW met1 ( 37490 25330 ) ( 46230 * ) + + ROUTED met2 ( 46230 22950 ) ( * 30430 ) + NEW met1 ( 41630 30430 ) ( 46230 * ) NEW li1 ( 46230 22950 ) L1M1_PR_MR NEW met1 ( 46230 22950 ) M1M2_PR - NEW met1 ( 46230 25330 ) M1M2_PR - NEW li1 ( 37490 25330 ) L1M1_PR_MR + NEW met1 ( 46230 30430 ) M1M2_PR + NEW li1 ( 41630 30430 ) L1M1_PR_MR NEW met1 ( 46230 22950 ) RECT ( -355 -70 0 70 ) ; - - _059_ ( _163_ A ) ( _162_ X ) + USE SIGNAL - + ROUTED met1 ( 47150 22950 ) ( 47610 * ) - NEW met1 ( 47150 22950 ) ( * 23630 ) - NEW met1 ( 39790 23630 ) ( 47150 * ) - NEW met2 ( 39790 23630 ) ( * 24990 ) + - _058_ ( _161_ A ) ( _160_ X ) + USE SIGNAL + + ROUTED met1 ( 47610 22950 ) ( * 23290 ) + NEW met1 ( 43930 23290 ) ( 47610 * ) + NEW met2 ( 43930 23290 ) ( * 24990 ) NEW li1 ( 47610 22950 ) L1M1_PR_MR - NEW met1 ( 39790 23630 ) M1M2_PR - NEW li1 ( 39790 24990 ) L1M1_PR_MR - NEW met1 ( 39790 24990 ) M1M2_PR - NEW met1 ( 39790 24990 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 43930 23290 ) M1M2_PR + NEW li1 ( 43930 24990 ) L1M1_PR_MR + NEW met1 ( 43930 24990 ) M1M2_PR + NEW met1 ( 43930 24990 ) RECT ( -355 -70 0 70 ) ; + - _059_ ( _163_ A ) ( _162_ X ) + USE SIGNAL + + ROUTED met1 ( 47610 19890 ) ( * 20570 ) + NEW met1 ( 41170 19890 ) ( 47610 * ) + NEW met2 ( 41170 19890 ) ( * 25330 ) + NEW met1 ( 37030 25330 ) ( 41170 * ) + NEW li1 ( 47610 20570 ) L1M1_PR_MR + NEW met1 ( 41170 19890 ) M1M2_PR + NEW met1 ( 41170 25330 ) M1M2_PR + NEW li1 ( 37030 25330 ) L1M1_PR_MR ; - _060_ ( _166_ A ) ( _165_ X ) + USE SIGNAL - + ROUTED met2 ( 40710 20570 ) ( * 27710 ) - NEW li1 ( 40710 20570 ) L1M1_PR_MR - NEW met1 ( 40710 20570 ) M1M2_PR - NEW li1 ( 40710 27710 ) L1M1_PR_MR - NEW met1 ( 40710 27710 ) M1M2_PR - NEW met1 ( 40710 20570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 40710 27710 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 42550 15130 ) ( * 27710 ) + NEW li1 ( 42550 15130 ) L1M1_PR_MR + NEW met1 ( 42550 15130 ) M1M2_PR + NEW li1 ( 42550 27710 ) L1M1_PR_MR + NEW met1 ( 42550 27710 ) M1M2_PR + NEW met1 ( 42550 15130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 42550 27710 ) RECT ( -355 -70 0 70 ) ; - _061_ ( _168_ A ) ( _167_ X ) + USE SIGNAL - + ROUTED met2 ( 22770 17510 ) ( * 22610 ) - NEW met1 ( 22770 22610 ) ( 25070 * ) + + ROUTED met2 ( 22770 17510 ) ( * 22950 ) + NEW met1 ( 22770 22950 ) ( 26910 * ) NEW li1 ( 22770 17510 ) L1M1_PR_MR NEW met1 ( 22770 17510 ) M1M2_PR - NEW met1 ( 22770 22610 ) M1M2_PR - NEW li1 ( 25070 22610 ) L1M1_PR_MR + NEW met1 ( 22770 22950 ) M1M2_PR + NEW li1 ( 26910 22950 ) L1M1_PR_MR NEW met1 ( 22770 17510 ) RECT ( -355 -70 0 70 ) ; - _062_ ( _171_ A ) ( _170_ X ) + USE SIGNAL - + ROUTED met1 ( 20010 20570 ) ( * 20910 ) - NEW met1 ( 20010 20910 ) ( 21390 * ) - NEW met1 ( 21390 20910 ) ( * 21250 ) - NEW met2 ( 21390 21250 ) ( * 22270 ) + + ROUTED met1 ( 20010 20570 ) ( 21390 * ) + NEW met1 ( 21390 20570 ) ( * 20910 ) + NEW met2 ( 21390 20910 ) ( * 22270 ) NEW met1 ( 20930 22270 ) ( 21390 * ) NEW li1 ( 20010 20570 ) L1M1_PR_MR - NEW met1 ( 21390 21250 ) M1M2_PR + NEW met1 ( 21390 20910 ) M1M2_PR NEW met1 ( 21390 22270 ) M1M2_PR NEW li1 ( 20930 22270 ) L1M1_PR_MR ; - _063_ ( _173_ A ) ( _172_ X ) + USE SIGNAL - + ROUTED met2 ( 21390 16660 ) ( * 17510 ) - NEW met2 ( 21390 16660 ) ( 22310 * ) - NEW met2 ( 22310 15810 ) ( * 16660 ) - NEW met1 ( 22310 15810 ) ( 30130 * ) - NEW met2 ( 30130 15810 ) ( * 22610 ) + + ROUTED met2 ( 21390 17510 ) ( * 20060 ) + NEW met2 ( 21390 20060 ) ( 21850 * ) + NEW met2 ( 21850 20060 ) ( * 20230 ) + NEW met1 ( 21850 19550 ) ( * 20230 ) + NEW met1 ( 21850 19550 ) ( 30130 * ) + NEW met2 ( 30130 19550 ) ( * 22610 ) NEW met1 ( 30130 22610 ) ( 30590 * ) NEW li1 ( 21390 17510 ) L1M1_PR_MR NEW met1 ( 21390 17510 ) M1M2_PR - NEW met1 ( 22310 15810 ) M1M2_PR - NEW met1 ( 30130 15810 ) M1M2_PR + NEW met1 ( 21850 20230 ) M1M2_PR + NEW met1 ( 30130 19550 ) M1M2_PR NEW met1 ( 30130 22610 ) M1M2_PR NEW li1 ( 30590 22610 ) L1M1_PR_MR - NEW met1 ( 21390 17510 ) RECT ( 0 -70 355 70 ) ; + NEW met1 ( 21390 17510 ) RECT ( -355 -70 0 70 ) ; - _064_ ( _176_ A ) ( _175_ X ) + USE SIGNAL - + ROUTED met1 ( 37490 20570 ) ( 38410 * ) - NEW met2 ( 37490 20570 ) ( * 23970 ) - NEW met1 ( 24150 23970 ) ( 37490 * ) - NEW li1 ( 38410 20570 ) L1M1_PR_MR - NEW met1 ( 37490 20570 ) M1M2_PR - NEW met1 ( 37490 23970 ) M1M2_PR - NEW li1 ( 24150 23970 ) L1M1_PR_MR ; + + ROUTED met1 ( 27830 15810 ) ( 28750 * ) + NEW met2 ( 28750 15810 ) ( * 23290 ) + NEW met1 ( 24150 23290 ) ( 28750 * ) + NEW met2 ( 27830 12070 ) ( * 15810 ) + NEW li1 ( 27830 12070 ) L1M1_PR_MR + NEW met1 ( 27830 12070 ) M1M2_PR + NEW met1 ( 27830 15810 ) M1M2_PR + NEW met1 ( 28750 15810 ) M1M2_PR + NEW met1 ( 28750 23290 ) M1M2_PR + NEW li1 ( 24150 23290 ) L1M1_PR_MR + NEW met1 ( 27830 12070 ) RECT ( -355 -70 0 70 ) ; - _065_ ( _178_ A ) ( _177_ X ) + USE SIGNAL - + ROUTED met1 ( 46690 20570 ) ( 47610 * ) - NEW met1 ( 46690 20570 ) ( * 20910 ) - NEW met1 ( 39790 20910 ) ( 46690 * ) - NEW met1 ( 39790 20570 ) ( * 20910 ) - NEW met1 ( 38870 20570 ) ( 39790 * ) - NEW met2 ( 38870 20570 ) ( * 20740 ) - NEW met3 ( 23230 20740 ) ( 38870 * ) - NEW met2 ( 23230 20740 ) ( * 25500 ) - NEW met2 ( 23230 25500 ) ( 23690 * ) - NEW met2 ( 23690 25500 ) ( * 45390 ) - NEW met1 ( 23690 45390 ) ( 27370 * ) - NEW met1 ( 27370 45390 ) ( * 45730 ) - NEW li1 ( 47610 20570 ) L1M1_PR_MR - NEW met1 ( 38870 20570 ) M1M2_PR - NEW met2 ( 38870 20740 ) M2M3_PR - NEW met2 ( 23230 20740 ) M2M3_PR - NEW met1 ( 23690 45390 ) M1M2_PR - NEW li1 ( 27370 45730 ) L1M1_PR_MR ; + + ROUTED met1 ( 37090 6630 ) ( * 6970 ) + NEW met1 ( 37090 6970 ) ( 37950 * ) + NEW met1 ( 37950 6630 ) ( * 6970 ) + NEW met1 ( 33810 6630 ) ( 37090 * ) + NEW met1 ( 30130 41650 ) ( 33810 * ) + NEW met2 ( 33810 6630 ) ( * 41650 ) + NEW met1 ( 33810 6630 ) M1M2_PR + NEW li1 ( 37950 6630 ) L1M1_PR_MR + NEW met1 ( 33810 41650 ) M1M2_PR + NEW li1 ( 30130 41650 ) L1M1_PR_MR ; - _066_ ( _181_ A ) ( _180_ X ) + USE SIGNAL - + ROUTED met1 ( 20010 17510 ) ( * 17850 ) - NEW met1 ( 20010 17850 ) ( 21390 * ) - NEW met1 ( 21390 17850 ) ( * 18190 ) - NEW met2 ( 21390 18190 ) ( * 20060 ) - NEW met2 ( 21390 20060 ) ( 21850 * ) - NEW met2 ( 21850 20060 ) ( * 24990 ) - NEW met1 ( 21850 24990 ) ( 23230 * ) + + ROUTED met1 ( 20010 17170 ) ( * 17510 ) + NEW met1 ( 20010 17170 ) ( 23230 * ) + NEW met2 ( 23230 17170 ) ( * 24990 ) NEW li1 ( 20010 17510 ) L1M1_PR_MR - NEW met1 ( 21390 18190 ) M1M2_PR - NEW met1 ( 21850 24990 ) M1M2_PR - NEW li1 ( 23230 24990 ) L1M1_PR_MR ; + NEW met1 ( 23230 17170 ) M1M2_PR + NEW li1 ( 23230 24990 ) L1M1_PR_MR + NEW met1 ( 23230 24990 ) M1M2_PR + NEW met1 ( 23230 24990 ) RECT ( -355 -70 0 70 ) ; - _067_ ( _097_ A ) ( _096_ X ) + USE SIGNAL + ROUTED met1 ( 47150 17510 ) ( 47610 * ) - NEW met2 ( 47150 17510 ) ( * 25500 ) - NEW met2 ( 46690 25500 ) ( 47150 * ) - NEW met2 ( 46690 25500 ) ( * 33150 ) + NEW met2 ( 47150 17510 ) ( * 33150 ) + NEW met2 ( 46690 33150 ) ( 47150 * ) NEW met1 ( 46230 33150 ) ( 46690 * ) NEW li1 ( 47610 17510 ) L1M1_PR_MR NEW met1 ( 47150 17510 ) M1M2_PR NEW met1 ( 46690 33150 ) M1M2_PR NEW li1 ( 46230 33150 ) L1M1_PR_MR ; - _068_ ( _099_ B1 ) ( _098_ X ) + USE SIGNAL - + ROUTED met1 ( 43010 38930 ) ( 46230 * ) - NEW met2 ( 46230 38930 ) ( * 54910 ) - NEW li1 ( 43010 38930 ) L1M1_PR_MR - NEW met1 ( 46230 38930 ) M1M2_PR - NEW li1 ( 46230 54910 ) L1M1_PR_MR - NEW met1 ( 46230 54910 ) M1M2_PR - NEW met1 ( 46230 54910 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 37490 50660 ) ( 38410 * ) + NEW met2 ( 37490 50660 ) ( * 52190 ) + NEW met1 ( 37490 52190 ) ( 38410 * ) + NEW met1 ( 38410 52190 ) ( * 52530 ) + NEW met1 ( 38410 52530 ) ( 43010 * ) + NEW met1 ( 43010 52190 ) ( * 52530 ) + NEW met1 ( 43010 52190 ) ( 46230 * ) + NEW met3 ( 37030 47260 ) ( 38410 * ) + NEW met2 ( 37030 42500 ) ( * 47260 ) + NEW met2 ( 37030 42500 ) ( 37490 * ) + NEW met2 ( 37490 39270 ) ( * 42500 ) + NEW met1 ( 34270 39270 ) ( 37490 * ) + NEW met1 ( 34270 38930 ) ( * 39270 ) + NEW met1 ( 32890 38930 ) ( 34270 * ) + NEW met2 ( 38410 47260 ) ( * 50660 ) + NEW met1 ( 37490 52190 ) M1M2_PR + NEW li1 ( 46230 52190 ) L1M1_PR_MR + NEW met2 ( 38410 47260 ) M2M3_PR + NEW met2 ( 37030 47260 ) M2M3_PR + NEW met1 ( 37490 39270 ) M1M2_PR + NEW li1 ( 32890 38930 ) L1M1_PR_MR ; - _069_ ( _105_ A2 ) ( _100_ Y ) + USE SIGNAL - + ROUTED met1 ( 40250 33830 ) ( 40770 * ) - NEW met1 ( 40770 33830 ) ( * 34510 ) - NEW met1 ( 40770 34510 ) ( 41630 * ) - NEW met2 ( 41630 34510 ) ( * 55250 ) - NEW met1 ( 41630 55250 ) ( 42550 * ) + + ROUTED met1 ( 40250 33490 ) ( * 33830 ) + NEW met1 ( 40250 33490 ) ( 45310 * ) + NEW met2 ( 45310 33490 ) ( * 55250 ) NEW li1 ( 40250 33830 ) L1M1_PR_MR - NEW met1 ( 41630 34510 ) M1M2_PR - NEW met1 ( 41630 55250 ) M1M2_PR - NEW li1 ( 42550 55250 ) L1M1_PR_MR ; + NEW met1 ( 45310 33490 ) M1M2_PR + NEW li1 ( 45310 55250 ) L1M1_PR_MR + NEW met1 ( 45310 55250 ) M1M2_PR + NEW met1 ( 45310 55250 ) RECT ( -355 -70 0 70 ) ; - _070_ ( _104_ A3 ) ( _103_ A2 ) ( _101_ Y ) + USE SIGNAL + ROUTED met1 ( 43930 29070 ) ( 46230 * ) NEW met2 ( 43930 29070 ) ( * 31450 ) - NEW met1 ( 44390 26350 ) ( * 26690 ) - NEW met1 ( 43930 26690 ) ( 44390 * ) - NEW met2 ( 43930 26690 ) ( * 29070 ) + NEW met1 ( 43930 26350 ) ( 44850 * ) + NEW met2 ( 43930 26350 ) ( * 29070 ) NEW li1 ( 46230 29070 ) L1M1_PR_MR NEW met1 ( 43930 29070 ) M1M2_PR NEW li1 ( 43930 31450 ) L1M1_PR_MR NEW met1 ( 43930 31450 ) M1M2_PR - NEW li1 ( 44390 26350 ) L1M1_PR_MR - NEW met1 ( 43930 26690 ) M1M2_PR + NEW li1 ( 44850 26350 ) L1M1_PR_MR + NEW met1 ( 43930 26350 ) M1M2_PR NEW met1 ( 43930 31450 ) RECT ( -355 -70 0 70 ) ; - _071_ ( _103_ B1 ) ( _102_ Y ) + USE SIGNAL + ROUTED met1 ( 45310 13090 ) ( 45770 * ) @@ -1320,137 +1317,137 @@ NETS 192 ; NEW met1 ( 43010 33830 ) M1M2_PR NEW met1 ( 43010 33830 ) RECT ( -355 -70 0 70 ) ; - _073_ ( _105_ B2 ) ( _104_ Y ) + USE SIGNAL - + ROUTED met1 ( 41630 33490 ) ( * 33830 ) - NEW met1 ( 40710 33490 ) ( 41630 * ) - NEW met2 ( 40710 31110 ) ( * 33490 ) - NEW met1 ( 40710 31110 ) ( 43010 * ) + + ROUTED met2 ( 41630 31790 ) ( * 33830 ) + NEW met1 ( 41630 31790 ) ( 43010 * ) NEW li1 ( 41630 33830 ) L1M1_PR_MR - NEW met1 ( 40710 33490 ) M1M2_PR - NEW met1 ( 40710 31110 ) M1M2_PR - NEW li1 ( 43010 31110 ) L1M1_PR_MR ; + NEW met1 ( 41630 33830 ) M1M2_PR + NEW met1 ( 41630 31790 ) M1M2_PR + NEW li1 ( 43010 31790 ) L1M1_PR_MR + NEW met1 ( 41630 33830 ) RECT ( -355 -70 0 70 ) ; - _074_ ( _108_ A ) ( _107_ X ) + USE SIGNAL - + ROUTED met1 ( 38870 5950 ) ( 41170 * ) - NEW met2 ( 41170 26180 ) ( 41630 * ) - NEW met2 ( 41630 26180 ) ( * 31450 ) - NEW met2 ( 41170 31450 ) ( 41630 * ) - NEW met2 ( 41170 31450 ) ( * 44370 ) - NEW met1 ( 41170 44370 ) ( 44850 * ) - NEW met2 ( 44850 42330 ) ( * 44370 ) + + ROUTED met1 ( 43470 6630 ) ( 44390 * ) + NEW met2 ( 42550 28220 ) ( 43470 * ) + NEW met2 ( 42550 28220 ) ( * 43010 ) + NEW met1 ( 42550 43010 ) ( 44850 * ) + NEW met1 ( 44850 42330 ) ( * 43010 ) NEW met1 ( 44850 42330 ) ( 46690 * ) - NEW met2 ( 41170 5950 ) ( * 26180 ) - NEW li1 ( 38870 5950 ) L1M1_PR_MR - NEW met1 ( 41170 5950 ) M1M2_PR - NEW met1 ( 41170 44370 ) M1M2_PR - NEW met1 ( 44850 44370 ) M1M2_PR - NEW met1 ( 44850 42330 ) M1M2_PR + NEW met2 ( 43470 6630 ) ( * 28220 ) + NEW li1 ( 44390 6630 ) L1M1_PR_MR + NEW met1 ( 43470 6630 ) M1M2_PR + NEW met1 ( 42550 43010 ) M1M2_PR NEW li1 ( 46690 42330 ) L1M1_PR_MR ; - _075_ ( _180_ A ) ( _175_ A ) ( _170_ A ) ( _118_ A ) ( _110_ A ) ( _109_ X ) + USE SIGNAL - + ROUTED met1 ( 13570 55590 ) ( * 55930 ) - NEW met1 ( 14950 44370 ) ( 16330 * ) - NEW met2 ( 16330 44370 ) ( 16790 * ) - NEW met2 ( 16790 44370 ) ( * 55930 ) - NEW met1 ( 17250 26690 ) ( 17710 * ) - NEW met2 ( 17250 26690 ) ( * 36380 ) - NEW met2 ( 16790 36380 ) ( 17250 * ) - NEW met2 ( 16790 36380 ) ( * 44370 ) - NEW met1 ( 17250 23970 ) ( 19090 * ) - NEW met2 ( 17250 23970 ) ( * 26690 ) + + ROUTED met1 ( 14950 44370 ) ( 16330 * ) + NEW met2 ( 16330 44370 ) ( * 45220 ) + NEW met2 ( 15870 45220 ) ( 16330 * ) + NEW met2 ( 15870 45220 ) ( * 54910 ) + NEW met1 ( 15870 54910 ) ( * 55250 ) + NEW met2 ( 16790 23970 ) ( * 30940 ) + NEW met2 ( 16330 30940 ) ( 16790 * ) + NEW met2 ( 16330 30940 ) ( * 44370 ) + NEW met1 ( 16790 23970 ) ( 19090 * ) NEW met1 ( 19090 23970 ) ( 22310 * ) - NEW met1 ( 23690 24990 ) ( 24150 * ) - NEW met2 ( 23690 23970 ) ( * 24990 ) - NEW met1 ( 22310 23970 ) ( 23690 * ) - NEW met1 ( 13570 55930 ) ( 16790 * ) - NEW li1 ( 13570 55590 ) L1M1_PR_MR + NEW met2 ( 24150 23970 ) ( * 24990 ) + NEW met1 ( 22310 23970 ) ( 24150 * ) + NEW met1 ( 13570 55250 ) ( 15870 * ) + NEW li1 ( 13570 55250 ) L1M1_PR_MR NEW li1 ( 14950 44370 ) L1M1_PR_MR NEW met1 ( 16330 44370 ) M1M2_PR - NEW met1 ( 16790 55930 ) M1M2_PR - NEW li1 ( 17710 26690 ) L1M1_PR_MR - NEW met1 ( 17250 26690 ) M1M2_PR + NEW met1 ( 15870 54910 ) M1M2_PR + NEW li1 ( 16790 23970 ) L1M1_PR_MR + NEW met1 ( 16790 23970 ) M1M2_PR NEW li1 ( 19090 23970 ) L1M1_PR_MR - NEW met1 ( 17250 23970 ) M1M2_PR NEW li1 ( 22310 23970 ) L1M1_PR_MR NEW li1 ( 24150 24990 ) L1M1_PR_MR - NEW met1 ( 23690 24990 ) M1M2_PR - NEW met1 ( 23690 23970 ) M1M2_PR ; + NEW met1 ( 24150 24990 ) M1M2_PR + NEW met1 ( 24150 23970 ) M1M2_PR + NEW met1 ( 16790 23970 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 24150 24990 ) RECT ( -355 -70 0 70 ) ; - _076_ ( _111_ A ) ( _110_ X ) + USE SIGNAL + ROUTED met1 ( 14490 55590 ) ( 15870 * ) NEW li1 ( 15870 55590 ) L1M1_PR_MR NEW li1 ( 14490 55590 ) L1M1_PR_MR ; - _077_ ( clkbuf_0__077_ A ) ( _112_ X ) + USE CLOCK - + ROUTED met2 ( 24610 45730 ) ( * 49810 ) - NEW met1 ( 21390 49810 ) ( 24610 * ) - NEW li1 ( 24610 45730 ) L1M1_PR_MR - NEW met1 ( 24610 45730 ) M1M2_PR - NEW met1 ( 24610 49810 ) M1M2_PR - NEW li1 ( 21390 49810 ) L1M1_PR_MR - NEW met1 ( 24610 45730 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 28750 45730 ) ( 30130 * ) + NEW met2 ( 30130 45730 ) ( * 49810 ) + NEW li1 ( 28750 45730 ) L1M1_PR_MR + NEW met1 ( 30130 45730 ) M1M2_PR + NEW li1 ( 30130 49810 ) L1M1_PR_MR + NEW met1 ( 30130 49810 ) M1M2_PR + NEW met1 ( 30130 49810 ) RECT ( -355 -70 0 70 ) ; - _078_ ( _177_ A ) ( _151_ A ) ( _143_ A ) ( _123_ A ) ( _115_ A ) ( _114_ X ) + USE SIGNAL - + ROUTED met1 ( 31970 41310 ) ( 32890 * ) - NEW met2 ( 32890 33660 ) ( * 41310 ) - NEW met2 ( 32890 33660 ) ( 33350 * ) - NEW met2 ( 33350 17510 ) ( * 33660 ) - NEW met1 ( 35190 42330 ) ( 35650 * ) - NEW met2 ( 35650 39950 ) ( * 42330 ) - NEW met1 ( 32890 39950 ) ( 35650 * ) - NEW met2 ( 33350 42330 ) ( * 42500 ) - NEW met2 ( 33350 42500 ) ( 34270 * ) - NEW met2 ( 34270 42330 ) ( * 42500 ) - NEW met1 ( 34270 42330 ) ( 35190 * ) - NEW met1 ( 26910 44370 ) ( 27830 * ) - NEW met2 ( 27830 43180 ) ( * 44370 ) - NEW met3 ( 27830 43180 ) ( 33810 * ) - NEW met2 ( 33810 42500 ) ( * 43180 ) - NEW met1 ( 21850 44710 ) ( * 45050 ) - NEW met1 ( 21850 45050 ) ( 27830 * ) - NEW met2 ( 27830 44370 ) ( * 45050 ) - NEW li1 ( 31970 41310 ) L1M1_PR_MR - NEW met1 ( 32890 41310 ) M1M2_PR + + ROUTED met2 ( 29670 34850 ) ( * 39270 ) + NEW met1 ( 29670 34850 ) ( 31970 * ) + NEW met2 ( 31970 32130 ) ( * 34850 ) + NEW met1 ( 31970 32130 ) ( 33350 * ) + NEW met2 ( 33350 17510 ) ( * 32130 ) + NEW met1 ( 29210 42330 ) ( 30130 * ) + NEW met2 ( 30130 39780 ) ( * 42330 ) + NEW met2 ( 29670 39780 ) ( 30130 * ) + NEW met2 ( 29670 39270 ) ( * 39780 ) + NEW met1 ( 24610 44030 ) ( 25070 * ) + NEW met2 ( 25070 43010 ) ( * 44030 ) + NEW met1 ( 25070 43010 ) ( 29210 * ) + NEW met1 ( 29210 42330 ) ( * 43010 ) + NEW met1 ( 21850 44370 ) ( * 44710 ) + NEW met1 ( 21850 44370 ) ( 24610 * ) + NEW met1 ( 24610 44030 ) ( * 44370 ) + NEW met2 ( 46690 36890 ) ( * 38590 ) + NEW met1 ( 39330 38590 ) ( 46690 * ) + NEW met2 ( 39330 38590 ) ( * 39950 ) + NEW met1 ( 30130 39950 ) ( 39330 * ) + NEW li1 ( 29670 39270 ) L1M1_PR_MR + NEW met1 ( 29670 39270 ) M1M2_PR + NEW met1 ( 29670 34850 ) M1M2_PR + NEW met1 ( 31970 34850 ) M1M2_PR + NEW met1 ( 31970 32130 ) M1M2_PR + NEW met1 ( 33350 32130 ) M1M2_PR NEW li1 ( 33350 17510 ) L1M1_PR_MR NEW met1 ( 33350 17510 ) M1M2_PR - NEW li1 ( 35190 42330 ) L1M1_PR_MR - NEW met1 ( 35650 42330 ) M1M2_PR - NEW met1 ( 35650 39950 ) M1M2_PR - NEW met1 ( 32890 39950 ) M1M2_PR - NEW li1 ( 33350 42330 ) L1M1_PR_MR - NEW met1 ( 33350 42330 ) M1M2_PR - NEW met1 ( 34270 42330 ) M1M2_PR - NEW li1 ( 26910 44370 ) L1M1_PR_MR - NEW met1 ( 27830 44370 ) M1M2_PR - NEW met2 ( 27830 43180 ) M2M3_PR - NEW met2 ( 33810 43180 ) M2M3_PR + NEW li1 ( 29210 42330 ) L1M1_PR_MR + NEW met1 ( 30130 42330 ) M1M2_PR + NEW li1 ( 24610 44030 ) L1M1_PR_MR + NEW met1 ( 25070 44030 ) M1M2_PR + NEW met1 ( 25070 43010 ) M1M2_PR NEW li1 ( 21850 44710 ) L1M1_PR_MR - NEW met1 ( 27830 45050 ) M1M2_PR + NEW li1 ( 46690 36890 ) L1M1_PR_MR + NEW met1 ( 46690 36890 ) M1M2_PR + NEW met1 ( 46690 38590 ) M1M2_PR + NEW met1 ( 39330 38590 ) M1M2_PR + NEW met1 ( 39330 39950 ) M1M2_PR + NEW met1 ( 30130 39950 ) M1M2_PR + NEW met1 ( 29670 39270 ) RECT ( -355 -70 0 70 ) NEW met1 ( 33350 17510 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 32890 39950 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 33350 42330 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 46690 36890 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 30130 39950 ) RECT ( -70 0 70 485 ) ; - _079_ ( _137_ A ) ( _132_ A ) ( _127_ A ) ( _121_ A ) ( _116_ A ) ( _115_ X ) + USE SIGNAL + ROUTED met1 ( 28750 52190 ) ( 31510 * ) NEW met1 ( 23690 52190 ) ( 28750 * ) - NEW met1 ( 19550 51170 ) ( 23690 * ) - NEW met2 ( 23690 51170 ) ( * 52190 ) + NEW met1 ( 19550 51170 ) ( 23230 * ) + NEW met2 ( 23230 51170 ) ( * 52190 ) + NEW met1 ( 23230 52190 ) ( 23690 * ) NEW met1 ( 16330 51170 ) ( 19550 * ) NEW met2 ( 20930 45730 ) ( * 51170 ) NEW li1 ( 28750 52190 ) L1M1_PR_MR NEW li1 ( 31510 52190 ) L1M1_PR_MR NEW li1 ( 23690 52190 ) L1M1_PR_MR NEW li1 ( 19550 51170 ) L1M1_PR_MR - NEW met1 ( 23690 51170 ) M1M2_PR - NEW met1 ( 23690 52190 ) M1M2_PR + NEW met1 ( 23230 51170 ) M1M2_PR + NEW met1 ( 23230 52190 ) M1M2_PR NEW li1 ( 16330 51170 ) L1M1_PR_MR NEW li1 ( 20930 45730 ) L1M1_PR_MR NEW met1 ( 20930 45730 ) M1M2_PR NEW met1 ( 20930 51170 ) M1M2_PR - NEW met1 ( 23690 52190 ) RECT ( -595 -70 0 70 ) NEW met1 ( 20930 45730 ) RECT ( -355 -70 0 70 ) NEW met1 ( 20930 51170 ) RECT ( -595 -70 0 70 ) ; - _080_ ( _117_ A ) ( _116_ X ) + USE SIGNAL - + ROUTED met2 ( 11730 50490 ) ( * 55590 ) - NEW met1 ( 11270 55590 ) ( 11730 * ) - NEW met1 ( 11730 50490 ) ( 14490 * ) - NEW met1 ( 11730 50490 ) M1M2_PR - NEW met1 ( 11730 55590 ) M1M2_PR + + ROUTED met2 ( 11270 51170 ) ( * 55590 ) + NEW met1 ( 11270 51170 ) ( 14490 * ) + NEW met1 ( 11270 51170 ) M1M2_PR NEW li1 ( 11270 55590 ) L1M1_PR_MR - NEW li1 ( 14490 50490 ) L1M1_PR_MR ; + NEW met1 ( 11270 55590 ) M1M2_PR + NEW li1 ( 14490 51170 ) L1M1_PR_MR + NEW met1 ( 11270 55590 ) RECT ( 0 -70 355 70 ) ; - _081_ ( _119_ A ) ( _118_ X ) + USE SIGNAL + ROUTED met1 ( 15410 45730 ) ( 16330 * ) NEW met2 ( 16330 45730 ) ( * 47770 ) @@ -1489,59 +1486,65 @@ NETS 192 ; - _094_ ( _182_ Q_N ) + USE SIGNAL ; - _095_ ( _194_ Q_N ) + USE SIGNAL ; - clknet_0__049_ ( clkbuf_1_1_0__049_ A ) ( clkbuf_1_0_0__049_ A ) ( clkbuf_0__049_ X ) + USE CLOCK - + ROUTED met1 ( 27370 26690 ) ( 32430 * ) - NEW met2 ( 27370 26690 ) ( * 44030 ) - NEW met1 ( 23230 44030 ) ( 27370 * ) - NEW met1 ( 23230 44030 ) ( * 44370 ) - NEW met1 ( 34730 20910 ) ( * 21250 ) - NEW met1 ( 27370 21250 ) ( 34730 * ) - NEW met2 ( 27370 21250 ) ( * 26690 ) + + ROUTED met2 ( 32430 26690 ) ( * 40290 ) + NEW met1 ( 30590 40290 ) ( 32430 * ) + NEW met2 ( 30590 40290 ) ( * 45050 ) + NEW met1 ( 23230 45050 ) ( 30590 * ) + NEW met1 ( 23230 44710 ) ( * 45050 ) + NEW met1 ( 32890 20910 ) ( 34730 * ) + NEW met2 ( 32890 20910 ) ( * 23460 ) + NEW met2 ( 32430 23460 ) ( 32890 * ) + NEW met2 ( 32430 23460 ) ( * 26690 ) NEW li1 ( 32430 26690 ) L1M1_PR_MR - NEW met1 ( 27370 26690 ) M1M2_PR - NEW met1 ( 27370 44030 ) M1M2_PR - NEW li1 ( 23230 44370 ) L1M1_PR_MR + NEW met1 ( 32430 26690 ) M1M2_PR + NEW met1 ( 32430 40290 ) M1M2_PR + NEW met1 ( 30590 40290 ) M1M2_PR + NEW met1 ( 30590 45050 ) M1M2_PR + NEW li1 ( 23230 44710 ) L1M1_PR_MR NEW li1 ( 34730 20910 ) L1M1_PR_MR - NEW met1 ( 27370 21250 ) M1M2_PR ; + NEW met1 ( 32890 20910 ) M1M2_PR + NEW met1 ( 32430 26690 ) RECT ( -355 -70 0 70 ) ; - clknet_0__077_ ( clkbuf_1_1_0__077_ A ) ( clkbuf_1_0_0__077_ A ) ( clkbuf_0__077_ X ) + USE CLOCK - + ROUTED met2 ( 8050 50830 ) ( * 53210 ) - NEW met2 ( 34730 51170 ) ( * 53210 ) - NEW met1 ( 27830 51170 ) ( 34730 * ) - NEW met1 ( 27830 50830 ) ( * 51170 ) - NEW met1 ( 8050 50830 ) ( 27830 * ) - NEW met1 ( 8050 50830 ) M1M2_PR + + ROUTED met2 ( 8050 50490 ) ( * 53210 ) + NEW met1 ( 23690 51170 ) ( 31970 * ) + NEW met2 ( 31970 51170 ) ( * 53210 ) + NEW met1 ( 31970 53210 ) ( 34730 * ) + NEW met1 ( 23690 50490 ) ( * 51170 ) + NEW met1 ( 8050 50490 ) ( 23690 * ) + NEW met1 ( 8050 50490 ) M1M2_PR NEW li1 ( 8050 53210 ) L1M1_PR_MR NEW met1 ( 8050 53210 ) M1M2_PR - NEW li1 ( 27830 50830 ) L1M1_PR_MR + NEW li1 ( 23690 51170 ) L1M1_PR_MR + NEW met1 ( 31970 51170 ) M1M2_PR + NEW met1 ( 31970 53210 ) M1M2_PR NEW li1 ( 34730 53210 ) L1M1_PR_MR - NEW met1 ( 34730 53210 ) M1M2_PR - NEW met1 ( 34730 51170 ) M1M2_PR - NEW met1 ( 8050 53210 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 34730 53210 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 8050 53210 ) RECT ( -355 -70 0 70 ) ; - clknet_0_serial_clock ( clkbuf_1_1_0_serial_clock A ) ( clkbuf_1_0_0_serial_clock A ) ( clkbuf_0_serial_clock X ) + USE CLOCK - + ROUTED met2 ( 31050 17170 ) ( * 28050 ) - NEW met1 ( 25070 17170 ) ( 31050 * ) - NEW met1 ( 29210 38930 ) ( 31050 * ) - NEW met2 ( 31050 28050 ) ( * 38930 ) - NEW li1 ( 31050 28050 ) L1M1_PR_MR - NEW met1 ( 31050 28050 ) M1M2_PR - NEW met1 ( 31050 17170 ) M1M2_PR + + ROUTED met1 ( 29210 28730 ) ( 31050 * ) + NEW met2 ( 29210 28730 ) ( * 44370 ) + NEW met1 ( 26910 44370 ) ( 29210 * ) + NEW met1 ( 25070 17170 ) ( 29210 * ) + NEW met2 ( 29210 17170 ) ( * 28730 ) + NEW li1 ( 31050 28730 ) L1M1_PR_MR + NEW met1 ( 29210 28730 ) M1M2_PR + NEW met1 ( 29210 44370 ) M1M2_PR + NEW li1 ( 26910 44370 ) L1M1_PR_MR NEW li1 ( 25070 17170 ) L1M1_PR_MR - NEW li1 ( 29210 38930 ) L1M1_PR_MR - NEW met1 ( 31050 38930 ) M1M2_PR - NEW met1 ( 31050 28050 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 29210 17170 ) M1M2_PR ; - clknet_0_serial_load ( clkbuf_1_1_0_serial_load A ) ( clkbuf_1_0_0_serial_load A ) ( clkbuf_0_serial_load X ) + USE CLOCK - + ROUTED met1 ( 30590 31110 ) ( 31050 * ) - NEW met2 ( 30590 25670 ) ( * 31110 ) - NEW met1 ( 21850 25670 ) ( 30590 * ) + + ROUTED met2 ( 31050 25670 ) ( * 31110 ) + NEW met1 ( 21850 25670 ) ( 31050 * ) NEW met1 ( 21850 25670 ) ( * 26010 ) - NEW met1 ( 30130 44370 ) ( 30590 * ) - NEW met2 ( 30590 31110 ) ( * 44370 ) + NEW met1 ( 30130 44370 ) ( 31050 * ) + NEW met1 ( 31050 44030 ) ( * 44370 ) + NEW met2 ( 31050 31110 ) ( * 44030 ) NEW li1 ( 31050 31110 ) L1M1_PR_MR - NEW met1 ( 30590 31110 ) M1M2_PR - NEW met1 ( 30590 25670 ) M1M2_PR + NEW met1 ( 31050 31110 ) M1M2_PR + NEW met1 ( 31050 25670 ) M1M2_PR NEW li1 ( 21850 26010 ) L1M1_PR_MR NEW li1 ( 30130 44370 ) L1M1_PR_MR - NEW met1 ( 30590 44370 ) M1M2_PR ; + NEW met1 ( 31050 44030 ) M1M2_PR + NEW met1 ( 31050 31110 ) RECT ( -355 -70 0 70 ) ; - clknet_1_0_0__049_ ( _159__12 A ) ( _164__13 A ) ( clkbuf_1_0_0__049_ X ) + USE CLOCK + ROUTED met1 ( 27830 19890 ) ( 35650 * ) NEW met2 ( 27830 17510 ) ( * 19890 ) @@ -1555,196 +1558,186 @@ NETS 192 ; NEW li1 ( 36110 20570 ) L1M1_PR_MR NEW met1 ( 27830 17510 ) RECT ( -355 -70 0 70 ) ; - clknet_1_0_0__077_ ( _113__4 A ) ( _120__5 A ) ( _136__8 A ) ( clkbuf_1_0_0__077_ X ) + USE CLOCK - + ROUTED met1 ( 8510 52190 ) ( 11270 * ) - NEW met2 ( 11270 44710 ) ( * 52190 ) - NEW met2 ( 10810 52700 ) ( * 55590 ) - NEW met2 ( 10810 52700 ) ( 11270 * ) - NEW met2 ( 11270 52190 ) ( * 52700 ) - NEW met1 ( 19550 44370 ) ( * 44710 ) - NEW met1 ( 17250 44370 ) ( 19550 * ) - NEW met1 ( 17250 44370 ) ( * 44710 ) - NEW met1 ( 11270 44710 ) ( 17250 * ) - NEW li1 ( 8510 52190 ) L1M1_PR_MR - NEW met1 ( 11270 52190 ) M1M2_PR - NEW met1 ( 11270 44710 ) M1M2_PR + + ROUTED met2 ( 8970 45050 ) ( * 52530 ) + NEW met1 ( 8970 55590 ) ( 10810 * ) + NEW met2 ( 8970 52530 ) ( * 55590 ) + NEW met1 ( 8970 45050 ) ( 13800 * ) + NEW met1 ( 13800 44710 ) ( 17250 * ) + NEW met1 ( 13800 44710 ) ( * 45050 ) + NEW met1 ( 19550 44710 ) ( * 45050 ) + NEW met1 ( 17250 45050 ) ( 19550 * ) + NEW met1 ( 17250 44710 ) ( * 45050 ) + NEW li1 ( 8970 52530 ) L1M1_PR_MR + NEW met1 ( 8970 52530 ) M1M2_PR + NEW met1 ( 8970 45050 ) M1M2_PR NEW li1 ( 10810 55590 ) L1M1_PR_MR - NEW met1 ( 10810 55590 ) M1M2_PR + NEW met1 ( 8970 55590 ) M1M2_PR NEW li1 ( 17250 44710 ) L1M1_PR_MR NEW li1 ( 19550 44710 ) L1M1_PR_MR - NEW met1 ( 10810 55590 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 8970 52530 ) RECT ( -355 -70 0 70 ) ; - clknet_1_0_0_serial_clock ( _199_ CLK ) ( _200_ CLK ) ( _201_ CLK ) ( _202_ CLK ) ( _203_ CLK ) ( _207_ CLK ) ( clkbuf_1_0_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 25990 11730 ) ( * 12070 ) - NEW met1 ( 25070 11730 ) ( 25990 * ) - NEW met1 ( 21390 9690 ) ( * 10030 ) - NEW met1 ( 21390 10030 ) ( 24610 * ) - NEW met1 ( 24610 10030 ) ( * 10370 ) - NEW met2 ( 24610 10370 ) ( * 10540 ) - NEW met2 ( 24610 10540 ) ( 25070 * ) - NEW met2 ( 25070 10540 ) ( * 11730 ) - NEW met1 ( 16790 6290 ) ( * 6630 ) - NEW met1 ( 16790 6290 ) ( 17250 * ) - NEW met1 ( 17250 5950 ) ( * 6290 ) - NEW met1 ( 17250 5950 ) ( 21390 * ) - NEW met2 ( 21390 5950 ) ( * 9690 ) - NEW met1 ( 29670 9690 ) ( 31050 * ) - NEW met1 ( 29670 9690 ) ( * 10370 ) - NEW met1 ( 24610 10370 ) ( 29670 * ) - NEW met2 ( 24150 18190 ) ( * 28050 ) - NEW met1 ( 17250 28050 ) ( 24150 * ) - NEW met1 ( 17250 28050 ) ( * 28390 ) - NEW met1 ( 24150 18190 ) ( 25070 * ) - NEW met1 ( 31510 14450 ) ( * 14790 ) - NEW met1 ( 25070 14450 ) ( 31510 * ) - NEW met2 ( 25070 11730 ) ( * 18190 ) - NEW li1 ( 25990 12070 ) L1M1_PR_MR - NEW met1 ( 25070 11730 ) M1M2_PR + + ROUTED met2 ( 16790 6970 ) ( * 12410 ) + NEW met1 ( 16790 9690 ) ( 21390 * ) + NEW met1 ( 29210 9690 ) ( 31050 * ) + NEW met1 ( 29210 9690 ) ( * 10370 ) + NEW met1 ( 21390 10370 ) ( 29210 * ) + NEW met1 ( 21390 9690 ) ( * 10370 ) + NEW met2 ( 16790 12410 ) ( * 13800 ) + NEW met1 ( 17250 18190 ) ( 24150 * ) + NEW met2 ( 17250 18190 ) ( * 28390 ) + NEW met2 ( 16790 13800 ) ( 17250 * ) + NEW met2 ( 17250 13800 ) ( * 18190 ) + NEW met1 ( 31050 14790 ) ( 31510 * ) + NEW met2 ( 31050 9690 ) ( * 14790 ) + NEW li1 ( 16790 12410 ) L1M1_PR_MR + NEW met1 ( 16790 12410 ) M1M2_PR + NEW li1 ( 16790 6970 ) L1M1_PR_MR + NEW met1 ( 16790 6970 ) M1M2_PR NEW li1 ( 21390 9690 ) L1M1_PR_MR - NEW met1 ( 24610 10370 ) M1M2_PR - NEW li1 ( 16790 6630 ) L1M1_PR_MR - NEW met1 ( 21390 5950 ) M1M2_PR - NEW met1 ( 21390 9690 ) M1M2_PR + NEW met1 ( 16790 9690 ) M1M2_PR NEW li1 ( 31050 9690 ) L1M1_PR_MR + NEW met1 ( 31050 9690 ) M1M2_PR NEW li1 ( 24150 18190 ) L1M1_PR_MR - NEW met1 ( 24150 18190 ) M1M2_PR - NEW met1 ( 24150 28050 ) M1M2_PR + NEW met1 ( 17250 18190 ) M1M2_PR NEW li1 ( 17250 28390 ) L1M1_PR_MR - NEW met1 ( 25070 18190 ) M1M2_PR + NEW met1 ( 17250 28390 ) M1M2_PR + NEW met1 ( 31050 14790 ) M1M2_PR NEW li1 ( 31510 14790 ) L1M1_PR_MR - NEW met1 ( 25070 14450 ) M1M2_PR - NEW met1 ( 21390 9690 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 24150 18190 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 25070 14450 ) RECT ( -70 -485 70 0 ) ; + NEW met1 ( 16790 12410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 16790 6970 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 16790 9690 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 31050 9690 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 17250 28390 ) RECT ( 0 -70 355 70 ) ; - clknet_1_0_0_serial_load ( _169__1 A ) ( _174__2 A ) ( _179__3 A ) ( clkbuf_1_0_0_serial_load X ) + USE CLOCK - + ROUTED met1 ( 24150 12410 ) ( 26910 * ) - NEW met1 ( 26910 12070 ) ( * 12410 ) - NEW met1 ( 19550 17170 ) ( * 17510 ) - NEW met1 ( 19550 17170 ) ( 24150 * ) - NEW met1 ( 18170 22950 ) ( * 23290 ) - NEW met1 ( 18170 23290 ) ( 20930 * ) - NEW met2 ( 20930 17510 ) ( * 23290 ) - NEW met1 ( 20930 17170 ) ( * 17510 ) - NEW met2 ( 20930 23290 ) ( * 25330 ) - NEW met2 ( 24150 12410 ) ( * 17170 ) - NEW met1 ( 24150 12410 ) M1M2_PR - NEW li1 ( 26910 12070 ) L1M1_PR_MR + + ROUTED met1 ( 24610 6630 ) ( 26910 * ) + NEW met1 ( 19550 17510 ) ( * 17850 ) + NEW met1 ( 19550 17850 ) ( 24610 * ) + NEW met1 ( 18170 20570 ) ( 19550 * ) + NEW met1 ( 19550 20570 ) ( * 20910 ) + NEW met1 ( 19550 20910 ) ( 20930 * ) + NEW met2 ( 20930 17850 ) ( * 20910 ) + NEW met2 ( 20930 20910 ) ( * 25330 ) + NEW met2 ( 24610 6630 ) ( * 17850 ) + NEW met1 ( 24610 6630 ) M1M2_PR + NEW li1 ( 26910 6630 ) L1M1_PR_MR NEW li1 ( 19550 17510 ) L1M1_PR_MR - NEW met1 ( 24150 17170 ) M1M2_PR - NEW li1 ( 18170 22950 ) L1M1_PR_MR - NEW met1 ( 20930 23290 ) M1M2_PR - NEW met1 ( 20930 17510 ) M1M2_PR + NEW met1 ( 24610 17850 ) M1M2_PR + NEW li1 ( 18170 20570 ) L1M1_PR_MR + NEW met1 ( 20930 20910 ) M1M2_PR + NEW met1 ( 20930 17850 ) M1M2_PR NEW li1 ( 20930 25330 ) L1M1_PR_MR NEW met1 ( 20930 25330 ) M1M2_PR + NEW met1 ( 20930 17850 ) RECT ( -595 -70 0 70 ) NEW met1 ( 20930 25330 ) RECT ( -355 -70 0 70 ) ; - clknet_1_1_0__049_ ( _142__9 A ) ( _148__10 A ) ( _154__11 A ) ( clkbuf_1_1_0__049_ X ) + USE CLOCK + ROUTED met2 ( 7590 37570 ) ( * 39270 ) - NEW met2 ( 22770 45730 ) ( * 56270 ) - NEW met1 ( 22770 56270 ) ( 41170 * ) - NEW met1 ( 41170 55590 ) ( * 56270 ) - NEW met1 ( 40250 55590 ) ( 41170 * ) - NEW met1 ( 16330 36550 ) ( * 36890 ) - NEW met1 ( 16330 36550 ) ( 18170 * ) - NEW met1 ( 18170 36550 ) ( * 36890 ) - NEW met1 ( 18170 36890 ) ( 20010 * ) - NEW met1 ( 20010 36550 ) ( * 36890 ) - NEW met1 ( 20010 36550 ) ( 22770 * ) - NEW met2 ( 22770 36550 ) ( * 45730 ) - NEW met1 ( 16330 36890 ) ( * 37570 ) - NEW met1 ( 7590 37570 ) ( 16330 * ) - NEW met1 ( 7590 37570 ) M1M2_PR + NEW met2 ( 22770 45730 ) ( * 55930 ) + NEW met1 ( 22770 55930 ) ( 37490 * ) + NEW met1 ( 37490 55590 ) ( * 55930 ) + NEW met1 ( 15410 36890 ) ( 16330 * ) + NEW met1 ( 15410 36890 ) ( * 37570 ) + NEW met1 ( 15410 37570 ) ( 22770 * ) + NEW met2 ( 22770 37570 ) ( * 45730 ) + NEW met1 ( 7590 37570 ) ( 15410 * ) NEW li1 ( 7590 39270 ) L1M1_PR_MR NEW met1 ( 7590 39270 ) M1M2_PR + NEW met1 ( 7590 37570 ) M1M2_PR NEW li1 ( 22770 45730 ) L1M1_PR_MR NEW met1 ( 22770 45730 ) M1M2_PR - NEW met1 ( 22770 56270 ) M1M2_PR - NEW li1 ( 40250 55590 ) L1M1_PR_MR + NEW met1 ( 22770 55930 ) M1M2_PR + NEW li1 ( 37490 55590 ) L1M1_PR_MR NEW li1 ( 16330 36890 ) L1M1_PR_MR - NEW met1 ( 22770 36550 ) M1M2_PR + NEW met1 ( 22770 37570 ) M1M2_PR NEW met1 ( 7590 39270 ) RECT ( -355 -70 0 70 ) NEW met1 ( 22770 45730 ) RECT ( -355 -70 0 70 ) ; - clknet_1_1_0__077_ ( _126__6 A ) ( _131__7 A ) ( clkbuf_1_1_0__077_ X ) + USE CLOCK - + ROUTED met2 ( 35650 53550 ) ( * 55590 ) - NEW met1 ( 35650 55590 ) ( 37490 * ) - NEW met1 ( 34270 47770 ) ( * 48110 ) - NEW met1 ( 34270 48110 ) ( 34730 * ) - NEW met1 ( 34730 48110 ) ( * 48450 ) - NEW met1 ( 34730 48450 ) ( 35650 * ) - NEW met2 ( 35650 48450 ) ( * 53550 ) - NEW li1 ( 35650 53550 ) L1M1_PR_MR - NEW met1 ( 35650 53550 ) M1M2_PR - NEW met1 ( 35650 55590 ) M1M2_PR - NEW li1 ( 37490 55590 ) L1M1_PR_MR + + ROUTED met2 ( 35190 47430 ) ( * 52190 ) + NEW met1 ( 34270 47430 ) ( 35190 * ) + NEW met1 ( 34270 47430 ) ( * 47770 ) + NEW met1 ( 37490 52870 ) ( * 53210 ) + NEW met1 ( 35190 52870 ) ( 37490 * ) + NEW met2 ( 35190 52190 ) ( * 52870 ) + NEW li1 ( 35190 52190 ) L1M1_PR_MR + NEW met1 ( 35190 52190 ) M1M2_PR + NEW met1 ( 35190 47430 ) M1M2_PR NEW li1 ( 34270 47770 ) L1M1_PR_MR - NEW met1 ( 35650 48450 ) M1M2_PR - NEW met1 ( 35650 53550 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 37490 53210 ) L1M1_PR_MR + NEW met1 ( 35190 52870 ) M1M2_PR + NEW met1 ( 35190 52190 ) RECT ( -355 -70 0 70 ) ; - clknet_1_1_0_serial_clock ( _195_ CLK ) ( _196_ CLK ) ( _197_ CLK ) ( _198_ CLK ) ( _204_ CLK ) ( _205_ CLK ) ( _206_ CLK ) ( _209_ A ) ( clkbuf_1_1_0_serial_clock X ) + USE CLOCK - + ROUTED met1 ( 7130 34170 ) ( 7590 * ) - NEW met2 ( 7130 34170 ) ( * 39610 ) - NEW met1 ( 7130 39610 ) ( 8050 * ) - NEW met1 ( 8050 39270 ) ( * 39610 ) - NEW met2 ( 37030 42330 ) ( * 53550 ) - NEW met1 ( 37030 53550 ) ( 41630 * ) - NEW met1 ( 41630 53210 ) ( * 53550 ) - NEW met1 ( 31050 44030 ) ( * 44710 ) - NEW met1 ( 31050 44030 ) ( 37030 * ) - NEW met2 ( 28290 39950 ) ( * 44030 ) - NEW met1 ( 28290 44030 ) ( 31050 * ) + + ROUTED met1 ( 41170 53210 ) ( 42090 * ) + NEW met2 ( 31050 45050 ) ( * 45730 ) + NEW met1 ( 31050 45730 ) ( 41170 * ) + NEW met1 ( 25990 45390 ) ( 31050 * ) + NEW met1 ( 31050 45390 ) ( * 45730 ) + NEW met1 ( 35650 42330 ) ( 36975 * ) + NEW met2 ( 35650 42330 ) ( * 45730 ) NEW met1 ( 37030 34170 ) ( 38410 * ) - NEW met2 ( 37030 34170 ) ( * 42330 ) - NEW met2 ( 15410 36890 ) ( * 39950 ) - NEW met1 ( 15410 39950 ) ( 28290 * ) - NEW met1 ( 15410 35870 ) ( * 36890 ) - NEW met1 ( 15410 47430 ) ( 15870 * ) - NEW met2 ( 15870 42500 ) ( * 47430 ) - NEW met2 ( 15410 42500 ) ( 15870 * ) - NEW met2 ( 15410 39950 ) ( * 42500 ) - NEW met1 ( 7130 35870 ) ( 15410 * ) - NEW li1 ( 7590 34170 ) L1M1_PR_MR - NEW met1 ( 7130 34170 ) M1M2_PR - NEW met1 ( 7130 39610 ) M1M2_PR - NEW li1 ( 8050 39270 ) L1M1_PR_MR - NEW met1 ( 7130 35870 ) M1M2_PR - NEW li1 ( 37030 42330 ) L1M1_PR_MR - NEW met1 ( 37030 42330 ) M1M2_PR - NEW met1 ( 37030 53550 ) M1M2_PR - NEW li1 ( 41630 53210 ) L1M1_PR_MR - NEW li1 ( 31050 44710 ) L1M1_PR_MR - NEW met1 ( 37030 44030 ) M1M2_PR - NEW li1 ( 28290 39950 ) L1M1_PR_MR - NEW met1 ( 28290 39950 ) M1M2_PR - NEW met1 ( 28290 44030 ) M1M2_PR + NEW met2 ( 37030 34170 ) ( * 41650 ) + NEW met1 ( 37030 41650 ) ( 37090 * ) + NEW met1 ( 37090 41650 ) ( * 42330 ) + NEW met1 ( 36975 42330 ) ( 37090 * ) + NEW met1 ( 15410 36550 ) ( 22310 * ) + NEW met2 ( 22310 36550 ) ( * 45390 ) + NEW met1 ( 22310 45390 ) ( 25990 * ) + NEW met1 ( 15410 47090 ) ( * 47430 ) + NEW met1 ( 15410 47090 ) ( 17710 * ) + NEW met1 ( 17710 46750 ) ( * 47090 ) + NEW met1 ( 17710 46750 ) ( 22310 * ) + NEW met2 ( 22310 45390 ) ( * 46750 ) + NEW met2 ( 7590 34170 ) ( * 36550 ) + NEW met1 ( 7590 36550 ) ( 15410 * ) + NEW met2 ( 8050 36550 ) ( * 39610 ) + NEW met2 ( 7590 36550 ) ( 8050 * ) + NEW met2 ( 41170 45730 ) ( * 53210 ) + NEW li1 ( 42090 53210 ) L1M1_PR_MR + NEW met1 ( 41170 53210 ) M1M2_PR + NEW li1 ( 31050 45050 ) L1M1_PR_MR + NEW met1 ( 31050 45050 ) M1M2_PR + NEW met1 ( 31050 45730 ) M1M2_PR + NEW met1 ( 41170 45730 ) M1M2_PR + NEW li1 ( 25990 45390 ) L1M1_PR_MR + NEW li1 ( 36975 42330 ) L1M1_PR_MR + NEW met1 ( 35650 42330 ) M1M2_PR + NEW met1 ( 35650 45730 ) M1M2_PR NEW li1 ( 38410 34170 ) L1M1_PR_MR NEW met1 ( 37030 34170 ) M1M2_PR - NEW li1 ( 15410 36890 ) L1M1_PR_MR - NEW met1 ( 15410 36890 ) M1M2_PR - NEW met1 ( 15410 39950 ) M1M2_PR + NEW met1 ( 37030 41650 ) M1M2_PR + NEW li1 ( 15410 36550 ) L1M1_PR_MR + NEW met1 ( 22310 36550 ) M1M2_PR + NEW met1 ( 22310 45390 ) M1M2_PR NEW li1 ( 15410 47430 ) L1M1_PR_MR - NEW met1 ( 15870 47430 ) M1M2_PR - NEW met2 ( 7130 35870 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 37030 42330 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 37030 44030 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 28290 39950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 15410 36890 ) RECT ( 0 -70 355 70 ) ; + NEW met1 ( 22310 46750 ) M1M2_PR + NEW li1 ( 7590 34170 ) L1M1_PR_MR + NEW met1 ( 7590 34170 ) M1M2_PR + NEW met1 ( 7590 36550 ) M1M2_PR + NEW li1 ( 8050 39610 ) L1M1_PR_MR + NEW met1 ( 8050 39610 ) M1M2_PR + NEW met1 ( 31050 45050 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 35650 45730 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 7590 34170 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 8050 39610 ) RECT ( -355 -70 0 70 ) ; - clknet_1_1_0_serial_load ( _112_ A ) ( _141_ A ) ( _210_ A ) ( clkbuf_1_1_0_serial_load X ) + USE CLOCK - + ROUTED met1 ( 22770 44710 ) ( 25530 * ) - NEW met1 ( 22770 44370 ) ( * 44710 ) - NEW met1 ( 20010 44370 ) ( 22770 * ) - NEW met2 ( 20010 26010 ) ( * 44370 ) - NEW met1 ( 19550 26010 ) ( 20010 * ) - NEW met1 ( 25530 44710 ) ( 29210 * ) - NEW met1 ( 39790 52870 ) ( * 53210 ) - NEW met1 ( 37490 52870 ) ( 39790 * ) - NEW met2 ( 37490 45050 ) ( * 52870 ) - NEW met1 ( 29210 45050 ) ( 37490 * ) - NEW met1 ( 29210 44710 ) ( * 45050 ) - NEW li1 ( 25530 44710 ) L1M1_PR_MR - NEW met1 ( 20010 44370 ) M1M2_PR - NEW met1 ( 20010 26010 ) M1M2_PR - NEW li1 ( 19550 26010 ) L1M1_PR_MR - NEW li1 ( 29210 44710 ) L1M1_PR_MR - NEW li1 ( 39790 53210 ) L1M1_PR_MR - NEW met1 ( 37490 52870 ) M1M2_PR - NEW met1 ( 37490 45050 ) M1M2_PR ; + + ROUTED met1 ( 27830 44030 ) ( 29670 * ) + NEW met2 ( 27830 32130 ) ( * 44030 ) + NEW met1 ( 15410 32130 ) ( 27830 * ) + NEW met1 ( 15410 31450 ) ( * 32130 ) + NEW met2 ( 27830 44030 ) ( * 44710 ) + NEW met1 ( 40250 52870 ) ( * 53210 ) + NEW met1 ( 37950 52870 ) ( 40250 * ) + NEW met1 ( 37950 52870 ) ( * 53550 ) + NEW met1 ( 27830 53550 ) ( 37950 * ) + NEW met2 ( 27830 44710 ) ( * 53550 ) + NEW li1 ( 29670 44030 ) L1M1_PR_MR + NEW met1 ( 27830 44030 ) M1M2_PR + NEW met1 ( 27830 32130 ) M1M2_PR + NEW li1 ( 15410 31450 ) L1M1_PR_MR + NEW li1 ( 27830 44710 ) L1M1_PR_MR + NEW met1 ( 27830 44710 ) M1M2_PR + NEW li1 ( 40250 53210 ) L1M1_PR_MR + NEW met1 ( 27830 53550 ) M1M2_PR + NEW met1 ( 27830 44710 ) RECT ( -355 -70 0 70 ) ; - gpio_defaults[0] ( PIN gpio_defaults[0] ) ( ANTENNA__110__B DIODE ) ( ANTENNA__116__B_N DIODE ) ( _116_ B_N ) ( _110_ B ) + USE SIGNAL + ROUTED met2 ( 7130 53890 ) ( * 54740 ) NEW met2 ( 6670 54740 ) ( 7130 * ) @@ -1752,137 +1745,155 @@ NETS 192 ; NEW met2 ( 4830 61540 0 ) ( 6670 * ) NEW met1 ( 7130 55250 ) ( 12650 * ) NEW met2 ( 7130 54740 ) ( * 55250 ) - NEW met1 ( 10810 50150 ) ( * 50490 ) - NEW met1 ( 7130 50490 ) ( 10810 * ) - NEW met2 ( 7130 50490 ) ( * 53890 ) - NEW met1 ( 10810 50150 ) ( 16790 * ) - NEW met1 ( 12650 55250 ) ( 14950 * ) + NEW met1 ( 12650 55250 ) ( * 55930 ) + NEW met2 ( 11730 50150 ) ( * 55250 ) + NEW met1 ( 11730 50150 ) ( 16790 * ) + NEW met1 ( 12650 55930 ) ( 14950 * ) NEW li1 ( 7130 53890 ) L1M1_PR_MR NEW met1 ( 7130 53890 ) M1M2_PR NEW li1 ( 12650 55250 ) L1M1_PR_MR NEW met1 ( 7130 55250 ) M1M2_PR - NEW met1 ( 7130 50490 ) M1M2_PR + NEW met1 ( 11730 50150 ) M1M2_PR + NEW met1 ( 11730 55250 ) M1M2_PR NEW li1 ( 16790 50150 ) L1M1_PR_MR - NEW li1 ( 14950 55250 ) L1M1_PR_MR - NEW met1 ( 7130 53890 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 14950 55930 ) L1M1_PR_MR + NEW met1 ( 7130 53890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 11730 55250 ) RECT ( -595 -70 0 70 ) ; - gpio_defaults[10] ( PIN gpio_defaults[10] ) ( ANTENNA__152__B DIODE ) ( ANTENNA__155__B_N DIODE ) ( _155_ B_N ) ( _152_ B ) + USE SIGNAL - + ROUTED met1 ( 27830 56610 ) ( 29670 * ) - NEW met2 ( 27830 56610 ) ( * 61540 0 ) - NEW met2 ( 30130 39270 ) ( * 56610 ) - NEW met1 ( 29670 56610 ) ( 30130 * ) - NEW met1 ( 32430 38930 ) ( 37030 * ) - NEW met1 ( 32430 38930 ) ( * 39270 ) - NEW met1 ( 30130 39270 ) ( 32430 * ) - NEW met1 ( 39330 36210 ) ( 47150 * ) - NEW met2 ( 39330 36210 ) ( * 38590 ) - NEW met1 ( 37030 38590 ) ( 39330 * ) - NEW met1 ( 37030 38590 ) ( * 38930 ) - NEW li1 ( 29670 56610 ) L1M1_PR_MR - NEW met1 ( 27830 56610 ) M1M2_PR - NEW li1 ( 30130 39270 ) L1M1_PR_MR - NEW met1 ( 30130 39270 ) M1M2_PR - NEW met1 ( 30130 56610 ) M1M2_PR - NEW li1 ( 37030 38930 ) L1M1_PR_MR - NEW li1 ( 47150 36210 ) L1M1_PR_MR - NEW met1 ( 39330 36210 ) M1M2_PR - NEW met1 ( 39330 38590 ) M1M2_PR - NEW met1 ( 30130 39270 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 28750 55250 ) ( 32890 * ) + NEW met2 ( 27830 55250 ) ( 28750 * ) + NEW met2 ( 27830 55250 ) ( * 61540 0 ) + NEW met1 ( 31510 42330 ) ( 31970 * ) + NEW met2 ( 31510 42330 ) ( * 55250 ) + NEW met1 ( 42550 39270 ) ( * 39610 ) + NEW met1 ( 31510 39610 ) ( 42550 * ) + NEW met2 ( 31510 39610 ) ( * 42330 ) + NEW met2 ( 47150 37570 ) ( * 38930 ) + NEW met1 ( 42550 38930 ) ( 47150 * ) + NEW met1 ( 42550 38930 ) ( * 39270 ) + NEW li1 ( 32890 55250 ) L1M1_PR_MR + NEW met1 ( 28750 55250 ) M1M2_PR + NEW li1 ( 31970 42330 ) L1M1_PR_MR + NEW met1 ( 31510 42330 ) M1M2_PR + NEW met1 ( 31510 55250 ) M1M2_PR + NEW li1 ( 42550 39270 ) L1M1_PR_MR + NEW met1 ( 31510 39610 ) M1M2_PR + NEW li1 ( 47150 37570 ) L1M1_PR_MR + NEW met1 ( 47150 37570 ) M1M2_PR + NEW met1 ( 47150 38930 ) M1M2_PR + NEW met1 ( 31510 55250 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 47150 37570 ) RECT ( -355 -70 0 70 ) ; - gpio_defaults[11] ( PIN gpio_defaults[11] ) ( ANTENNA__157__B DIODE ) ( ANTENNA__160__B_N DIODE ) ( _160_ B_N ) ( _157_ B ) + USE SIGNAL - + ROUTED met1 ( 27830 23630 ) ( 28750 * ) - NEW met1 ( 28750 26010 ) ( 35190 * ) - NEW met1 ( 28750 31450 ) ( 40250 * ) - NEW met1 ( 42090 32130 ) ( 47150 * ) - NEW met1 ( 42090 31450 ) ( * 32130 ) - NEW met1 ( 40250 31450 ) ( 42090 * ) - NEW met2 ( 28750 61540 ) ( 30130 * 0 ) - NEW met2 ( 28750 23630 ) ( * 61540 ) - NEW li1 ( 27830 23630 ) L1M1_PR_MR - NEW met1 ( 28750 23630 ) M1M2_PR - NEW li1 ( 35190 26010 ) L1M1_PR_MR - NEW met1 ( 28750 26010 ) M1M2_PR + + ROUTED met1 ( 27830 22950 ) ( 30130 * ) + NEW met1 ( 30130 22950 ) ( * 23630 ) + NEW met1 ( 30130 23630 ) ( 32890 * ) + NEW met1 ( 32890 23630 ) ( * 23970 ) + NEW met1 ( 32890 23970 ) ( 35650 * ) + NEW met2 ( 35650 23970 ) ( * 39100 ) + NEW met2 ( 35190 39100 ) ( 35650 * ) + NEW met2 ( 35190 39100 ) ( * 44540 ) + NEW met2 ( 33810 44540 ) ( 35190 * ) + NEW met2 ( 33810 44540 ) ( * 47430 ) + NEW met1 ( 29670 47430 ) ( 33810 * ) + NEW met2 ( 29670 47430 ) ( * 50660 ) + NEW met2 ( 29670 50660 ) ( 30130 * ) + NEW met2 ( 30130 50660 ) ( * 61540 0 ) + NEW met1 ( 35650 31450 ) ( 40250 * ) + NEW met1 ( 41630 26010 ) ( 42090 * ) + NEW met2 ( 42090 23970 ) ( * 26010 ) + NEW met1 ( 35650 23970 ) ( 42090 * ) + NEW met1 ( 40250 31110 ) ( 47150 * ) + NEW met1 ( 40250 31110 ) ( * 31450 ) + NEW li1 ( 27830 22950 ) L1M1_PR_MR + NEW met1 ( 35650 23970 ) M1M2_PR + NEW met1 ( 33810 47430 ) M1M2_PR + NEW met1 ( 29670 47430 ) M1M2_PR NEW li1 ( 40250 31450 ) L1M1_PR_MR - NEW met1 ( 28750 31450 ) M1M2_PR - NEW li1 ( 47150 32130 ) L1M1_PR_MR - NEW met2 ( 28750 26010 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 28750 31450 ) RECT ( -70 -485 70 0 ) ; + NEW met1 ( 35650 31450 ) M1M2_PR + NEW li1 ( 41630 26010 ) L1M1_PR_MR + NEW met1 ( 42090 26010 ) M1M2_PR + NEW met1 ( 42090 23970 ) M1M2_PR + NEW li1 ( 47150 31110 ) L1M1_PR_MR + NEW met2 ( 35650 31450 ) RECT ( -70 -485 70 0 ) ; - gpio_defaults[12] ( PIN gpio_defaults[12] ) ( ANTENNA__162__B DIODE ) ( ANTENNA__165__B_N DIODE ) ( _165_ B_N ) ( _162_ B ) + USE SIGNAL - + ROUTED met1 ( 37030 26010 ) ( 38410 * ) - NEW met1 ( 37030 26010 ) ( * 26350 ) - NEW met1 ( 35190 26350 ) ( 37030 * ) - NEW met1 ( 35190 26350 ) ( * 26690 ) - NEW met2 ( 35190 26690 ) ( * 36380 ) - NEW met3 ( 32430 36380 ) ( 35190 * ) - NEW met2 ( 32430 36380 ) ( * 39100 ) - NEW met2 ( 31970 39100 ) ( 32430 * ) - NEW met2 ( 31970 39100 ) ( * 45220 ) - NEW met2 ( 31970 45220 ) ( 32430 * ) - NEW met2 ( 32430 45220 ) ( * 61540 0 ) - NEW met1 ( 40710 28390 ) ( 43010 * ) - NEW met2 ( 40710 28390 ) ( * 30430 ) - NEW met1 ( 35190 30430 ) ( 40710 * ) - NEW met1 ( 35190 14790 ) ( 41630 * ) - NEW met2 ( 35190 14790 ) ( * 26690 ) - NEW met1 ( 41630 14790 ) ( 42550 * ) - NEW li1 ( 38410 26010 ) L1M1_PR_MR - NEW met1 ( 35190 26690 ) M1M2_PR - NEW met2 ( 35190 36380 ) M2M3_PR - NEW met2 ( 32430 36380 ) M2M3_PR - NEW li1 ( 43010 28390 ) L1M1_PR_MR - NEW met1 ( 40710 28390 ) M1M2_PR - NEW met1 ( 40710 30430 ) M1M2_PR - NEW met1 ( 35190 30430 ) M1M2_PR - NEW li1 ( 41630 14790 ) L1M1_PR_MR - NEW met1 ( 35190 14790 ) M1M2_PR - NEW li1 ( 42550 14790 ) L1M1_PR_MR - NEW met2 ( 35190 30430 ) RECT ( -70 -485 70 0 ) ; + + ROUTED met2 ( 32430 48300 ) ( * 61540 0 ) + NEW met1 ( 34270 26010 ) ( 35190 * ) + NEW met2 ( 34270 26010 ) ( * 44030 ) + NEW met1 ( 31970 44030 ) ( 34270 * ) + NEW met2 ( 31970 44030 ) ( * 48300 ) + NEW met2 ( 31970 48300 ) ( 32430 * ) + NEW met1 ( 39790 28390 ) ( 40250 * ) + NEW met1 ( 39790 28390 ) ( * 28730 ) + NEW met1 ( 34270 28730 ) ( 39790 * ) + NEW met1 ( 26450 16830 ) ( 34730 * ) + NEW met2 ( 34730 16830 ) ( * 26010 ) + NEW met2 ( 34270 26010 ) ( 34730 * ) + NEW met2 ( 13570 30940 ) ( * 31110 ) + NEW met3 ( 13570 30940 ) ( 34270 * ) + NEW li1 ( 35190 26010 ) L1M1_PR_MR + NEW met1 ( 34270 26010 ) M1M2_PR + NEW met1 ( 34270 44030 ) M1M2_PR + NEW met1 ( 31970 44030 ) M1M2_PR + NEW li1 ( 40250 28390 ) L1M1_PR_MR + NEW met1 ( 34270 28730 ) M1M2_PR + NEW li1 ( 26450 16830 ) L1M1_PR_MR + NEW met1 ( 34730 16830 ) M1M2_PR + NEW li1 ( 13570 31110 ) L1M1_PR_MR + NEW met1 ( 13570 31110 ) M1M2_PR + NEW met2 ( 13570 30940 ) M2M3_PR + NEW met2 ( 34270 30940 ) M2M3_PR + NEW met2 ( 34270 28730 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 13570 31110 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 34270 30940 ) RECT ( -70 -485 70 0 ) ; - gpio_defaults[1] ( PIN gpio_defaults[1] ) ( ANTENNA__146__B DIODE ) ( ANTENNA__149__B_N DIODE ) ( _149_ B_N ) ( _146_ B ) + USE SIGNAL - + ROUTED met2 ( 12190 32130 ) ( * 44370 ) - NEW met1 ( 7590 44370 ) ( 12190 * ) - NEW met2 ( 7590 44370 ) ( * 61540 ) - NEW met2 ( 7130 61540 0 ) ( 7590 * ) - NEW met1 ( 28290 42330 ) ( 29670 * ) - NEW met2 ( 29670 42330 ) ( * 43860 ) - NEW met3 ( 29670 43860 ) ( 37490 * ) - NEW met2 ( 37490 43860 ) ( * 44030 ) - NEW met1 ( 37490 44030 ) ( 38870 * ) - NEW met1 ( 38870 44030 ) ( * 44370 ) - NEW met1 ( 38870 44370 ) ( 40710 * ) - NEW met1 ( 25070 39270 ) ( 26450 * ) - NEW met2 ( 26450 39270 ) ( * 42330 ) - NEW met1 ( 26450 42330 ) ( 28290 * ) - NEW met1 ( 16330 32130 ) ( 25070 * ) - NEW met2 ( 25070 32130 ) ( * 39270 ) - NEW met1 ( 12190 32130 ) ( 16330 * ) - NEW met1 ( 12190 32130 ) M1M2_PR - NEW met1 ( 12190 44370 ) M1M2_PR - NEW met1 ( 7590 44370 ) M1M2_PR - NEW li1 ( 28290 42330 ) L1M1_PR_MR - NEW met1 ( 29670 42330 ) M1M2_PR - NEW met2 ( 29670 43860 ) M2M3_PR - NEW met2 ( 37490 43860 ) M2M3_PR - NEW met1 ( 37490 44030 ) M1M2_PR + + ROUTED met2 ( 7130 61540 0 ) ( 7590 * ) + NEW met1 ( 25530 39270 ) ( * 39610 ) + NEW met1 ( 20930 39610 ) ( 25530 * ) + NEW met1 ( 20930 39610 ) ( * 40290 ) + NEW met1 ( 7590 40290 ) ( 20930 * ) + NEW met1 ( 27830 42330 ) ( * 42340 ) + NEW met1 ( 27370 42340 ) ( 27830 * ) + NEW met1 ( 27370 42330 ) ( * 42340 ) + NEW met2 ( 26910 42330 ) ( 27370 * ) + NEW met2 ( 26910 39610 ) ( * 42330 ) + NEW met1 ( 25530 39610 ) ( 26910 * ) + NEW met1 ( 28750 32130 ) ( 29670 * ) + NEW met2 ( 28750 32130 ) ( * 39610 ) + NEW met1 ( 26910 39610 ) ( 28750 * ) + NEW met1 ( 39330 44370 ) ( 40710 * ) + NEW met2 ( 39330 42500 ) ( * 44370 ) + NEW met3 ( 27370 42500 ) ( 39330 * ) + NEW met2 ( 27370 42330 ) ( * 42500 ) + NEW met2 ( 7590 40290 ) ( * 61540 ) + NEW li1 ( 25530 39270 ) L1M1_PR_MR + NEW met1 ( 7590 40290 ) M1M2_PR + NEW li1 ( 27830 42330 ) L1M1_PR_MR + NEW met1 ( 27370 42330 ) M1M2_PR + NEW met1 ( 26910 39610 ) M1M2_PR + NEW li1 ( 29670 32130 ) L1M1_PR_MR + NEW met1 ( 28750 32130 ) M1M2_PR + NEW met1 ( 28750 39610 ) M1M2_PR NEW li1 ( 40710 44370 ) L1M1_PR_MR - NEW li1 ( 25070 39270 ) L1M1_PR_MR - NEW met1 ( 26450 39270 ) M1M2_PR - NEW met1 ( 26450 42330 ) M1M2_PR - NEW li1 ( 16330 32130 ) L1M1_PR_MR - NEW met1 ( 25070 32130 ) M1M2_PR - NEW met1 ( 25070 39270 ) M1M2_PR - NEW met1 ( 25070 39270 ) RECT ( 0 -70 595 70 ) ; + NEW met1 ( 39330 44370 ) M1M2_PR + NEW met2 ( 39330 42500 ) M2M3_PR + NEW met2 ( 27370 42500 ) M2M3_PR ; - gpio_defaults[2] ( PIN gpio_defaults[2] ) ( ANTENNA__118__B DIODE ) ( ANTENNA__121__B_N DIODE ) ( _121_ B_N ) ( _118_ B ) + USE SIGNAL + ROUTED met2 ( 9430 56270 ) ( * 61540 0 ) + NEW met2 ( 8970 43010 ) ( * 44370 ) NEW met2 ( 18170 50150 ) ( * 54910 ) NEW met2 ( 18170 54910 ) ( * 56270 ) NEW met1 ( 14030 44370 ) ( 14490 * ) - NEW met2 ( 14490 44370 ) ( 14950 * ) - NEW met2 ( 14950 44370 ) ( * 49810 ) + NEW met2 ( 14490 44370 ) ( * 45220 ) + NEW met2 ( 14490 45220 ) ( 14950 * ) + NEW met2 ( 14950 45220 ) ( * 49810 ) NEW met1 ( 14950 49810 ) ( 18170 * ) NEW met1 ( 18170 49810 ) ( * 50150 ) - NEW met2 ( 14490 41990 ) ( * 44370 ) - NEW met1 ( 8970 41990 ) ( 14490 * ) + NEW met1 ( 8970 44370 ) ( 14030 * ) NEW met1 ( 9430 56270 ) ( 18170 * ) - NEW li1 ( 8970 41990 ) L1M1_PR_MR NEW met1 ( 9430 56270 ) M1M2_PR + NEW li1 ( 8970 43010 ) L1M1_PR_MR + NEW met1 ( 8970 43010 ) M1M2_PR + NEW met1 ( 8970 44370 ) M1M2_PR NEW li1 ( 18170 50150 ) L1M1_PR_MR NEW met1 ( 18170 50150 ) M1M2_PR NEW li1 ( 18170 54910 ) L1M1_PR_MR @@ -1891,255 +1902,237 @@ NETS 192 ; NEW li1 ( 14030 44370 ) L1M1_PR_MR NEW met1 ( 14490 44370 ) M1M2_PR NEW met1 ( 14950 49810 ) M1M2_PR - NEW met1 ( 14490 41990 ) M1M2_PR + NEW met1 ( 8970 43010 ) RECT ( -355 -70 0 70 ) NEW met1 ( 18170 50150 ) RECT ( -355 -70 0 70 ) NEW met1 ( 18170 54910 ) RECT ( 0 -70 355 70 ) ; - gpio_defaults[3] ( PIN gpio_defaults[3] ) ( ANTENNA__134__B DIODE ) ( ANTENNA__137__B_N DIODE ) ( _137_ B_N ) ( _134_ B ) + USE SIGNAL - + ROUTED met2 ( 11730 56610 ) ( * 61540 0 ) + + ROUTED met2 ( 12190 56610 ) ( * 61540 ) + NEW met2 ( 11730 61540 0 ) ( 12190 * ) NEW met1 ( 19550 56610 ) ( 20470 * ) - NEW met1 ( 22770 55590 ) ( * 55930 ) - NEW met1 ( 20470 55930 ) ( 22770 * ) - NEW met1 ( 20470 55930 ) ( * 56610 ) + NEW met1 ( 20470 55250 ) ( 22770 * ) + NEW met1 ( 20470 55250 ) ( * 56610 ) NEW met1 ( 21850 53210 ) ( 22310 * ) - NEW met2 ( 21850 53210 ) ( * 55930 ) - NEW met1 ( 11730 56610 ) ( 19550 * ) - NEW met1 ( 11730 56610 ) M1M2_PR + NEW met2 ( 21850 53210 ) ( * 55250 ) + NEW met1 ( 12190 56610 ) ( 19550 * ) + NEW met1 ( 12190 56610 ) M1M2_PR NEW li1 ( 19550 56610 ) L1M1_PR_MR NEW li1 ( 20470 56610 ) L1M1_PR_MR - NEW li1 ( 22770 55590 ) L1M1_PR_MR + NEW li1 ( 22770 55250 ) L1M1_PR_MR NEW li1 ( 22310 53210 ) L1M1_PR_MR NEW met1 ( 21850 53210 ) M1M2_PR - NEW met1 ( 21850 55930 ) M1M2_PR - NEW met1 ( 21850 55930 ) RECT ( -595 -70 0 70 ) ; + NEW met1 ( 21850 55250 ) M1M2_PR + NEW met1 ( 21850 55250 ) RECT ( -595 -70 0 70 ) ; - gpio_defaults[4] ( PIN gpio_defaults[4] ) ( ANTENNA__139__B DIODE ) ( ANTENNA__144__B_N DIODE ) ( _144_ B_N ) ( _139_ B ) + USE SIGNAL - + ROUTED met2 ( 8050 43010 ) ( * 45390 ) - NEW met1 ( 8050 45390 ) ( 13800 * ) - NEW met1 ( 13800 45050 ) ( * 45390 ) - NEW met1 ( 13800 45050 ) ( 15410 * ) - NEW met1 ( 22770 42330 ) ( 25990 * ) - NEW met1 ( 22770 42330 ) ( * 42670 ) - NEW met1 ( 21390 42670 ) ( 22770 * ) - NEW met2 ( 21390 42670 ) ( * 45050 ) - NEW met1 ( 15410 45050 ) ( 21390 * ) - NEW met1 ( 24150 39270 ) ( 24610 * ) - NEW met2 ( 24150 39270 ) ( * 41990 ) - NEW met1 ( 24150 41990 ) ( * 42330 ) - NEW met1 ( 24150 30430 ) ( 29670 * ) - NEW met2 ( 24150 30430 ) ( * 39270 ) - NEW met2 ( 13570 57630 ) ( 15410 * ) - NEW met2 ( 13570 57630 ) ( * 61540 ) - NEW met2 ( 13570 61540 ) ( 14030 * 0 ) - NEW met2 ( 15410 45050 ) ( * 57630 ) - NEW li1 ( 8050 43010 ) L1M1_PR_MR - NEW met1 ( 8050 43010 ) M1M2_PR - NEW met1 ( 8050 45390 ) M1M2_PR - NEW met1 ( 15410 45050 ) M1M2_PR - NEW li1 ( 25990 42330 ) L1M1_PR_MR - NEW met1 ( 21390 42670 ) M1M2_PR - NEW met1 ( 21390 45050 ) M1M2_PR - NEW li1 ( 24610 39270 ) L1M1_PR_MR - NEW met1 ( 24150 39270 ) M1M2_PR - NEW met1 ( 24150 41990 ) M1M2_PR - NEW li1 ( 29670 30430 ) L1M1_PR_MR - NEW met1 ( 24150 30430 ) M1M2_PR - NEW met1 ( 8050 43010 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met1 ( 8050 41990 ) ( 10350 * ) + NEW met1 ( 10350 41990 ) ( * 42330 ) + NEW met1 ( 10350 42330 ) ( 11730 * ) + NEW met1 ( 11730 41990 ) ( * 42330 ) + NEW met2 ( 14490 41990 ) ( * 42500 ) + NEW met2 ( 14490 42500 ) ( 14950 * ) + NEW met2 ( 14950 42500 ) ( * 44540 ) + NEW met2 ( 14950 44540 ) ( 15410 * ) + NEW met1 ( 23690 42670 ) ( * 43010 ) + NEW met1 ( 15870 43010 ) ( 23690 * ) + NEW met2 ( 15870 43010 ) ( * 44540 ) + NEW met2 ( 15410 44540 ) ( 15870 * ) + NEW met2 ( 18630 29070 ) ( * 43010 ) + NEW met1 ( 18630 28390 ) ( 21850 * ) + NEW met2 ( 18630 28390 ) ( * 29070 ) + NEW met1 ( 11730 41990 ) ( 14490 * ) + NEW met2 ( 14030 61540 0 ) ( 15410 * ) + NEW met2 ( 15410 44540 ) ( * 61540 ) + NEW li1 ( 8050 41990 ) L1M1_PR_MR + NEW met1 ( 14490 41990 ) M1M2_PR + NEW li1 ( 23690 42670 ) L1M1_PR_MR + NEW met1 ( 15870 43010 ) M1M2_PR + NEW li1 ( 18630 29070 ) L1M1_PR_MR + NEW met1 ( 18630 29070 ) M1M2_PR + NEW met1 ( 18630 43010 ) M1M2_PR + NEW li1 ( 21850 28390 ) L1M1_PR_MR + NEW met1 ( 18630 28390 ) M1M2_PR + NEW met1 ( 18630 29070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 18630 43010 ) RECT ( -595 -70 0 70 ) ; - gpio_defaults[5] ( PIN gpio_defaults[5] ) ( ANTENNA__167__B DIODE ) ( ANTENNA__170__B_N DIODE ) ( _170_ B_N ) ( _167_ B ) + USE SIGNAL - + ROUTED met2 ( 16330 56610 ) ( 17250 * ) - NEW met2 ( 16330 56610 ) ( * 61540 0 ) - NEW met2 ( 17250 48300 ) ( * 56610 ) + + ROUTED met1 ( 18170 22950 ) ( 18630 * ) + NEW met2 ( 18170 22950 ) ( * 36380 ) + NEW met2 ( 16790 36380 ) ( 18170 * ) + NEW met2 ( 16790 36380 ) ( * 48620 ) + NEW met2 ( 16330 48620 ) ( 16790 * ) + NEW met2 ( 16330 48620 ) ( * 61540 0 ) + NEW met2 ( 18170 18530 ) ( * 22950 ) + NEW met1 ( 16790 18530 ) ( 18170 * ) + NEW met1 ( 18630 22610 ) ( 25070 * ) NEW met1 ( 18630 22610 ) ( * 22950 ) - NEW met1 ( 17710 22610 ) ( 18630 * ) - NEW met1 ( 17710 22610 ) ( * 22950 ) - NEW met2 ( 17710 22950 ) ( * 44540 ) - NEW met2 ( 17710 44540 ) ( 18170 * ) - NEW met2 ( 18170 44540 ) ( * 48300 ) - NEW met2 ( 17250 48300 ) ( 18170 * ) - NEW met1 ( 17710 21250 ) ( 19090 * ) - NEW met2 ( 17710 21250 ) ( * 22950 ) - NEW met1 ( 24610 17510 ) ( 25990 * ) - NEW met2 ( 24610 17510 ) ( * 22270 ) - NEW met1 ( 22310 22270 ) ( 24610 * ) - NEW met1 ( 22310 22270 ) ( * 22610 ) - NEW met1 ( 18630 22610 ) ( 22310 * ) - NEW met1 ( 26910 22950 ) ( * 23630 ) - NEW met1 ( 24610 23630 ) ( 26910 * ) - NEW met2 ( 24610 22270 ) ( * 23630 ) NEW li1 ( 18630 22950 ) L1M1_PR_MR - NEW met1 ( 17710 22950 ) M1M2_PR - NEW li1 ( 19090 21250 ) L1M1_PR_MR - NEW met1 ( 17710 21250 ) M1M2_PR - NEW li1 ( 25990 17510 ) L1M1_PR_MR - NEW met1 ( 24610 17510 ) M1M2_PR - NEW met1 ( 24610 22270 ) M1M2_PR - NEW li1 ( 26910 22950 ) L1M1_PR_MR - NEW met1 ( 24610 23630 ) M1M2_PR ; - - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( ANTENNA__172__B DIODE ) ( ANTENNA__175__B_N DIODE ) ( _175_ B_N ) ( _172_ B ) + USE SIGNAL - + ROUTED met1 ( 17250 20230 ) ( 18170 * ) - NEW met2 ( 18170 20230 ) ( * 28900 ) - NEW met2 ( 18170 28900 ) ( 18630 * ) - NEW met2 ( 18170 18530 ) ( * 20230 ) - NEW met1 ( 21850 22950 ) ( * 23630 ) - NEW met1 ( 18170 23630 ) ( 21850 * ) - NEW met1 ( 28750 22270 ) ( * 22610 ) - NEW met1 ( 25530 22270 ) ( 28750 * ) - NEW met1 ( 25530 22270 ) ( * 22950 ) - NEW met1 ( 21850 22950 ) ( 25530 * ) - NEW met2 ( 18630 28900 ) ( * 61540 0 ) - NEW li1 ( 17250 20230 ) L1M1_PR_MR - NEW met1 ( 18170 20230 ) M1M2_PR + NEW met1 ( 18170 22950 ) M1M2_PR NEW li1 ( 18170 18530 ) L1M1_PR_MR NEW met1 ( 18170 18530 ) M1M2_PR + NEW li1 ( 16790 18530 ) L1M1_PR_MR + NEW li1 ( 25070 22610 ) L1M1_PR_MR + NEW met1 ( 18170 18530 ) RECT ( -355 -70 0 70 ) ; + - gpio_defaults[6] ( PIN gpio_defaults[6] ) ( ANTENNA__172__B DIODE ) ( ANTENNA__175__B_N DIODE ) ( _175_ B_N ) ( _172_ B ) + USE SIGNAL + + ROUTED met1 ( 24150 7650 ) ( 28750 * ) + NEW met2 ( 21850 22950 ) ( * 44030 ) + NEW met1 ( 18630 44030 ) ( 21850 * ) + NEW met2 ( 18630 44030 ) ( * 61540 0 ) + NEW met1 ( 28290 22610 ) ( 28750 * ) + NEW met1 ( 28290 22270 ) ( * 22610 ) + NEW met1 ( 21850 22270 ) ( 28290 * ) + NEW met2 ( 21850 22270 ) ( * 22950 ) + NEW met1 ( 17710 15810 ) ( 22310 * ) + NEW met2 ( 22310 15810 ) ( * 20740 ) + NEW met2 ( 21850 20740 ) ( 22310 * ) + NEW met2 ( 21850 20740 ) ( * 22270 ) + NEW met2 ( 23690 13940 ) ( 24150 * ) + NEW met2 ( 23690 13940 ) ( * 14110 ) + NEW met1 ( 22310 14110 ) ( 23690 * ) + NEW met2 ( 22310 14110 ) ( * 15810 ) + NEW met2 ( 24150 7650 ) ( * 13940 ) + NEW met1 ( 24150 7650 ) M1M2_PR + NEW li1 ( 28750 7650 ) L1M1_PR_MR NEW li1 ( 21850 22950 ) L1M1_PR_MR - NEW met1 ( 18170 23630 ) M1M2_PR + NEW met1 ( 21850 22950 ) M1M2_PR + NEW met1 ( 21850 44030 ) M1M2_PR + NEW met1 ( 18630 44030 ) M1M2_PR NEW li1 ( 28750 22610 ) L1M1_PR_MR - NEW met1 ( 18170 18530 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 18170 23630 ) RECT ( -70 -485 70 0 ) ; + NEW met1 ( 21850 22270 ) M1M2_PR + NEW li1 ( 17710 15810 ) L1M1_PR_MR + NEW met1 ( 22310 15810 ) M1M2_PR + NEW met1 ( 23690 14110 ) M1M2_PR + NEW met1 ( 22310 14110 ) M1M2_PR + NEW met1 ( 21850 22950 ) RECT ( -355 -70 0 70 ) ; - gpio_defaults[7] ( PIN gpio_defaults[7] ) ( ANTENNA__177__B DIODE ) ( ANTENNA__180__B_N DIODE ) ( _180_ B_N ) ( _177_ B ) + USE SIGNAL - + ROUTED met2 ( 31970 56610 ) ( * 57630 ) - NEW met1 ( 24610 57630 ) ( 31970 * ) - NEW met2 ( 24610 51170 ) ( * 57630 ) - NEW met2 ( 24610 51170 ) ( 25070 * ) - NEW met2 ( 20930 57630 ) ( * 61540 0 ) - NEW met1 ( 20930 57630 ) ( 24610 * ) - NEW met1 ( 22310 26010 ) ( 25530 * ) - NEW met2 ( 22310 18530 ) ( * 26010 ) - NEW met2 ( 21850 18530 ) ( 22310 * ) - NEW met1 ( 20930 18530 ) ( 21850 * ) - NEW met1 ( 20930 18190 ) ( * 18530 ) - NEW met1 ( 17250 18190 ) ( 20930 * ) - NEW met1 ( 25070 44370 ) ( 25990 * ) - NEW met2 ( 25070 39780 ) ( * 44370 ) - NEW met3 ( 22310 39780 ) ( 25070 * ) - NEW met2 ( 22310 26010 ) ( * 39780 ) - NEW met2 ( 25070 44370 ) ( * 51170 ) - NEW li1 ( 31970 56610 ) L1M1_PR_MR - NEW met1 ( 31970 56610 ) M1M2_PR - NEW met1 ( 31970 57630 ) M1M2_PR - NEW met1 ( 24610 57630 ) M1M2_PR - NEW met1 ( 20930 57630 ) M1M2_PR + + ROUTED met2 ( 20930 52020 ) ( 21390 * ) + NEW met2 ( 20930 52020 ) ( * 61540 0 ) + NEW met2 ( 12190 26690 ) ( * 30430 ) + NEW met1 ( 12190 26690 ) ( 26450 * ) + NEW met1 ( 26450 26010 ) ( * 26690 ) + NEW met1 ( 25530 26010 ) ( 26450 * ) + NEW met1 ( 28290 42330 ) ( 28750 * ) + NEW met1 ( 28750 41650 ) ( * 42330 ) + NEW met1 ( 27830 41650 ) ( 28750 * ) + NEW met1 ( 27830 41650 ) ( * 41990 ) + NEW met1 ( 26910 41990 ) ( 27830 * ) + NEW met1 ( 26910 41990 ) ( * 42330 ) + NEW met1 ( 23230 42330 ) ( 26910 * ) + NEW met2 ( 23230 26690 ) ( * 42330 ) + NEW met1 ( 7130 41310 ) ( 12190 * ) + NEW met2 ( 12190 30430 ) ( * 41310 ) + NEW met1 ( 21390 42670 ) ( 23230 * ) + NEW met1 ( 23230 42330 ) ( * 42670 ) + NEW met2 ( 21390 42670 ) ( * 52020 ) + NEW li1 ( 12190 30430 ) L1M1_PR_MR + NEW met1 ( 12190 30430 ) M1M2_PR + NEW met1 ( 12190 26690 ) M1M2_PR NEW li1 ( 25530 26010 ) L1M1_PR_MR - NEW met1 ( 22310 26010 ) M1M2_PR - NEW met1 ( 21850 18530 ) M1M2_PR - NEW li1 ( 17250 18190 ) L1M1_PR_MR - NEW li1 ( 25990 44370 ) L1M1_PR_MR - NEW met1 ( 25070 44370 ) M1M2_PR - NEW met2 ( 25070 39780 ) M2M3_PR - NEW met2 ( 22310 39780 ) M2M3_PR - NEW met1 ( 31970 56610 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 28290 42330 ) L1M1_PR_MR + NEW met1 ( 23230 42330 ) M1M2_PR + NEW met1 ( 23230 26690 ) M1M2_PR + NEW li1 ( 7130 41310 ) L1M1_PR_MR + NEW met1 ( 12190 41310 ) M1M2_PR + NEW met1 ( 21390 42670 ) M1M2_PR + NEW met1 ( 12190 30430 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 23230 26690 ) RECT ( -595 -70 0 70 ) ; - gpio_defaults[8] ( PIN gpio_defaults[8] ) ( ANTENNA__124__B DIODE ) ( ANTENNA__127__B_N DIODE ) ( _127_ B_N ) ( _124_ B ) + USE SIGNAL - + ROUTED met1 ( 23230 52870 ) ( 26450 * ) - NEW met2 ( 23230 52870 ) ( * 61540 0 ) - NEW met1 ( 29210 54910 ) ( 31050 * ) - NEW met2 ( 29210 53890 ) ( * 54910 ) - NEW met1 ( 26450 53890 ) ( 29210 * ) - NEW met1 ( 26450 53550 ) ( * 53890 ) - NEW met2 ( 26450 52870 ) ( * 53550 ) - NEW met1 ( 31050 53210 ) ( 31075 * ) - NEW met2 ( 31050 48110 ) ( * 54910 ) + + ROUTED met1 ( 23230 56610 ) ( 31050 * ) + NEW met2 ( 23230 56610 ) ( * 61540 0 ) + NEW met1 ( 31050 56610 ) ( 31970 * ) + NEW met2 ( 31050 53210 ) ( * 56610 ) + NEW met2 ( 31050 48110 ) ( * 53210 ) + NEW li1 ( 31050 56610 ) L1M1_PR_MR + NEW met1 ( 23230 56610 ) M1M2_PR + NEW li1 ( 31970 56610 ) L1M1_PR_MR + NEW li1 ( 31050 53210 ) L1M1_PR_MR + NEW met1 ( 31050 53210 ) M1M2_PR + NEW met1 ( 31050 56610 ) M1M2_PR NEW li1 ( 31050 48110 ) L1M1_PR_MR NEW met1 ( 31050 48110 ) M1M2_PR - NEW li1 ( 26450 52870 ) L1M1_PR_MR - NEW met1 ( 23230 52870 ) M1M2_PR - NEW li1 ( 31050 54910 ) L1M1_PR_MR - NEW met1 ( 29210 54910 ) M1M2_PR - NEW met1 ( 29210 53890 ) M1M2_PR - NEW met1 ( 26450 53550 ) M1M2_PR - NEW met1 ( 26450 52870 ) M1M2_PR - NEW met1 ( 31050 54910 ) M1M2_PR - NEW li1 ( 31075 53210 ) L1M1_PR_MR - NEW met1 ( 31050 53210 ) M1M2_PR - NEW met1 ( 31050 48110 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 26450 52870 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 31050 54910 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 31075 53210 ) RECT ( 0 -70 330 70 ) - NEW met2 ( 31050 53210 ) RECT ( -70 -485 70 0 ) ; + NEW met1 ( 31050 53210 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 31050 56610 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 31050 48110 ) RECT ( -355 -70 0 70 ) ; - gpio_defaults[9] ( PIN gpio_defaults[9] ) ( ANTENNA__129__B DIODE ) ( ANTENNA__132__B_N DIODE ) ( _132_ B_N ) ( _129_ B ) + USE SIGNAL - + ROUTED met2 ( 25070 55250 ) ( * 61540 ) - NEW met2 ( 25070 61540 ) ( 25530 * 0 ) - NEW met1 ( 25070 53890 ) ( 25530 * ) - NEW met2 ( 25070 53890 ) ( * 55250 ) - NEW met1 ( 25070 55930 ) ( 28750 * ) - NEW met1 ( 27395 53200 ) ( 27830 * ) - NEW met1 ( 27830 53200 ) ( * 53210 ) - NEW met1 ( 27830 53210 ) ( 28290 * ) - NEW met2 ( 28290 53210 ) ( * 55930 ) - NEW met2 ( 27830 55930 ) ( 28290 * ) + + ROUTED met1 ( 25070 55250 ) ( 25530 * ) + NEW met2 ( 25530 55250 ) ( * 61540 0 ) + NEW met1 ( 25530 56270 ) ( 28750 * ) + NEW met1 ( 28750 56270 ) ( 29670 * ) + NEW met1 ( 25070 53210 ) ( 27370 * ) + NEW met2 ( 25070 53210 ) ( * 55250 ) + NEW met2 ( 25070 55250 ) ( 25530 * ) NEW li1 ( 25070 55250 ) L1M1_PR_MR - NEW met1 ( 25070 55250 ) M1M2_PR - NEW li1 ( 25530 53890 ) L1M1_PR_MR - NEW met1 ( 25070 53890 ) M1M2_PR - NEW li1 ( 28750 55930 ) L1M1_PR_MR - NEW met1 ( 25070 55930 ) M1M2_PR - NEW li1 ( 27395 53200 ) L1M1_PR_MR - NEW met1 ( 28290 53210 ) M1M2_PR - NEW met1 ( 27830 55930 ) M1M2_PR - NEW met1 ( 25070 55250 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 25070 55930 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27830 55930 ) RECT ( -595 -70 0 70 ) ; + NEW met1 ( 25530 55250 ) M1M2_PR + NEW li1 ( 28750 56270 ) L1M1_PR_MR + NEW met1 ( 25530 56270 ) M1M2_PR + NEW li1 ( 29670 56270 ) L1M1_PR_MR + NEW li1 ( 27370 53210 ) L1M1_PR_MR + NEW met1 ( 25070 53210 ) M1M2_PR + NEW met2 ( 25530 56270 ) RECT ( -70 -485 70 0 ) ; - gpio_logic1 ( gpio_logic_high gpio_logic1 ) ( gpio_in_buf TE ) + USE SIGNAL - + ROUTED met2 ( 13570 17040 ) ( * 20570 ) - NEW met3 ( 12420 17040 0 ) ( 13570 * ) - NEW met2 ( 19090 20570 ) ( * 28390 ) - NEW met1 ( 19090 28390 ) ( 22310 * ) - NEW met1 ( 13570 20570 ) ( 19090 * ) - NEW met1 ( 13570 20570 ) M1M2_PR - NEW met2 ( 13570 17040 ) M2M3_PR - NEW met1 ( 19090 20570 ) M1M2_PR - NEW met1 ( 19090 28390 ) M1M2_PR + + ROUTED met3 ( 12420 17040 0 ) ( 13800 * ) + NEW met3 ( 13800 17040 ) ( * 17340 ) + NEW met3 ( 13800 17340 ) ( 14030 * ) + NEW met2 ( 14030 17340 ) ( * 27710 ) + NEW met1 ( 14030 27710 ) ( 16330 * ) + NEW met1 ( 16330 27710 ) ( * 28050 ) + NEW met1 ( 16330 28050 ) ( 22310 * ) + NEW met1 ( 22310 28050 ) ( * 28390 ) + NEW met2 ( 14030 17340 ) M2M3_PR + NEW met1 ( 14030 27710 ) M1M2_PR NEW li1 ( 22310 28390 ) L1M1_PR_MR ; - gpio_outenb ( _188_ Q ) ( _099_ A1 ) ( _096_ B_N ) + USE SIGNAL - + ROUTED met1 ( 42090 38590 ) ( 42550 * ) - NEW met2 ( 42550 37230 ) ( * 38590 ) - NEW met1 ( 41630 37230 ) ( 42550 * ) - NEW met1 ( 41630 37230 ) ( * 37570 ) - NEW met1 ( 29710 37570 ) ( 41630 * ) - NEW met1 ( 43930 33490 ) ( * 33830 ) - NEW met1 ( 42550 33490 ) ( 43930 * ) - NEW met2 ( 42550 33490 ) ( * 37230 ) - NEW li1 ( 42090 38590 ) L1M1_PR_MR - NEW met1 ( 42550 38590 ) M1M2_PR - NEW met1 ( 42550 37230 ) M1M2_PR - NEW li1 ( 29710 37570 ) L1M1_PR_MR + + ROUTED met1 ( 29730 36210 ) ( 33410 * ) + NEW met1 ( 33410 35870 ) ( * 36210 ) + NEW met1 ( 33410 35870 ) ( 39330 * ) + NEW met1 ( 39330 35870 ) ( * 36210 ) + NEW met1 ( 39330 36210 ) ( 43930 * ) + NEW met2 ( 43930 33830 ) ( * 36210 ) + NEW met2 ( 31970 36210 ) ( * 38590 ) + NEW li1 ( 29730 36210 ) L1M1_PR_MR + NEW met1 ( 43930 36210 ) M1M2_PR NEW li1 ( 43930 33830 ) L1M1_PR_MR - NEW met1 ( 42550 33490 ) M1M2_PR ; + NEW met1 ( 43930 33830 ) M1M2_PR + NEW li1 ( 31970 38590 ) L1M1_PR_MR + NEW met1 ( 31970 38590 ) M1M2_PR + NEW met1 ( 31970 36210 ) M1M2_PR + NEW met1 ( 43930 33830 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 31970 38590 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 31970 36210 ) RECT ( -595 -70 0 70 ) ; - mgmt_ena ( _182_ Q ) ( _105_ A1 ) ( _104_ B1 ) ( _099_ A3 ) ( _098_ A_N ) + USE SIGNAL - + ROUTED met1 ( 43010 55250 ) ( 43930 * ) - NEW met1 ( 43010 54910 ) ( * 55250 ) - NEW met1 ( 40250 54910 ) ( 43010 * ) - NEW met2 ( 40250 52190 ) ( * 54910 ) - NEW met1 ( 36570 52190 ) ( 40250 * ) - NEW met1 ( 36570 52190 ) ( * 52530 ) - NEW met1 ( 21450 52530 ) ( 36570 * ) - NEW met1 ( 41170 39270 ) ( * 39950 ) - NEW met1 ( 40250 39950 ) ( 41170 * ) - NEW met2 ( 39330 33830 ) ( * 34340 ) - NEW met3 ( 39330 34340 ) ( 40020 * ) - NEW met3 ( 40020 34340 ) ( * 35700 ) - NEW met3 ( 40020 35700 ) ( 40940 * ) - NEW met3 ( 40940 35700 ) ( * 37060 ) - NEW met3 ( 38870 37060 ) ( 40940 * ) - NEW met2 ( 38870 37060 ) ( * 39950 ) - NEW met1 ( 38870 39950 ) ( 40250 * ) - NEW met2 ( 42550 31450 ) ( * 32980 ) - NEW met3 ( 40020 32980 ) ( 42550 * ) - NEW met3 ( 40020 32980 ) ( * 34340 ) - NEW met2 ( 40250 39950 ) ( * 52190 ) - NEW li1 ( 43930 55250 ) L1M1_PR_MR - NEW met1 ( 40250 54910 ) M1M2_PR - NEW met1 ( 40250 52190 ) M1M2_PR - NEW li1 ( 21450 52530 ) L1M1_PR_MR - NEW li1 ( 41170 39270 ) L1M1_PR_MR - NEW met1 ( 40250 39950 ) M1M2_PR + + ROUTED met2 ( 43930 51170 ) ( * 52870 ) + NEW met1 ( 33810 51170 ) ( 43930 * ) + NEW met2 ( 33810 51170 ) ( * 52530 ) + NEW met1 ( 22770 52530 ) ( 33810 * ) + NEW met1 ( 22770 52190 ) ( * 52530 ) + NEW met1 ( 21450 52190 ) ( 22770 * ) + NEW met1 ( 28290 38930 ) ( 31050 * ) + NEW met1 ( 28290 38930 ) ( * 39270 ) + NEW met1 ( 38410 33830 ) ( 39330 * ) + NEW met1 ( 38410 33150 ) ( * 33830 ) + NEW met1 ( 33350 33150 ) ( 38410 * ) + NEW met2 ( 33350 33150 ) ( * 39100 ) + NEW met3 ( 28290 39100 ) ( 33350 * ) + NEW met2 ( 28290 39100 ) ( * 39270 ) + NEW met1 ( 42090 31450 ) ( 42550 * ) + NEW met2 ( 42090 31450 ) ( * 33150 ) + NEW met1 ( 38410 33150 ) ( 42090 * ) + NEW met2 ( 28290 39270 ) ( * 52530 ) + NEW li1 ( 43930 52870 ) L1M1_PR_MR + NEW met1 ( 43930 52870 ) M1M2_PR + NEW met1 ( 43930 51170 ) M1M2_PR + NEW met1 ( 33810 51170 ) M1M2_PR + NEW met1 ( 33810 52530 ) M1M2_PR + NEW li1 ( 21450 52190 ) L1M1_PR_MR + NEW met1 ( 28290 52530 ) M1M2_PR + NEW li1 ( 31050 38930 ) L1M1_PR_MR + NEW met1 ( 28290 39270 ) M1M2_PR NEW li1 ( 39330 33830 ) L1M1_PR_MR - NEW met1 ( 39330 33830 ) M1M2_PR - NEW met2 ( 39330 34340 ) M2M3_PR - NEW met2 ( 38870 37060 ) M2M3_PR - NEW met1 ( 38870 39950 ) M1M2_PR + NEW met1 ( 33350 33150 ) M1M2_PR + NEW met2 ( 33350 39100 ) M2M3_PR + NEW met2 ( 28290 39100 ) M2M3_PR NEW li1 ( 42550 31450 ) L1M1_PR_MR - NEW met1 ( 42550 31450 ) M1M2_PR - NEW met2 ( 42550 32980 ) M2M3_PR - NEW met1 ( 39330 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 42550 31450 ) RECT ( 0 -70 355 70 ) ; + NEW met1 ( 42090 31450 ) M1M2_PR + NEW met1 ( 42090 33150 ) M1M2_PR + NEW met1 ( 43930 52870 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 28290 52530 ) RECT ( -595 -70 0 70 ) ; - mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _211_ Z ) + USE SIGNAL + ROUTED met3 ( 47150 4420 ) ( 70380 * 0 ) NEW met2 ( 47150 4420 ) ( * 14790 ) @@ -2148,42 +2141,41 @@ NETS 192 ; NEW met1 ( 47150 14790 ) M1M2_PR NEW met1 ( 47150 14790 ) RECT ( -355 -70 0 70 ) ; - mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( ANTENNA__099__A2 DIODE ) ( ANTENNA__101__A DIODE ) ( _101_ A ) ( _099_ A2 ) + USE SIGNAL - + ROUTED met1 ( 44390 27710 ) ( 47150 * ) - NEW met2 ( 44390 8500 ) ( * 27710 ) - NEW met2 ( 44390 26010 ) ( 44850 * ) - NEW met1 ( 41630 38590 ) ( * 38930 ) - NEW met1 ( 41630 38930 ) ( 42090 * ) - NEW met1 ( 42090 38930 ) ( * 39610 ) - NEW met1 ( 42090 39610 ) ( 44850 * ) - NEW met2 ( 44850 27710 ) ( * 39610 ) - NEW met2 ( 44390 27710 ) ( 44850 * ) - NEW met1 ( 39790 38590 ) ( 41630 * ) - NEW met3 ( 44390 8500 ) ( 70380 * 0 ) - NEW li1 ( 47150 27710 ) L1M1_PR_MR - NEW met1 ( 44390 27710 ) M1M2_PR - NEW met2 ( 44390 8500 ) M2M3_PR - NEW li1 ( 44850 26010 ) L1M1_PR_MR - NEW met1 ( 44850 26010 ) M1M2_PR - NEW li1 ( 41630 38590 ) L1M1_PR_MR - NEW met1 ( 44850 39610 ) M1M2_PR - NEW li1 ( 39790 38590 ) L1M1_PR_MR - NEW met1 ( 44850 26010 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met3 ( 48070 8500 ) ( 70380 * 0 ) + NEW met1 ( 47610 24990 ) ( 48070 * ) + NEW met1 ( 45770 25670 ) ( * 26010 ) + NEW met1 ( 45770 25670 ) ( 47610 * ) + NEW met1 ( 47610 24990 ) ( * 25670 ) + NEW met2 ( 31510 28900 ) ( * 38590 ) + NEW met2 ( 31510 28900 ) ( 31970 * ) + NEW met2 ( 31970 25670 ) ( * 28900 ) + NEW met1 ( 31970 25670 ) ( 45770 * ) + NEW met1 ( 31510 43010 ) ( 31970 * ) + NEW met2 ( 31970 39100 ) ( * 43010 ) + NEW met2 ( 31510 39100 ) ( 31970 * ) + NEW met2 ( 31510 38590 ) ( * 39100 ) + NEW met2 ( 48070 8500 ) ( * 24990 ) + NEW met2 ( 48070 8500 ) M2M3_PR + NEW li1 ( 47610 24990 ) L1M1_PR_MR + NEW met1 ( 48070 24990 ) M1M2_PR + NEW li1 ( 45770 26010 ) L1M1_PR_MR + NEW li1 ( 31510 38590 ) L1M1_PR_MR + NEW met1 ( 31510 38590 ) M1M2_PR + NEW met1 ( 31970 25670 ) M1M2_PR + NEW li1 ( 31510 43010 ) L1M1_PR_MR + NEW met1 ( 31970 43010 ) M1M2_PR + NEW met1 ( 31510 38590 ) RECT ( -355 -70 0 70 ) ; - mgmt_gpio_out ( PIN mgmt_gpio_out ) ( ANTENNA__102__A DIODE ) ( _102_ A ) + USE SIGNAL - + ROUTED met2 ( 83030 10540 ) ( * 12070 ) - NEW met3 ( 83030 10540 ) ( 83260 * 0 ) - NEW met1 ( 82800 12070 ) ( 83030 * ) - NEW met1 ( 46230 12070 ) ( * 12410 ) - NEW met1 ( 46230 12410 ) ( 82800 * ) - NEW met1 ( 82800 12070 ) ( * 12410 ) - NEW met1 ( 43470 10370 ) ( 46230 * ) - NEW met2 ( 46230 10370 ) ( * 12070 ) - NEW met1 ( 83030 12070 ) M1M2_PR - NEW met2 ( 83030 10540 ) M2M3_PR + + ROUTED met2 ( 46230 10540 ) ( * 12070 ) + NEW met3 ( 46230 10540 ) ( 70380 * 0 ) + NEW met1 ( 46230 7650 ) ( 46690 * ) + NEW met2 ( 46230 7650 ) ( * 10540 ) NEW li1 ( 46230 12070 ) L1M1_PR_MR - NEW li1 ( 43470 10370 ) L1M1_PR_MR - NEW met1 ( 46230 10370 ) M1M2_PR NEW met1 ( 46230 12070 ) M1M2_PR - NEW met1 ( 46230 12070 ) RECT ( -595 -70 0 70 ) ; + NEW met2 ( 46230 10540 ) M2M3_PR + NEW li1 ( 46690 7650 ) L1M1_PR_MR + NEW met1 ( 46230 7650 ) M1M2_PR + NEW met1 ( 46230 12070 ) RECT ( -355 -70 0 70 ) ; - net1 ( _192_ CLK_N ) ( _169__1 Y ) + USE CLOCK + ROUTED met2 ( 18170 15130 ) ( * 16830 ) NEW met1 ( 18170 16830 ) ( 19090 * ) @@ -2193,25 +2185,23 @@ NETS 192 ; NEW li1 ( 19090 16830 ) L1M1_PR_MR NEW met1 ( 18170 15130 ) RECT ( -355 -70 0 70 ) ; - net10 ( _188_ CLK_N ) ( _148__10 Y ) + USE CLOCK - + ROUTED met2 ( 17250 36890 ) ( * 38590 ) - NEW met1 ( 17250 36890 ) ( 17710 * ) - NEW met1 ( 7130 38590 ) ( 17250 * ) + + ROUTED met2 ( 15870 37230 ) ( * 38590 ) + NEW met1 ( 15870 37230 ) ( 17710 * ) + NEW met1 ( 17710 36890 ) ( * 37230 ) + NEW met1 ( 7130 38590 ) ( 15870 * ) NEW li1 ( 7130 38590 ) L1M1_PR_MR - NEW met1 ( 17250 38590 ) M1M2_PR - NEW met1 ( 17250 36890 ) M1M2_PR + NEW met1 ( 15870 38590 ) M1M2_PR + NEW met1 ( 15870 37230 ) M1M2_PR NEW li1 ( 17710 36890 ) L1M1_PR_MR ; - net11 ( _189_ CLK_N ) ( _154__11 Y ) + USE CLOCK - + ROUTED met1 ( 32890 36890 ) ( 35190 * ) - NEW met2 ( 35190 36890 ) ( * 42500 ) - NEW met2 ( 34730 42500 ) ( 35190 * ) - NEW met2 ( 34730 42500 ) ( * 44370 ) - NEW met2 ( 34730 44370 ) ( 35190 * ) - NEW met2 ( 35190 44370 ) ( * 55930 ) - NEW met1 ( 35190 55930 ) ( 40710 * ) + + ROUTED met1 ( 32890 36890 ) ( * 37570 ) + NEW met1 ( 32890 37570 ) ( 36110 * ) + NEW met2 ( 36110 37570 ) ( * 55250 ) + NEW met1 ( 36110 55250 ) ( 37950 * ) NEW li1 ( 32890 36890 ) L1M1_PR_MR - NEW met1 ( 35190 36890 ) M1M2_PR - NEW met1 ( 35190 55930 ) M1M2_PR - NEW li1 ( 40710 55930 ) L1M1_PR_MR ; + NEW met1 ( 36110 37570 ) M1M2_PR + NEW met1 ( 36110 55250 ) M1M2_PR + NEW li1 ( 37950 55250 ) L1M1_PR_MR ; - net12 ( _190_ CLK_N ) ( _159__12 Y ) + USE CLOCK + ROUTED met1 ( 32430 20230 ) ( 36570 * ) NEW met2 ( 32430 20230 ) ( * 22950 ) @@ -2224,207 +2214,253 @@ NETS 192 ; + ROUTED met1 ( 27370 17850 ) ( 33810 * ) NEW li1 ( 33810 17850 ) L1M1_PR_MR NEW li1 ( 27370 17850 ) L1M1_PR_MR ; - - net14 ( hold11 A ) ( hold1 X ) + USE SIGNAL - + ROUTED met1 ( 12190 31110 ) ( * 31450 ) - NEW met1 ( 8510 31110 ) ( 12190 * ) - NEW li1 ( 12190 31450 ) L1M1_PR_MR - NEW li1 ( 8510 31110 ) L1M1_PR_MR ; - - net15 ( hold13 A ) ( hold2 X ) + USE SIGNAL - + ROUTED met1 ( 44850 9690 ) ( 47150 * ) - NEW met2 ( 44850 9690 ) ( * 19550 ) - NEW li1 ( 47150 9690 ) L1M1_PR_MR - NEW met1 ( 44850 9690 ) M1M2_PR - NEW li1 ( 44850 19550 ) L1M1_PR_MR - NEW met1 ( 44850 19550 ) M1M2_PR - NEW met1 ( 44850 19550 ) RECT ( -355 -70 0 70 ) ; - - net16 ( hold17 A ) ( hold3 X ) + USE SIGNAL - + ROUTED met2 ( 47150 45730 ) ( * 50150 ) - NEW li1 ( 47150 45730 ) L1M1_PR_MR - NEW met1 ( 47150 45730 ) M1M2_PR - NEW li1 ( 47150 50150 ) L1M1_PR_MR - NEW met1 ( 47150 50150 ) M1M2_PR - NEW met1 ( 47150 45730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 47150 50150 ) RECT ( -355 -70 0 70 ) ; - - net17 ( hold14 A ) ( hold4 X ) + USE SIGNAL - + ROUTED met1 ( 21390 40290 ) ( 22310 * ) - NEW met2 ( 22310 40290 ) ( * 42330 ) - NEW li1 ( 21390 40290 ) L1M1_PR_MR - NEW met1 ( 22310 40290 ) M1M2_PR - NEW li1 ( 22310 42330 ) L1M1_PR_MR - NEW met1 ( 22310 42330 ) M1M2_PR - NEW met1 ( 22310 42330 ) RECT ( -355 -70 0 70 ) ; - - net18 ( hold15 A ) ( hold5 X ) + USE SIGNAL + - net14 ( _200_ D ) ( _187_ D ) ( hold2 X ) + USE SIGNAL + + ROUTED met1 ( 18170 6970 ) ( 20010 * ) + NEW met1 ( 18630 31110 ) ( 20010 * ) + NEW met2 ( 20010 24990 ) ( * 31110 ) + NEW met2 ( 20010 6970 ) ( * 24990 ) + NEW met1 ( 20010 6970 ) M1M2_PR + NEW li1 ( 18170 6970 ) L1M1_PR_MR + NEW li1 ( 20010 24990 ) L1M1_PR_MR + NEW met1 ( 20010 24990 ) M1M2_PR + NEW li1 ( 18630 31110 ) L1M1_PR_MR + NEW met1 ( 20010 31110 ) M1M2_PR + NEW met1 ( 20010 24990 ) RECT ( -355 -70 0 70 ) ; + - net15 ( hold2 A ) ( hold1 X ) + USE SIGNAL + + ROUTED met1 ( 11730 30770 ) ( 13800 * ) + NEW met1 ( 13800 30430 ) ( * 30770 ) + NEW met1 ( 13800 30430 ) ( 15410 * ) + NEW met2 ( 15410 26010 ) ( * 30430 ) + NEW met1 ( 15410 26010 ) ( 16790 * ) + NEW li1 ( 11730 30770 ) L1M1_PR_MR + NEW met1 ( 15410 30430 ) M1M2_PR + NEW met1 ( 15410 26010 ) M1M2_PR + NEW li1 ( 16790 26010 ) L1M1_PR_MR ; + - net16 ( _191_ D ) ( hold4 X ) + USE SIGNAL + + ROUTED met1 ( 35650 17850 ) ( 37490 * ) + NEW met2 ( 37490 17850 ) ( * 19550 ) + NEW li1 ( 35650 17850 ) L1M1_PR_MR + NEW met1 ( 37490 17850 ) M1M2_PR + NEW li1 ( 37490 19550 ) L1M1_PR_MR + NEW met1 ( 37490 19550 ) M1M2_PR + NEW met1 ( 37490 19550 ) RECT ( -355 -70 0 70 ) ; + - net17 ( hold4 A ) ( hold3 X ) + USE SIGNAL + + ROUTED met1 ( 40710 20570 ) ( 41630 * ) + NEW li1 ( 40710 20570 ) L1M1_PR_MR + NEW li1 ( 41630 20570 ) L1M1_PR_MR ; + - net18 ( _206_ D ) ( _189_ D ) ( hold6 X ) + USE SIGNAL + + ROUTED met2 ( 34730 36890 ) ( * 38930 ) + NEW met1 ( 34730 38930 ) ( 37030 * ) + NEW met1 ( 34730 34850 ) ( 37090 * ) + NEW met2 ( 34730 34850 ) ( * 36890 ) + NEW li1 ( 34730 36890 ) L1M1_PR_MR + NEW met1 ( 34730 36890 ) M1M2_PR + NEW met1 ( 34730 38930 ) M1M2_PR + NEW li1 ( 37030 38930 ) L1M1_PR_MR + NEW li1 ( 37090 34850 ) L1M1_PR_MR + NEW met1 ( 34730 34850 ) M1M2_PR + NEW met1 ( 34730 36890 ) RECT ( -355 -70 0 70 ) ; + - net19 ( hold6 A ) ( hold5 X ) + USE SIGNAL + + ROUTED met1 ( 40250 39270 ) ( 42090 * ) + NEW met2 ( 42090 39270 ) ( * 44030 ) + NEW met1 ( 42090 44030 ) ( 43930 * ) + NEW li1 ( 40250 39270 ) L1M1_PR_MR + NEW met1 ( 42090 39270 ) M1M2_PR + NEW met1 ( 42090 44030 ) M1M2_PR + NEW li1 ( 43930 44030 ) L1M1_PR_MR ; + - net2 ( _193_ CLK_N ) ( _174__2 Y ) + USE CLOCK + + ROUTED met1 ( 17710 20230 ) ( 21390 * ) + NEW li1 ( 21390 20230 ) L1M1_PR_MR + NEW li1 ( 17710 20230 ) L1M1_PR_MR ; + - net20 ( _197_ D ) ( _188_ D ) ( hold8 X ) + USE SIGNAL + + ROUTED met2 ( 19550 36890 ) ( * 38590 ) + NEW met1 ( 19550 38590 ) ( 25070 * ) + NEW met2 ( 14030 37060 ) ( * 37230 ) + NEW met3 ( 14030 37060 ) ( 19550 * ) + NEW li1 ( 19550 36890 ) L1M1_PR_MR + NEW met1 ( 19550 36890 ) M1M2_PR + NEW met1 ( 19550 38590 ) M1M2_PR + NEW li1 ( 25070 38590 ) L1M1_PR_MR + NEW li1 ( 14030 37230 ) L1M1_PR_MR + NEW met1 ( 14030 37230 ) M1M2_PR + NEW met2 ( 14030 37060 ) M2M3_PR + NEW met2 ( 19550 37060 ) M2M3_PR + NEW met1 ( 19550 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 14030 37230 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 19550 37060 ) RECT ( -70 -485 70 0 ) ; + - net21 ( hold8 A ) ( hold7 X ) + USE SIGNAL + + ROUTED met1 ( 21390 39270 ) ( 21850 * ) + NEW li1 ( 21850 39270 ) L1M1_PR_MR + NEW li1 ( 21390 39270 ) L1M1_PR_MR ; + - net22 ( _198_ D ) ( _183_ D ) ( hold10 X ) + USE SIGNAL + + ROUTED met2 ( 11270 34850 ) ( * 41990 ) + NEW met1 ( 8905 34850 ) ( 11270 * ) + NEW met1 ( 11270 44030 ) ( 13570 * ) + NEW met2 ( 11270 41990 ) ( * 44030 ) + NEW li1 ( 11270 41990 ) L1M1_PR_MR + NEW met1 ( 11270 41990 ) M1M2_PR + NEW met1 ( 11270 34850 ) M1M2_PR + NEW li1 ( 8905 34850 ) L1M1_PR_MR + NEW li1 ( 13570 44030 ) L1M1_PR_MR + NEW met1 ( 11270 44030 ) M1M2_PR + NEW met1 ( 11270 41990 ) RECT ( -355 -70 0 70 ) ; + - net23 ( hold10 A ) ( hold9 X ) + USE SIGNAL + ROUTED met1 ( 9890 44710 ) ( 10350 * ) NEW li1 ( 10350 44710 ) L1M1_PR_MR NEW li1 ( 9890 44710 ) L1M1_PR_MR ; - - net19 ( hold16 A ) ( hold6 X ) + USE SIGNAL + - net24 ( _196_ D ) ( _182_ D ) ( hold12 X ) + USE SIGNAL + + ROUTED met1 ( 9430 49470 ) ( 13570 * ) + NEW met2 ( 9430 39610 ) ( * 49470 ) + NEW met1 ( 10810 52870 ) ( 11270 * ) + NEW met2 ( 10810 49470 ) ( * 52870 ) + NEW li1 ( 13570 49470 ) L1M1_PR_MR + NEW met1 ( 9430 49470 ) M1M2_PR + NEW li1 ( 9430 39610 ) L1M1_PR_MR + NEW met1 ( 9430 39610 ) M1M2_PR + NEW li1 ( 11270 52870 ) L1M1_PR_MR + NEW met1 ( 10810 52870 ) M1M2_PR + NEW met1 ( 10810 49470 ) M1M2_PR + NEW met1 ( 9430 39610 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 10810 49470 ) RECT ( -595 -70 0 70 ) ; + - net25 ( hold12 A ) ( hold11 X ) + USE SIGNAL + ROUTED met1 ( 9890 50150 ) ( 10350 * ) NEW li1 ( 10350 50150 ) L1M1_PR_MR NEW li1 ( 9890 50150 ) L1M1_PR_MR ; - - net2 ( _193_ CLK_N ) ( _174__2 Y ) + USE CLOCK - + ROUTED met1 ( 20470 20570 ) ( 21390 * ) - NEW met2 ( 20470 20570 ) ( * 22270 ) - NEW met1 ( 17710 22270 ) ( 20470 * ) - NEW li1 ( 21390 20570 ) L1M1_PR_MR - NEW met1 ( 20470 20570 ) M1M2_PR - NEW met1 ( 20470 22270 ) M1M2_PR - NEW li1 ( 17710 22270 ) L1M1_PR_MR ; - - net20 ( hold18 A ) ( hold7 X ) + USE SIGNAL - + ROUTED met1 ( 21390 33830 ) ( 21850 * ) - NEW li1 ( 21850 33830 ) L1M1_PR_MR - NEW li1 ( 21390 33830 ) L1M1_PR_MR ; - - net21 ( _184_ D ) ( hold8 X ) + USE SIGNAL - + ROUTED met1 ( 36570 13090 ) ( 41630 * ) - NEW met2 ( 36110 40460 ) ( 36570 * ) - NEW met2 ( 36110 40460 ) ( * 45730 ) - NEW met1 ( 34270 45730 ) ( 36110 * ) - NEW met2 ( 34270 45730 ) ( * 50490 ) - NEW met1 ( 32430 50490 ) ( 34270 * ) - NEW met2 ( 36570 13090 ) ( * 40460 ) - NEW li1 ( 41630 13090 ) L1M1_PR_MR - NEW met1 ( 36570 13090 ) M1M2_PR - NEW met1 ( 36110 45730 ) M1M2_PR - NEW met1 ( 34270 45730 ) M1M2_PR - NEW met1 ( 34270 50490 ) M1M2_PR - NEW li1 ( 32430 50490 ) L1M1_PR_MR ; - - net22 ( hold19 A ) ( hold9 X ) + USE SIGNAL - + ROUTED met1 ( 46690 40290 ) ( 47150 * ) - NEW met2 ( 46690 40290 ) ( * 53210 ) - NEW li1 ( 47150 40290 ) L1M1_PR_MR - NEW met1 ( 46690 40290 ) M1M2_PR - NEW li1 ( 46690 53210 ) L1M1_PR_MR - NEW met1 ( 46690 53210 ) M1M2_PR - NEW met1 ( 46690 53210 ) RECT ( -355 -70 0 70 ) ; - - net23 ( hold20 A ) ( hold10 X ) + USE SIGNAL - + ROUTED met1 ( 25530 34170 ) ( 33350 * ) - NEW met2 ( 33350 34170 ) ( * 39270 ) - NEW li1 ( 25530 34170 ) L1M1_PR_MR - NEW met1 ( 33350 34170 ) M1M2_PR - NEW li1 ( 33350 39270 ) L1M1_PR_MR - NEW met1 ( 33350 39270 ) M1M2_PR - NEW met1 ( 33350 39270 ) RECT ( -355 -70 0 70 ) ; - - net24 ( _187_ D ) ( hold11 X ) + USE SIGNAL - + ROUTED met1 ( 15410 31110 ) ( 18630 * ) - NEW li1 ( 18630 31110 ) L1M1_PR_MR - NEW li1 ( 15410 31110 ) L1M1_PR_MR ; - - net25 ( hold1 A ) ( hold12 X ) + USE SIGNAL - + ROUTED met2 ( 12190 29410 ) ( * 29580 ) - NEW met2 ( 11730 29580 ) ( 12190 * ) - NEW met2 ( 11730 29580 ) ( * 31450 ) - NEW met1 ( 12190 29410 ) ( 21390 * ) - NEW met1 ( 12190 29410 ) M1M2_PR - NEW li1 ( 11730 31450 ) L1M1_PR_MR - NEW met1 ( 11730 31450 ) M1M2_PR - NEW li1 ( 21390 29410 ) L1M1_PR_MR - NEW met1 ( 11730 31450 ) RECT ( -355 -70 0 70 ) ; - - net26 ( _191_ D ) ( hold13 X ) + USE SIGNAL - + ROUTED met1 ( 35650 9350 ) ( 43930 * ) - NEW met2 ( 35650 9350 ) ( * 17510 ) - NEW li1 ( 43930 9350 ) L1M1_PR_MR - NEW met1 ( 35650 9350 ) M1M2_PR - NEW li1 ( 35650 17510 ) L1M1_PR_MR - NEW met1 ( 35650 17510 ) M1M2_PR - NEW met1 ( 35650 17510 ) RECT ( -355 -70 0 70 ) ; - - net27 ( _188_ D ) ( hold14 X ) + USE SIGNAL - + ROUTED met2 ( 19550 36550 ) ( * 37570 ) - NEW met1 ( 19550 37570 ) ( 23230 * ) - NEW met2 ( 23230 37570 ) ( * 41310 ) - NEW met1 ( 23230 41310 ) ( 25530 * ) - NEW li1 ( 19550 36550 ) L1M1_PR_MR - NEW met1 ( 19550 36550 ) M1M2_PR - NEW met1 ( 19550 37570 ) M1M2_PR - NEW met1 ( 23230 37570 ) M1M2_PR - NEW met1 ( 23230 41310 ) M1M2_PR - NEW li1 ( 25530 41310 ) L1M1_PR_MR - NEW met1 ( 19550 36550 ) RECT ( -355 -70 0 70 ) ; - - net28 ( _183_ D ) ( hold15 X ) + USE SIGNAL - + ROUTED met2 ( 11270 42330 ) ( * 44030 ) - NEW met1 ( 11270 44030 ) ( 13570 * ) - NEW li1 ( 11270 42330 ) L1M1_PR_MR - NEW met1 ( 11270 42330 ) M1M2_PR - NEW met1 ( 11270 44030 ) M1M2_PR - NEW li1 ( 13570 44030 ) L1M1_PR_MR - NEW met1 ( 11270 42330 ) RECT ( -355 -70 0 70 ) ; - - net29 ( _182_ D ) ( hold16 X ) + USE SIGNAL - + ROUTED met1 ( 12190 51170 ) ( 13570 * ) - NEW met2 ( 12190 51170 ) ( * 52870 ) - NEW met1 ( 11270 52870 ) ( 12190 * ) - NEW li1 ( 13570 51170 ) L1M1_PR_MR - NEW met1 ( 12190 51170 ) M1M2_PR - NEW met1 ( 12190 52870 ) M1M2_PR - NEW li1 ( 11270 52870 ) L1M1_PR_MR ; - - net3 ( _194_ CLK_N ) ( _179__3 Y ) + USE CLOCK - + ROUTED met1 ( 27370 12070 ) ( 28750 * ) - NEW li1 ( 28750 12070 ) L1M1_PR_MR - NEW li1 ( 27370 12070 ) L1M1_PR_MR ; - - net30 ( _189_ D ) ( hold17 X ) + USE SIGNAL - + ROUTED met1 ( 42090 49810 ) ( 43930 * ) - NEW met3 ( 36110 38420 ) ( 42090 * ) - NEW met2 ( 36110 36550 ) ( * 38420 ) - NEW met1 ( 34730 36550 ) ( 36110 * ) - NEW met2 ( 42090 38420 ) ( * 49810 ) - NEW met1 ( 42090 49810 ) M1M2_PR - NEW li1 ( 43930 49810 ) L1M1_PR_MR - NEW met2 ( 42090 38420 ) M2M3_PR - NEW met2 ( 36110 38420 ) M2M3_PR - NEW met1 ( 36110 36550 ) M1M2_PR - NEW li1 ( 34730 36550 ) L1M1_PR_MR ; - - net31 ( _186_ D ) ( hold18 X ) + USE SIGNAL - + ROUTED met1 ( 20470 34170 ) ( 25070 * ) + - net26 ( _199_ D ) ( _186_ D ) ( hold14 X ) + USE SIGNAL + + ROUTED met1 ( 20470 34170 ) ( 21850 * ) NEW met2 ( 20470 34170 ) ( * 47430 ) NEW met1 ( 19550 47430 ) ( 20470 * ) - NEW li1 ( 25070 34170 ) L1M1_PR_MR + NEW met2 ( 15870 28050 ) ( * 33830 ) + NEW met1 ( 15870 33830 ) ( 20470 * ) + NEW met1 ( 20470 33830 ) ( * 34170 ) + NEW li1 ( 21850 34170 ) L1M1_PR_MR NEW met1 ( 20470 34170 ) M1M2_PR NEW met1 ( 20470 47430 ) M1M2_PR - NEW li1 ( 19550 47430 ) L1M1_PR_MR ; - - net32 ( _185_ D ) ( hold19 X ) + USE SIGNAL - + ROUTED met1 ( 36570 47430 ) ( 37950 * ) - NEW met2 ( 37950 47430 ) ( * 50830 ) - NEW met1 ( 37950 50830 ) ( 43470 * ) - NEW met2 ( 43470 50830 ) ( * 52190 ) - NEW li1 ( 36570 47430 ) L1M1_PR_MR - NEW met1 ( 37950 47430 ) M1M2_PR - NEW met1 ( 37950 50830 ) M1M2_PR - NEW met1 ( 43470 50830 ) M1M2_PR - NEW li1 ( 43470 52190 ) L1M1_PR_MR - NEW met1 ( 43470 52190 ) M1M2_PR - NEW met1 ( 43470 52190 ) RECT ( -355 -70 0 70 ) ; - - net33 ( _190_ D ) ( hold20 X ) + USE SIGNAL - + ROUTED met1 ( 34270 23290 ) ( 35650 * ) - NEW met2 ( 35650 23290 ) ( * 38590 ) - NEW met1 ( 35650 38590 ) ( 36570 * ) - NEW li1 ( 34270 23290 ) L1M1_PR_MR - NEW met1 ( 35650 23290 ) M1M2_PR - NEW met1 ( 35650 38590 ) M1M2_PR - NEW li1 ( 36570 38590 ) L1M1_PR_MR ; - - net34 ( _194_ D ) ( hold21 X ) + USE SIGNAL - + ROUTED met2 ( 30590 7650 ) ( * 12070 ) - NEW li1 ( 30590 7650 ) L1M1_PR_MR - NEW met1 ( 30590 7650 ) M1M2_PR + NEW li1 ( 19550 47430 ) L1M1_PR_MR + NEW li1 ( 15870 28050 ) L1M1_PR_MR + NEW met1 ( 15870 28050 ) M1M2_PR + NEW met1 ( 15870 33830 ) M1M2_PR + NEW met1 ( 15870 28050 ) RECT ( -355 -70 0 70 ) ; + - net27 ( hold14 A ) ( hold13 X ) + USE SIGNAL + + ROUTED met1 ( 21390 33830 ) ( 25070 * ) + NEW li1 ( 25070 33830 ) L1M1_PR_MR + NEW li1 ( 21390 33830 ) L1M1_PR_MR ; + - net28 ( _204_ D ) ( _184_ D ) ( hold16 X ) + USE SIGNAL + + ROUTED met1 ( 32430 44370 ) ( 32890 * ) + NEW met2 ( 32890 26690 ) ( * 44370 ) + NEW met1 ( 32890 26690 ) ( 37490 * ) + NEW met1 ( 32430 50150 ) ( 32890 * ) + NEW met2 ( 32890 44370 ) ( * 50150 ) + NEW li1 ( 32430 44370 ) L1M1_PR_MR + NEW met1 ( 32890 44370 ) M1M2_PR + NEW met1 ( 32890 26690 ) M1M2_PR + NEW li1 ( 37490 26690 ) L1M1_PR_MR + NEW li1 ( 32430 50150 ) L1M1_PR_MR + NEW met1 ( 32890 50150 ) M1M2_PR ; + - net29 ( hold16 A ) ( hold15 X ) + USE SIGNAL + + ROUTED met1 ( 40710 12410 ) ( 41630 * ) + NEW met2 ( 40710 12410 ) ( * 26010 ) + NEW li1 ( 41630 12410 ) L1M1_PR_MR + NEW met1 ( 40710 12410 ) M1M2_PR + NEW li1 ( 40710 26010 ) L1M1_PR_MR + NEW met1 ( 40710 26010 ) M1M2_PR + NEW met1 ( 40710 26010 ) RECT ( -355 -70 0 70 ) ; + - net3 ( _194_ CLK_N ) ( _179__3 Y ) + USE CLOCK + + ROUTED met2 ( 27370 6630 ) ( * 12410 ) + NEW met1 ( 27370 12410 ) ( 28750 * ) + NEW li1 ( 27370 6630 ) L1M1_PR_MR + NEW met1 ( 27370 6630 ) M1M2_PR + NEW met1 ( 27370 12410 ) M1M2_PR + NEW li1 ( 28750 12410 ) L1M1_PR_MR + NEW met1 ( 27370 6630 ) RECT ( 0 -70 355 70 ) ; + - net30 ( hold22 A ) ( _205_ D ) ( hold17 X ) + USE SIGNAL + + ROUTED met2 ( 47150 40290 ) ( * 50150 ) + NEW met1 ( 38410 41990 ) ( 47150 * ) + NEW li1 ( 47150 40290 ) L1M1_PR_MR + NEW met1 ( 47150 40290 ) M1M2_PR + NEW li1 ( 47150 50150 ) L1M1_PR_MR + NEW met1 ( 47150 50150 ) M1M2_PR + NEW li1 ( 38410 41990 ) L1M1_PR_MR + NEW met1 ( 47150 41990 ) M1M2_PR + NEW met1 ( 47150 40290 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 47150 50150 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 47150 41990 ) RECT ( -70 -485 70 0 ) ; + - net31 ( hold23 A ) ( _207_ D ) ( hold18 X ) + USE SIGNAL + + ROUTED met1 ( 28750 33150 ) ( 29670 * ) + NEW met2 ( 29670 15470 ) ( * 33150 ) + NEW met1 ( 29670 15470 ) ( 32890 * ) + NEW met1 ( 30130 39270 ) ( 33350 * ) + NEW met2 ( 30130 33150 ) ( * 39270 ) + NEW met2 ( 29670 33150 ) ( 30130 * ) + NEW li1 ( 28750 33150 ) L1M1_PR_MR + NEW met1 ( 29670 33150 ) M1M2_PR + NEW met1 ( 29670 15470 ) M1M2_PR + NEW li1 ( 32890 15470 ) L1M1_PR_MR + NEW li1 ( 33350 39270 ) L1M1_PR_MR + NEW met1 ( 30130 39270 ) M1M2_PR ; + - net32 ( _203_ D ) ( _194_ D ) ( hold19 X ) + USE SIGNAL + + ROUTED met1 ( 30590 9350 ) ( 32430 * ) + NEW met2 ( 30590 9350 ) ( * 12070 ) + NEW met1 ( 32430 7650 ) ( 33810 * ) + NEW met2 ( 32430 7650 ) ( * 9350 ) + NEW li1 ( 32430 9350 ) L1M1_PR_MR + NEW met1 ( 30590 9350 ) M1M2_PR NEW li1 ( 30590 12070 ) L1M1_PR_MR NEW met1 ( 30590 12070 ) M1M2_PR - NEW met1 ( 30590 7650 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30590 12070 ) RECT ( -355 -70 0 70 ) ; - - net35 ( _193_ D ) ( hold22 X ) + USE SIGNAL - + ROUTED met1 ( 23230 18530 ) ( 31970 * ) - NEW met2 ( 23230 18530 ) ( * 20230 ) - NEW li1 ( 31970 18530 ) L1M1_PR_MR - NEW met1 ( 23230 18530 ) M1M2_PR + NEW li1 ( 33810 7650 ) L1M1_PR_MR + NEW met1 ( 32430 7650 ) M1M2_PR + NEW met1 ( 32430 9350 ) M1M2_PR + NEW met1 ( 30590 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 32430 9350 ) RECT ( -595 -70 0 70 ) ; + - net33 ( _202_ D ) ( _193_ D ) ( hold20 X ) + USE SIGNAL + + ROUTED met1 ( 22770 10030 ) ( 23230 * ) + NEW met1 ( 23230 20230 ) ( 24150 * ) + NEW met2 ( 24150 15300 ) ( * 20230 ) + NEW met2 ( 23230 15300 ) ( 24150 * ) + NEW met1 ( 25070 18190 ) ( 28750 * ) + NEW met1 ( 25070 17510 ) ( * 18190 ) + NEW met1 ( 24150 17510 ) ( 25070 * ) + NEW met2 ( 23230 10030 ) ( * 15300 ) + NEW met1 ( 23230 10030 ) M1M2_PR + NEW li1 ( 22770 10030 ) L1M1_PR_MR NEW li1 ( 23230 20230 ) L1M1_PR_MR - NEW met1 ( 23230 20230 ) M1M2_PR - NEW met1 ( 23230 20230 ) RECT ( -355 -70 0 70 ) ; - - net36 ( _192_ D ) ( hold23 X ) + USE SIGNAL - + ROUTED met2 ( 30130 5950 ) ( * 6460 ) - NEW met2 ( 29670 6460 ) ( 30130 * ) - NEW met1 ( 30130 5950 ) ( 37490 * ) - NEW met1 ( 18630 14110 ) ( 29670 * ) - NEW met1 ( 18630 14110 ) ( * 14790 ) - NEW met1 ( 18630 14790 ) ( 20010 * ) - NEW met2 ( 29670 6460 ) ( * 14110 ) - NEW met1 ( 30130 5950 ) M1M2_PR - NEW li1 ( 37490 5950 ) L1M1_PR_MR - NEW met1 ( 29670 14110 ) M1M2_PR - NEW li1 ( 20010 14790 ) L1M1_PR_MR ; + NEW met1 ( 24150 20230 ) M1M2_PR + NEW li1 ( 28750 18190 ) L1M1_PR_MR + NEW met1 ( 24150 17510 ) M1M2_PR + NEW met2 ( 24150 17510 ) RECT ( -70 -485 70 0 ) ; + - net34 ( _201_ D ) ( _192_ D ) ( hold21 X ) + USE SIGNAL + + ROUTED met2 ( 18170 4930 ) ( * 11730 ) + NEW met1 ( 18170 4930 ) ( 34270 * ) + NEW met2 ( 34270 4930 ) ( * 5950 ) + NEW met1 ( 18170 14450 ) ( * 14790 ) + NEW met1 ( 18170 14790 ) ( 20010 * ) + NEW met2 ( 18170 11730 ) ( * 14450 ) + NEW li1 ( 18170 11730 ) L1M1_PR_MR + NEW met1 ( 18170 11730 ) M1M2_PR + NEW met1 ( 18170 4930 ) M1M2_PR + NEW met1 ( 34270 4930 ) M1M2_PR + NEW li1 ( 34270 5950 ) L1M1_PR_MR + NEW met1 ( 34270 5950 ) M1M2_PR + NEW met1 ( 18170 14450 ) M1M2_PR + NEW li1 ( 20010 14790 ) L1M1_PR_MR + NEW met1 ( 18170 11730 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 34270 5950 ) RECT ( -355 -70 0 70 ) ; + - net35 ( _185_ D ) ( hold22 X ) + USE SIGNAL + + ROUTED met1 ( 36570 47430 ) ( 39330 * ) + NEW met2 ( 39330 47430 ) ( * 49470 ) + NEW met1 ( 39330 49470 ) ( 43930 * ) + NEW li1 ( 36570 47430 ) L1M1_PR_MR + NEW met1 ( 39330 47430 ) M1M2_PR + NEW met1 ( 39330 49470 ) M1M2_PR + NEW li1 ( 43930 49470 ) L1M1_PR_MR ; + - net36 ( _190_ D ) ( hold23 X ) + USE SIGNAL + + ROUTED met1 ( 34270 23290 ) ( 35190 * ) + NEW met2 ( 35190 23290 ) ( * 38590 ) + NEW met1 ( 35190 38590 ) ( 36570 * ) + NEW li1 ( 34270 23290 ) L1M1_PR_MR + NEW met1 ( 35190 23290 ) M1M2_PR + NEW met1 ( 35190 38590 ) M1M2_PR + NEW li1 ( 36570 38590 ) L1M1_PR_MR ; - net4 ( _182_ CLK_N ) ( _113__4 Y ) + USE CLOCK + ROUTED met1 ( 9430 53210 ) ( 10350 * ) NEW met2 ( 10350 53210 ) ( * 54910 ) @@ -2451,13 +2487,13 @@ NETS 192 ; NEW met1 ( 30590 50150 ) M1M2_PR NEW met1 ( 30590 50150 ) RECT ( -355 -70 0 70 ) ; - net7 ( _185_ CLK_N ) ( _131__7 Y ) + USE CLOCK - + ROUTED met1 ( 34730 47770 ) ( 36110 * ) - NEW met2 ( 36110 47770 ) ( * 55250 ) - NEW met1 ( 36110 55250 ) ( 37950 * ) + + ROUTED met2 ( 34730 47770 ) ( * 52530 ) + NEW met1 ( 34730 52530 ) ( 37950 * ) NEW li1 ( 34730 47770 ) L1M1_PR_MR - NEW met1 ( 36110 47770 ) M1M2_PR - NEW met1 ( 36110 55250 ) M1M2_PR - NEW li1 ( 37950 55250 ) L1M1_PR_MR ; + NEW met1 ( 34730 47770 ) M1M2_PR + NEW met1 ( 34730 52530 ) M1M2_PR + NEW li1 ( 37950 52530 ) L1M1_PR_MR + NEW met1 ( 34730 47770 ) RECT ( 0 -70 355 70 ) ; - net8 ( _186_ CLK_N ) ( _136__8 Y ) + USE CLOCK + ROUTED met1 ( 17710 45730 ) ( 20010 * ) NEW met2 ( 17710 45730 ) ( * 47430 ) @@ -2475,18 +2511,18 @@ NETS 192 ; NEW met1 ( 16790 31450 ) RECT ( -355 -70 0 70 ) NEW met1 ( 16790 35870 ) RECT ( -355 -70 0 70 ) ; - one ( PIN one ) ( const_source HI ) ( _107_ A ) + USE SIGNAL - + ROUTED met1 ( 46690 11390 ) ( 69230 * ) - NEW met2 ( 69230 6460 ) ( * 11390 ) - NEW met3 ( 69230 6460 ) ( 70380 * 0 ) - NEW met1 ( 40710 6970 ) ( 46690 * ) + + ROUTED met2 ( 83030 6460 ) ( * 6970 ) + NEW met3 ( 83030 6460 ) ( 83260 * 0 ) NEW met2 ( 46690 6970 ) ( * 11390 ) + NEW met1 ( 46230 6970 ) ( 83030 * ) + NEW met1 ( 83030 6970 ) M1M2_PR + NEW met2 ( 83030 6460 ) M2M3_PR + NEW li1 ( 46230 6970 ) L1M1_PR_MR NEW li1 ( 46690 11390 ) L1M1_PR_MR - NEW met1 ( 69230 11390 ) M1M2_PR - NEW met2 ( 69230 6460 ) M2M3_PR - NEW li1 ( 40710 6970 ) L1M1_PR_MR - NEW met1 ( 46690 6970 ) M1M2_PR NEW met1 ( 46690 11390 ) M1M2_PR - NEW met1 ( 46690 11390 ) RECT ( -595 -70 0 70 ) ; + NEW met1 ( 46690 6970 ) M1M2_PR + NEW met1 ( 46690 11390 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 46690 6970 ) RECT ( -595 -70 0 70 ) ; - pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( _192_ Q ) + USE SIGNAL + ROUTED met3 ( 31970 11900 ) ( 34500 * ) NEW met3 ( 34500 11900 ) ( * 12580 ) @@ -2497,71 +2533,64 @@ NETS 192 ; NEW met1 ( 31970 14110 ) M1M2_PR NEW li1 ( 30190 14110 ) L1M1_PR_MR ; - pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( _194_ Q ) + USE SIGNAL - + ROUTED met1 ( 82800 11390 ) ( 83490 * ) - NEW met1 ( 82800 11390 ) ( * 11730 ) - NEW met1 ( 40770 11730 ) ( 82800 * ) - NEW met1 ( 69230 14450 ) ( 83490 * ) - NEW met2 ( 69230 14450 ) ( * 14620 ) - NEW met3 ( 69230 14620 ) ( 70380 * 0 ) - NEW met2 ( 83490 11390 ) ( * 14450 ) - NEW met1 ( 83490 11390 ) M1M2_PR - NEW li1 ( 40770 11730 ) L1M1_PR_MR - NEW met1 ( 83490 14450 ) M1M2_PR - NEW met1 ( 69230 14450 ) M1M2_PR - NEW met2 ( 69230 14620 ) M2M3_PR ; + + ROUTED met1 ( 40710 13090 ) ( 40750 * ) + NEW met1 ( 40710 12750 ) ( * 13090 ) + NEW met1 ( 40710 12750 ) ( 41170 * ) + NEW met2 ( 41170 12750 ) ( * 14620 ) + NEW met3 ( 41170 14620 ) ( 70380 * 0 ) + NEW li1 ( 40750 13090 ) L1M1_PR_MR + NEW met1 ( 41170 12750 ) M1M2_PR + NEW met2 ( 41170 14620 ) M2M3_PR ; - pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( _193_ Q ) + USE SIGNAL - + ROUTED met2 ( 31970 16660 ) ( * 20570 ) - NEW met1 ( 31970 20570 ) ( 33410 * ) - NEW met3 ( 31970 16660 ) ( 70380 * 0 ) - NEW met2 ( 31970 16660 ) M2M3_PR - NEW met1 ( 31970 20570 ) M1M2_PR - NEW li1 ( 33410 20570 ) L1M1_PR_MR ; + + ROUTED met2 ( 34270 16660 ) ( * 19550 ) + NEW met1 ( 33410 19550 ) ( 34270 * ) + NEW met3 ( 34270 16660 ) ( 70380 * 0 ) + NEW met2 ( 34270 16660 ) M2M3_PR + NEW met1 ( 34270 19550 ) M1M2_PR + NEW li1 ( 33410 19550 ) L1M1_PR_MR ; - pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( _189_ Q ) + USE SIGNAL - + ROUTED met2 ( 45770 18700 ) ( * 35870 ) - NEW met1 ( 44910 35870 ) ( 45770 * ) - NEW met3 ( 45770 18700 ) ( 70380 * 0 ) - NEW met2 ( 45770 18700 ) M2M3_PR - NEW met1 ( 45770 35870 ) M1M2_PR - NEW li1 ( 44910 35870 ) L1M1_PR_MR ; + + ROUTED met2 ( 44850 18700 ) ( * 35870 ) + NEW met1 ( 44850 35870 ) ( 44890 * ) + NEW met3 ( 44850 18700 ) ( 70380 * 0 ) + NEW met2 ( 44850 18700 ) M2M3_PR + NEW met1 ( 44850 35870 ) M1M2_PR + NEW li1 ( 44890 35870 ) L1M1_PR_MR + NEW met1 ( 44890 35870 ) RECT ( 0 -70 315 70 ) ; - pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( _190_ Q ) ( _101_ B ) + USE SIGNAL + ROUTED met2 ( 69230 20740 ) ( * 23970 ) NEW met3 ( 69230 20740 ) ( 70380 * 0 ) - NEW met1 ( 46230 25670 ) ( * 26010 ) - NEW met1 ( 46230 25670 ) ( 49450 * ) - NEW met1 ( 49450 23970 ) ( * 25670 ) - NEW met1 ( 44450 23970 ) ( 69230 * ) + NEW met1 ( 62100 23970 ) ( 69230 * ) + NEW met1 ( 46690 26010 ) ( 62100 * ) + NEW met1 ( 62100 23970 ) ( * 26010 ) + NEW met1 ( 44450 23970 ) ( 49450 * ) + NEW met1 ( 49450 23970 ) ( * 26010 ) NEW met1 ( 69230 23970 ) M1M2_PR NEW met2 ( 69230 20740 ) M2M3_PR - NEW li1 ( 44450 23970 ) L1M1_PR_MR - NEW li1 ( 46230 26010 ) L1M1_PR_MR ; + NEW li1 ( 46690 26010 ) L1M1_PR_MR + NEW li1 ( 44450 23970 ) L1M1_PR_MR ; - pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( _191_ Q ) ( _104_ A1 ) ( _103_ A1 ) + USE SIGNAL - + ROUTED met1 ( 45830 18530 ) ( 47610 * ) - NEW met2 ( 47610 18530 ) ( * 22780 ) - NEW met1 ( 46690 28390 ) ( 48070 * ) - NEW met2 ( 48070 22780 ) ( * 28390 ) - NEW met2 ( 47610 22780 ) ( 48070 * ) - NEW met1 ( 46690 31450 ) ( 48070 * ) - NEW met2 ( 48070 28390 ) ( * 31450 ) - NEW met3 ( 47610 22780 ) ( 70380 * 0 ) + + ROUTED met1 ( 45830 18530 ) ( 49450 * ) + NEW met2 ( 49450 18530 ) ( * 22780 ) + NEW met1 ( 46690 28390 ) ( 49450 * ) + NEW met2 ( 49450 22780 ) ( * 28390 ) + NEW met1 ( 46690 31450 ) ( 49450 * ) + NEW met2 ( 49450 28390 ) ( * 31450 ) + NEW met3 ( 49450 22780 ) ( 70380 * 0 ) NEW li1 ( 45830 18530 ) L1M1_PR_MR - NEW met1 ( 47610 18530 ) M1M2_PR - NEW met2 ( 47610 22780 ) M2M3_PR + NEW met1 ( 49450 18530 ) M1M2_PR + NEW met2 ( 49450 22780 ) M2M3_PR NEW li1 ( 46690 28390 ) L1M1_PR_MR - NEW met1 ( 48070 28390 ) M1M2_PR + NEW met1 ( 49450 28390 ) M1M2_PR NEW li1 ( 46690 31450 ) L1M1_PR_MR - NEW met1 ( 48070 31450 ) M1M2_PR ; + NEW met1 ( 49450 31450 ) M1M2_PR ; - pad_gpio_holdover ( PIN pad_gpio_holdover ) ( _183_ Q ) + USE SIGNAL + ROUTED met3 ( 62100 24820 ) ( 70380 * 0 ) NEW met3 ( 62100 24820 ) ( * 25500 ) - NEW met3 ( 39330 25500 ) ( 62100 * ) - NEW met2 ( 39330 25500 ) ( * 27710 ) - NEW met1 ( 24610 27710 ) ( 39330 * ) - NEW met2 ( 24610 27710 ) ( * 41650 ) - NEW met1 ( 21450 41650 ) ( 24610 * ) - NEW met2 ( 39330 25500 ) M2M3_PR - NEW met1 ( 39330 27710 ) M1M2_PR - NEW met1 ( 24610 27710 ) M1M2_PR - NEW met1 ( 24610 41650 ) M1M2_PR + NEW met2 ( 24150 25500 ) ( * 41650 ) + NEW met1 ( 21450 41650 ) ( 24150 * ) + NEW met3 ( 24150 25500 ) ( 62100 * ) + NEW met2 ( 24150 25500 ) M2M3_PR + NEW met1 ( 24150 41650 ) M1M2_PR NEW li1 ( 21450 41650 ) L1M1_PR_MR ; - pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( _187_ Q ) + USE SIGNAL + ROUTED met2 ( 41170 26860 ) ( * 30770 ) @@ -2571,47 +2600,45 @@ NETS 192 ; NEW met1 ( 41170 30770 ) M1M2_PR NEW li1 ( 28810 30770 ) L1M1_PR_MR ; - pad_gpio_in ( PIN pad_gpio_in ) ( ANTENNA__106__A DIODE ) ( ANTENNA__211__A DIODE ) ( _211_ A ) ( _106_ A ) + USE SIGNAL - + ROUTED met2 ( 68770 20570 ) ( * 28900 ) - NEW met3 ( 68770 28900 ) ( 70380 * 0 ) - NEW met1 ( 62100 20570 ) ( 68770 * ) - NEW met1 ( 21850 19550 ) ( 38870 * ) - NEW met1 ( 21850 19550 ) ( * 19890 ) - NEW met1 ( 17710 19890 ) ( 21850 * ) - NEW met2 ( 17710 15810 ) ( * 19890 ) - NEW met1 ( 46230 20230 ) ( * 20570 ) - NEW met1 ( 38870 20230 ) ( 46230 * ) - NEW met1 ( 38870 19550 ) ( * 20230 ) - NEW met2 ( 43930 15470 ) ( * 20230 ) - NEW met1 ( 62100 20230 ) ( * 20570 ) - NEW met1 ( 46230 20230 ) ( 62100 * ) - NEW met1 ( 68770 20570 ) M1M2_PR - NEW met2 ( 68770 28900 ) M2M3_PR - NEW li1 ( 38870 19550 ) L1M1_PR_MR - NEW met1 ( 17710 19890 ) M1M2_PR - NEW li1 ( 17710 15810 ) L1M1_PR_MR - NEW met1 ( 17710 15810 ) M1M2_PR + + ROUTED met1 ( 40710 6290 ) ( 43930 * ) + NEW met2 ( 47610 28900 ) ( * 29070 ) + NEW met2 ( 46230 20570 ) ( * 21420 ) + NEW met2 ( 46230 21420 ) ( 46690 * ) + NEW met2 ( 46690 21420 ) ( * 29070 ) + NEW met1 ( 46690 29070 ) ( 47610 * ) + NEW met1 ( 43930 14790 ) ( 46230 * ) + NEW met2 ( 46230 14790 ) ( * 20570 ) + NEW met2 ( 43930 6290 ) ( * 14790 ) + NEW met3 ( 47610 28900 ) ( 70380 * 0 ) + NEW li1 ( 40710 6290 ) L1M1_PR_MR + NEW met1 ( 43930 6290 ) M1M2_PR + NEW li1 ( 47610 29070 ) L1M1_PR_MR + NEW met1 ( 47610 29070 ) M1M2_PR + NEW met2 ( 47610 28900 ) M2M3_PR NEW li1 ( 46230 20570 ) L1M1_PR_MR - NEW li1 ( 43930 15470 ) L1M1_PR_MR - NEW met1 ( 43930 15470 ) M1M2_PR - NEW met1 ( 43930 20230 ) M1M2_PR - NEW met1 ( 17710 15810 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 15470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 20230 ) RECT ( -595 -70 0 70 ) ; + NEW met1 ( 46230 20570 ) M1M2_PR + NEW met1 ( 46690 29070 ) M1M2_PR + NEW li1 ( 43930 14790 ) L1M1_PR_MR + NEW met1 ( 46230 14790 ) M1M2_PR + NEW met1 ( 43930 14790 ) M1M2_PR + NEW met1 ( 47610 29070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 46230 20570 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 43930 14790 ) RECT ( -595 -70 0 70 ) ; - pad_gpio_inenb ( PIN pad_gpio_inenb ) ( ANTENNA__096__A DIODE ) ( _186_ Q ) ( _096_ A ) + USE SIGNAL - + ROUTED met2 ( 45310 34850 ) ( * 46750 ) - NEW met1 ( 35190 46750 ) ( 45310 * ) - NEW met1 ( 35190 46750 ) ( * 47090 ) - NEW met1 ( 29730 47090 ) ( 35190 * ) - NEW met1 ( 45310 34850 ) ( 47150 * ) - NEW met2 ( 45310 30940 ) ( * 34850 ) - NEW met3 ( 45310 30940 ) ( 70380 * 0 ) - NEW li1 ( 45310 34850 ) L1M1_PR_MR - NEW met1 ( 45310 34850 ) M1M2_PR - NEW met1 ( 45310 46750 ) M1M2_PR - NEW li1 ( 29730 47090 ) L1M1_PR_MR + + ROUTED met1 ( 43470 34850 ) ( 44390 * ) + NEW met2 ( 43470 34850 ) ( * 46750 ) + NEW met1 ( 29730 46750 ) ( 43470 * ) + NEW met1 ( 44390 34850 ) ( 47150 * ) + NEW met2 ( 47610 30940 ) ( * 34850 ) + NEW met1 ( 47150 34850 ) ( 47610 * ) + NEW met3 ( 47610 30940 ) ( 70380 * 0 ) + NEW li1 ( 44390 34850 ) L1M1_PR_MR + NEW met1 ( 43470 34850 ) M1M2_PR + NEW met1 ( 43470 46750 ) M1M2_PR + NEW li1 ( 29730 46750 ) L1M1_PR_MR NEW li1 ( 47150 34850 ) L1M1_PR_MR - NEW met2 ( 45310 30940 ) M2M3_PR - NEW met1 ( 45310 34850 ) RECT ( -355 -70 0 70 ) ; + NEW met2 ( 47610 30940 ) M2M3_PR + NEW met1 ( 47610 34850 ) M1M2_PR ; - pad_gpio_out ( PIN pad_gpio_out ) ( _105_ Y ) + USE SIGNAL + ROUTED met2 ( 68770 32980 ) ( * 34170 ) NEW met3 ( 68770 32980 ) ( 70380 * 0 ) @@ -2620,23 +2647,21 @@ NETS 192 ; NEW met2 ( 68770 32980 ) M2M3_PR NEW li1 ( 41170 34170 ) L1M1_PR_MR ; - pad_gpio_outenb ( PIN pad_gpio_outenb ) ( _099_ X ) + USE SIGNAL - + ROUTED met2 ( 40710 35020 ) ( * 39100 ) - NEW met3 ( 39330 39100 ) ( 40710 * ) - NEW met2 ( 39330 39100 ) ( * 39270 ) - NEW met1 ( 39330 39270 ) ( 40250 * ) - NEW met3 ( 40710 35020 ) ( 70380 * 0 ) - NEW met2 ( 40710 35020 ) M2M3_PR - NEW met2 ( 40710 39100 ) M2M3_PR - NEW met2 ( 39330 39100 ) M2M3_PR - NEW met1 ( 39330 39270 ) M1M2_PR - NEW li1 ( 40250 39270 ) L1M1_PR_MR ; + + ROUTED met3 ( 62100 35020 ) ( 70380 * 0 ) + NEW met3 ( 62100 35020 ) ( * 36380 ) + NEW met3 ( 30590 36380 ) ( 62100 * ) + NEW met2 ( 30590 36380 ) ( * 38590 ) + NEW met2 ( 30590 36380 ) M2M3_PR + NEW li1 ( 30590 38590 ) L1M1_PR_MR + NEW met1 ( 30590 38590 ) M1M2_PR + NEW met1 ( 30590 38590 ) RECT ( -355 -70 0 70 ) ; - pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( _184_ Q ) + USE SIGNAL - + ROUTED met2 ( 43470 37060 ) ( * 49470 ) - NEW met1 ( 42610 49470 ) ( 43470 * ) - NEW met3 ( 43470 37060 ) ( 70380 * 0 ) - NEW met2 ( 43470 37060 ) M2M3_PR - NEW met1 ( 43470 49470 ) M1M2_PR - NEW li1 ( 42610 49470 ) L1M1_PR_MR ; + + ROUTED met2 ( 67850 37060 ) ( * 49810 ) + NEW met3 ( 67850 37060 ) ( 70380 * 0 ) + NEW met1 ( 42610 49810 ) ( 67850 * ) + NEW met1 ( 67850 49810 ) M1M2_PR + NEW met2 ( 67850 37060 ) M2M3_PR + NEW li1 ( 42610 49810 ) L1M1_PR_MR ; - pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( _185_ Q ) + USE SIGNAL + ROUTED met2 ( 48070 39100 ) ( * 46750 ) NEW met1 ( 46750 46750 ) ( 48070 * ) @@ -2649,435 +2674,365 @@ NETS 192 ; ( ANTENNA__207__RESET_B DIODE ) ( ANTENNA__208__A DIODE ) ( _208_ A ) ( _207_ RESET_B ) ( _206_ RESET_B ) ( _205_ RESET_B ) ( _204_ RESET_B ) ( _203_ RESET_B ) ( _202_ RESET_B ) ( _201_ RESET_B ) ( _200_ RESET_B ) ( _199_ RESET_B ) ( _198_ RESET_B ) ( _197_ RESET_B ) ( _196_ RESET_B ) ( _195_ RESET_B ) ( _114_ A ) ( _109_ A ) + USE SIGNAL - + ROUTED met1 ( 28750 10030 0 ) ( 29210 * ) - NEW met2 ( 29210 6630 ) ( * 10030 ) - NEW met1 ( 29210 6630 ) ( 29670 * ) - NEW met1 ( 27830 6630 ) ( 29210 * ) - NEW met1 ( 26910 6630 ) ( 27830 * ) - NEW met1 ( 23690 6630 0 ) ( 26910 * ) - NEW met1 ( 38410 10030 0 ) ( 41630 * ) - NEW met1 ( 38870 10030 ) ( * 10370 ) - NEW met1 ( 34270 10370 ) ( 38870 * ) - NEW met2 ( 8510 36890 ) ( * 47770 ) - NEW met1 ( 7130 33490 ) ( 8510 * ) - NEW met2 ( 8510 33490 ) ( * 36890 ) - NEW met1 ( 7130 32130 ) ( 8510 * ) - NEW met2 ( 8510 32130 ) ( * 33490 ) - NEW met2 ( 8970 34170 ) ( * 34340 ) - NEW met2 ( 8510 34340 ) ( 8970 * ) - NEW met1 ( 7590 29410 ) ( 8510 * ) - NEW met2 ( 8510 29410 ) ( * 32130 ) - NEW met1 ( 8510 28390 ) ( 10350 * 0 ) - NEW met2 ( 8510 28390 ) ( * 29410 ) - NEW met2 ( 68310 41140 ) ( * 45050 ) - NEW met3 ( 68310 41140 ) ( 70380 * 0 ) - NEW met2 ( 19090 12070 ) ( * 13800 ) - NEW met1 ( 41630 44710 ) ( * 45050 ) - NEW met1 ( 38410 44710 ) ( 41630 * ) - NEW met2 ( 43930 42330 ) ( * 45390 ) - NEW met1 ( 43930 45050 ) ( * 45390 ) - NEW met2 ( 31050 42330 ) ( * 42500 ) - NEW met3 ( 31050 42500 ) ( 37950 * ) - NEW met2 ( 37950 42500 ) ( * 44710 ) - NEW met1 ( 37950 44710 0 ) ( 38410 * ) - NEW met1 ( 28750 45390 ) ( 31050 * ) - NEW met2 ( 31050 42500 ) ( * 45390 ) - NEW met1 ( 31050 45730 ) ( 33350 * ) - NEW met1 ( 31050 45390 ) ( * 45730 ) - NEW met2 ( 31510 33830 ) ( * 39780 ) - NEW met2 ( 31050 39780 ) ( 31510 * ) - NEW met2 ( 31050 39780 ) ( * 42330 ) - NEW met1 ( 15410 38930 0 ) ( 20470 * ) - NEW met1 ( 20470 38930 ) ( * 39610 ) - NEW met1 ( 20470 39610 ) ( 31050 * ) - NEW met2 ( 31050 39610 ) ( * 39780 ) - NEW met1 ( 14950 33490 0 ) ( 15870 * ) - NEW met2 ( 15870 33490 ) ( * 38930 ) - NEW met1 ( 14950 33490 0 ) ( * 34170 ) - NEW met1 ( 15870 26010 ) ( 16790 * ) - NEW met2 ( 15870 26010 ) ( * 33490 ) - NEW met1 ( 15870 20910 ) ( 18170 * ) - NEW met2 ( 15870 20910 ) ( * 26010 ) - NEW met1 ( 33350 15470 ) ( 35190 * 0 ) - NEW met1 ( 33350 15470 ) ( * 15810 ) - NEW met1 ( 31510 15810 ) ( 33350 * ) - NEW met2 ( 31510 15810 ) ( * 33830 ) - NEW met2 ( 19090 13800 ) ( 19550 * ) - NEW met2 ( 19550 13800 ) ( * 20910 ) - NEW met1 ( 18170 20910 ) ( 19550 * ) - NEW met1 ( 29210 15470 ) ( 31510 * ) - NEW met1 ( 31510 15470 ) ( * 15810 ) - NEW met1 ( 8970 34170 ) ( 14950 * ) - NEW met2 ( 29210 10030 ) ( * 15470 ) - NEW met2 ( 34270 10370 ) ( * 15470 ) - NEW met1 ( 41630 45050 ) ( 68310 * ) - NEW met2 ( 34730 55250 ) ( * 55420 ) - NEW met3 ( 9430 55420 ) ( 34730 * ) - NEW met2 ( 9430 55420 ) ( * 55590 ) - NEW met1 ( 34730 54910 ) ( 35650 * ) - NEW met1 ( 34730 54910 ) ( * 55250 ) - NEW met1 ( 35650 54910 ) ( 36570 * ) - NEW met1 ( 37950 53890 ) ( 38410 * ) - NEW met2 ( 38410 53890 ) ( * 54910 ) - NEW met1 ( 36570 54910 ) ( 38410 * ) - NEW met1 ( 33350 54910 ) ( 34730 * ) - NEW met2 ( 33350 45730 ) ( * 54910 ) - NEW met1 ( 34270 10370 ) M1M2_PR - NEW met1 ( 29210 10030 ) M1M2_PR - NEW li1 ( 29210 6630 ) L1M1_PR_MR - NEW met1 ( 29210 6630 ) M1M2_PR - NEW li1 ( 29670 6630 ) L1M1_PR_MR - NEW li1 ( 27830 6630 ) L1M1_PR_MR - NEW li1 ( 26910 6630 ) L1M1_PR_MR - NEW met1 ( 19090 12070 ) M1M2_PR - NEW li1 ( 41630 10030 ) L1M1_PR_MR - NEW met1 ( 8510 36890 ) M1M2_PR - NEW met1 ( 8510 47770 ) M1M2_PR - NEW li1 ( 7130 33490 ) L1M1_PR_MR - NEW met1 ( 8510 33490 ) M1M2_PR - NEW li1 ( 7130 32130 ) L1M1_PR_MR - NEW met1 ( 8510 32130 ) M1M2_PR - NEW li1 ( 8050 32130 ) L1M1_PR_MR - NEW met1 ( 8970 34170 ) M1M2_PR - NEW li1 ( 7590 29410 ) L1M1_PR_MR - NEW met1 ( 8510 29410 ) M1M2_PR - NEW met1 ( 8510 28390 ) M1M2_PR - NEW met1 ( 68310 45050 ) M1M2_PR - NEW met2 ( 68310 41140 ) M2M3_PR - NEW li1 ( 41630 44710 ) L1M1_PR_MR + + ROUTED met1 ( 8510 56610 ) ( 10810 * ) + NEW met2 ( 10810 53890 ) ( * 56610 ) + NEW met2 ( 44390 49300 ) ( * 55250 ) + NEW met2 ( 43930 49300 ) ( 44390 * ) + NEW met1 ( 45770 55250 ) ( 47150 * ) + NEW met1 ( 45770 54910 ) ( * 55250 ) + NEW met1 ( 44390 54910 ) ( 45770 * ) + NEW met1 ( 44390 54910 ) ( * 55250 ) + NEW met1 ( 37030 56610 ) ( 44390 * ) + NEW met1 ( 44390 55250 ) ( * 56610 ) + NEW met1 ( 36110 56610 ) ( 37030 * ) + NEW met1 ( 10810 53890 ) ( 25990 * ) + NEW met1 ( 41630 44710 ) ( 43930 * ) + NEW met1 ( 37950 44710 0 ) ( 41630 * ) + NEW met2 ( 43930 41140 ) ( * 42330 ) + NEW met1 ( 34730 33490 0 ) ( 37490 * ) + NEW met2 ( 37490 33490 ) ( * 37060 ) + NEW met3 ( 37490 37060 ) ( 43930 * ) + NEW met2 ( 43930 37060 ) ( * 41140 ) + NEW met2 ( 25530 44540 ) ( * 44710 ) + NEW met3 ( 25530 44540 ) ( 37950 * ) + NEW met2 ( 37950 44540 ) ( * 44710 ) + NEW met1 ( 15410 38930 0 ) ( 23690 * ) + NEW met2 ( 23690 38930 ) ( * 44710 ) + NEW met1 ( 23690 44710 ) ( 25530 * ) + NEW met1 ( 14950 33490 0 ) ( 15410 * ) + NEW met2 ( 15410 33490 ) ( * 39270 ) + NEW met2 ( 15410 39270 ) ( 15870 * ) + NEW met1 ( 15870 38930 ) ( * 39270 ) + NEW met2 ( 11730 37230 ) ( * 39610 ) + NEW met1 ( 11730 39610 ) ( 15870 * ) + NEW met1 ( 15870 39270 ) ( * 39610 ) + NEW met2 ( 11730 39610 ) ( * 48110 ) + NEW met1 ( 8510 46750 ) ( 11730 * ) + NEW met1 ( 7130 33150 ) ( 15410 * ) + NEW met1 ( 15410 33150 ) ( * 33490 ) + NEW met2 ( 7590 32130 ) ( * 33150 ) + NEW met1 ( 7130 32130 ) ( 7590 * ) + NEW met2 ( 7590 29410 ) ( * 32130 ) + NEW met1 ( 7590 28050 ) ( 9890 * 0 ) + NEW met2 ( 7590 28050 ) ( * 29410 ) + NEW met2 ( 17710 22950 ) ( * 33150 ) + NEW met1 ( 15410 33150 ) ( 17710 * ) + NEW met1 ( 17710 21250 ) ( 18630 * ) + NEW met2 ( 17710 21250 ) ( * 22950 ) + NEW met2 ( 36570 15470 ) ( 37030 * ) + NEW met2 ( 37030 15470 ) ( * 33490 ) + NEW met2 ( 37030 33490 ) ( 37490 * ) + NEW met1 ( 18630 11730 ) ( 20470 * 0 ) + NEW met2 ( 18630 11730 ) ( * 21250 ) + NEW met2 ( 20470 10370 ) ( * 11730 ) + NEW met1 ( 28290 9350 ) ( * 9690 0 ) + NEW met1 ( 20470 9350 ) ( 28290 * ) + NEW met2 ( 20470 9350 ) ( * 10370 ) + NEW met2 ( 36110 10030 ) ( 36570 * ) + NEW met2 ( 36570 10030 ) ( * 15470 ) + NEW met2 ( 29670 7650 ) ( * 9350 ) + NEW met1 ( 28290 9350 ) ( 29670 * ) + NEW met1 ( 36570 7310 ) ( 39330 * ) + NEW met2 ( 36570 7310 ) ( * 10030 ) + NEW met1 ( 39330 7310 ) ( 41630 * ) + NEW met1 ( 41630 7310 ) ( 42550 * ) + NEW met2 ( 20470 6290 ) ( * 9350 ) + NEW met2 ( 8510 46750 ) ( * 56610 ) + NEW met2 ( 43930 42330 ) ( * 49300 ) + NEW met3 ( 43930 41140 ) ( 70380 * 0 ) + NEW li1 ( 8510 56610 ) L1M1_PR_MR + NEW met1 ( 10810 56610 ) M1M2_PR + NEW met1 ( 10810 53890 ) M1M2_PR + NEW met1 ( 8510 56610 ) M1M2_PR + NEW li1 ( 44390 55250 ) L1M1_PR_MR + NEW met1 ( 44390 55250 ) M1M2_PR + NEW li1 ( 47150 55250 ) L1M1_PR_MR + NEW li1 ( 37030 56610 ) L1M1_PR_MR + NEW li1 ( 36110 56610 ) L1M1_PR_MR + NEW li1 ( 25990 53890 ) L1M1_PR_MR NEW met1 ( 43930 42330 ) M1M2_PR - NEW met1 ( 43930 45390 ) M1M2_PR - NEW li1 ( 31050 42330 ) L1M1_PR_MR - NEW met1 ( 31050 42330 ) M1M2_PR - NEW met2 ( 31050 42500 ) M2M3_PR - NEW met2 ( 37950 42500 ) M2M3_PR + NEW li1 ( 41630 44710 ) L1M1_PR_MR + NEW met1 ( 43930 44710 ) M1M2_PR + NEW met2 ( 43930 41140 ) M2M3_PR + NEW met1 ( 37490 33490 ) M1M2_PR + NEW met2 ( 37490 37060 ) M2M3_PR + NEW met2 ( 43930 37060 ) M2M3_PR + NEW li1 ( 25530 44710 ) L1M1_PR_MR + NEW met1 ( 25530 44710 ) M1M2_PR + NEW met2 ( 25530 44540 ) M2M3_PR + NEW met2 ( 37950 44540 ) M2M3_PR NEW met1 ( 37950 44710 ) M1M2_PR - NEW li1 ( 28750 45390 ) L1M1_PR_MR - NEW met1 ( 31050 45390 ) M1M2_PR - NEW met1 ( 33350 45730 ) M1M2_PR - NEW met1 ( 31510 33830 ) M1M2_PR - NEW met1 ( 31050 39610 ) M1M2_PR - NEW met1 ( 15870 33490 ) M1M2_PR - NEW met1 ( 15870 38930 ) M1M2_PR - NEW li1 ( 16790 26010 ) L1M1_PR_MR - NEW met1 ( 15870 26010 ) M1M2_PR - NEW li1 ( 18170 20910 ) L1M1_PR_MR - NEW met1 ( 15870 20910 ) M1M2_PR - NEW met1 ( 31510 15810 ) M1M2_PR - NEW met1 ( 19550 20910 ) M1M2_PR - NEW met1 ( 29210 15470 ) M1M2_PR - NEW met1 ( 34270 15470 ) M1M2_PR - NEW li1 ( 34730 55250 ) L1M1_PR_MR - NEW met1 ( 34730 55250 ) M1M2_PR - NEW met2 ( 34730 55420 ) M2M3_PR - NEW met2 ( 9430 55420 ) M2M3_PR - NEW li1 ( 9430 55590 ) L1M1_PR_MR - NEW met1 ( 9430 55590 ) M1M2_PR - NEW li1 ( 35650 54910 ) L1M1_PR_MR - NEW li1 ( 36570 54910 ) L1M1_PR_MR - NEW li1 ( 37950 53890 ) L1M1_PR_MR - NEW met1 ( 38410 53890 ) M1M2_PR - NEW met1 ( 38410 54910 ) M1M2_PR - NEW met1 ( 33350 54910 ) M1M2_PR - NEW met1 ( 29210 6630 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 8050 32130 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 31050 42330 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 31050 39780 ) RECT ( -70 0 70 315 ) - NEW met1 ( 15870 38930 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 34270 15470 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 34730 55250 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 9430 55590 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 23690 38930 ) M1M2_PR + NEW met1 ( 23690 44710 ) M1M2_PR + NEW met1 ( 15410 33490 ) M1M2_PR + NEW met1 ( 15870 39270 ) M1M2_PR + NEW met1 ( 11730 37230 ) M1M2_PR + NEW met1 ( 11730 39610 ) M1M2_PR + NEW met1 ( 11730 48110 ) M1M2_PR + NEW met1 ( 8510 46750 ) M1M2_PR + NEW met1 ( 11730 46750 ) M1M2_PR + NEW li1 ( 7130 33150 ) L1M1_PR_MR + NEW li1 ( 7590 32130 ) L1M1_PR_MR + NEW met1 ( 7590 32130 ) M1M2_PR + NEW met1 ( 7590 33150 ) M1M2_PR + NEW li1 ( 7130 32130 ) L1M1_PR_MR + NEW li1 ( 7590 29410 ) L1M1_PR_MR + NEW met1 ( 7590 29410 ) M1M2_PR + NEW met1 ( 7590 28050 ) M1M2_PR + NEW li1 ( 17710 22950 ) L1M1_PR_MR + NEW met1 ( 17710 22950 ) M1M2_PR + NEW met1 ( 17710 33150 ) M1M2_PR + NEW li1 ( 18630 21250 ) L1M1_PR_MR + NEW met1 ( 17710 21250 ) M1M2_PR + NEW met1 ( 36570 15470 ) M1M2_PR + NEW met1 ( 18630 11730 ) M1M2_PR + NEW met1 ( 18630 21250 ) M1M2_PR + NEW li1 ( 20470 10370 ) L1M1_PR_MR + NEW met1 ( 20470 10370 ) M1M2_PR + NEW met1 ( 20470 11730 ) M1M2_PR + NEW met1 ( 20470 9350 ) M1M2_PR + NEW met1 ( 36110 10030 ) M1M2_PR + NEW li1 ( 29670 7650 ) L1M1_PR_MR + NEW met1 ( 29670 7650 ) M1M2_PR + NEW met1 ( 29670 9350 ) M1M2_PR + NEW li1 ( 39330 7310 ) L1M1_PR_MR + NEW met1 ( 36570 7310 ) M1M2_PR + NEW li1 ( 41630 7310 ) L1M1_PR_MR + NEW li1 ( 42550 7310 ) L1M1_PR_MR + NEW met1 ( 20470 6290 ) M1M2_PR + NEW met1 ( 8510 56610 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 44390 55250 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 43930 44710 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 25530 44710 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 11730 46750 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 7590 32130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 7590 33150 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 7590 29410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 17710 22950 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 18630 21250 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 20470 10370 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 29670 7650 ) RECT ( -355 -70 0 70 ) ; - resetn_out ( PIN resetn_out ) ( _208_ X ) + USE SIGNAL - + ROUTED met2 ( 68770 43180 ) ( * 44030 ) + + ROUTED met2 ( 68770 43180 ) ( * 45390 ) NEW met3 ( 68770 43180 ) ( 70380 * 0 ) - NEW met1 ( 42550 44030 ) ( 68770 * ) - NEW met1 ( 68770 44030 ) M1M2_PR + NEW met1 ( 42550 45390 ) ( 68770 * ) + NEW met1 ( 68770 45390 ) M1M2_PR NEW met2 ( 68770 43180 ) M2M3_PR - NEW li1 ( 42550 44030 ) L1M1_PR_MR ; + NEW li1 ( 42550 45390 ) L1M1_PR_MR ; - serial_clock ( PIN serial_clock ) ( ANTENNA_clkbuf_0_serial_clock_A DIODE ) ( clkbuf_0_serial_clock A ) + USE CLOCK - + ROUTED met2 ( 69230 28050 ) ( * 45220 ) + + ROUTED met2 ( 69230 28730 ) ( * 45220 ) NEW met3 ( 69230 45220 ) ( 70380 * 0 ) - NEW met1 ( 30130 28390 ) ( 39790 * ) - NEW met1 ( 30130 28050 ) ( * 28390 ) - NEW met1 ( 27370 28050 ) ( 30130 * ) - NEW met1 ( 27370 28050 ) ( * 28730 ) - NEW met1 ( 39790 28050 ) ( * 28390 ) - NEW met1 ( 6670 28730 ) ( 27370 * ) - NEW met1 ( 39790 28050 ) ( 69230 * ) - NEW li1 ( 6670 28730 ) L1M1_PR_MR - NEW met1 ( 69230 28050 ) M1M2_PR + NEW met1 ( 39330 28050 ) ( 39790 * ) + NEW met1 ( 39330 28050 ) ( * 28390 ) + NEW met1 ( 28810 28390 ) ( 39330 * ) + NEW met1 ( 28810 28390 ) ( * 28730 ) + NEW met1 ( 6670 28730 ) ( 28810 * ) + NEW met2 ( 41630 27710 ) ( * 28730 ) + NEW met1 ( 40250 27710 ) ( 41630 * ) + NEW met1 ( 40250 27710 ) ( * 28050 ) + NEW met1 ( 39790 28050 ) ( 40250 * ) + NEW met1 ( 41630 28730 ) ( 69230 * ) + NEW met1 ( 69230 28730 ) M1M2_PR NEW met2 ( 69230 45220 ) M2M3_PR - NEW li1 ( 39790 28390 ) L1M1_PR_MR ; + NEW li1 ( 39790 28050 ) L1M1_PR_MR + NEW li1 ( 6670 28730 ) L1M1_PR_MR + NEW met1 ( 41630 28730 ) M1M2_PR + NEW met1 ( 41630 27710 ) M1M2_PR ; - serial_clock_out ( PIN serial_clock_out ) ( _209_ X ) + USE CLOCK - + ROUTED met2 ( 69230 47260 ) ( * 51170 ) + + ROUTED met2 ( 69690 47260 ) ( * 53890 ) + NEW met2 ( 69230 47260 ) ( 69690 * ) NEW met3 ( 69230 47260 ) ( 70380 * 0 ) - NEW met2 ( 42550 51170 ) ( * 52190 ) - NEW met1 ( 42550 51170 ) ( 69230 * ) - NEW met1 ( 69230 51170 ) M1M2_PR + NEW met1 ( 43010 53890 ) ( 69690 * ) + NEW met1 ( 69690 53890 ) M1M2_PR NEW met2 ( 69230 47260 ) M2M3_PR - NEW met1 ( 42550 51170 ) M1M2_PR - NEW li1 ( 42550 52190 ) L1M1_PR_MR - NEW met1 ( 42550 52190 ) M1M2_PR - NEW met1 ( 42550 52190 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 43010 53890 ) L1M1_PR_MR ; - serial_data_in ( PIN serial_data_in ) ( ANTENNA__195__D DIODE ) ( _195_ D ) + USE SIGNAL - + ROUTED met2 ( 7130 43010 ) ( * 47090 ) - NEW met1 ( 7130 47090 ) ( 8970 * ) - NEW met1 ( 8970 46750 ) ( * 47090 ) - NEW met2 ( 67850 43010 ) ( * 49300 ) - NEW met3 ( 67850 49300 ) ( 70380 * 0 ) - NEW met1 ( 62100 43010 ) ( 67850 * ) - NEW met1 ( 16330 46750 ) ( * 47090 ) - NEW met1 ( 16330 47090 ) ( 17710 * ) - NEW met1 ( 17710 46750 ) ( * 47090 ) - NEW met1 ( 17710 46750 ) ( 29210 * ) - NEW met2 ( 29210 41650 ) ( * 46750 ) - NEW met1 ( 29210 41650 ) ( 37490 * ) - NEW met1 ( 37490 41310 ) ( * 41650 ) - NEW met1 ( 37490 41310 ) ( 43470 * ) - NEW met1 ( 43470 41310 ) ( * 41990 ) - NEW met1 ( 43470 41990 ) ( 62100 * ) - NEW met1 ( 62100 41990 ) ( * 43010 ) - NEW met1 ( 8970 46750 ) ( 16330 * ) - NEW li1 ( 7130 43010 ) L1M1_PR_MR - NEW met1 ( 7130 43010 ) M1M2_PR - NEW met1 ( 7130 47090 ) M1M2_PR - NEW met1 ( 67850 43010 ) M1M2_PR - NEW met2 ( 67850 49300 ) M2M3_PR - NEW met1 ( 29210 46750 ) M1M2_PR - NEW met1 ( 29210 41650 ) M1M2_PR - NEW li1 ( 14120 46750 ) L1M1_PR_MR - NEW met1 ( 7130 43010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 14120 46750 ) RECT ( 0 -70 595 70 ) ; + + ROUTED met1 ( 8970 55930 ) ( * 56270 ) + NEW met1 ( 8970 55930 ) ( 12190 * ) + NEW met2 ( 12190 50660 ) ( * 55930 ) + NEW met3 ( 62100 49300 ) ( 70380 * 0 ) + NEW met2 ( 14030 49980 ) ( * 50660 ) + NEW met3 ( 14030 49980 ) ( 62100 * ) + NEW met3 ( 62100 49300 ) ( * 49980 ) + NEW met2 ( 14030 48110 ) ( * 49980 ) + NEW met2 ( 12190 50660 ) ( 14030 * ) + NEW li1 ( 8970 56270 ) L1M1_PR_MR + NEW met1 ( 12190 55930 ) M1M2_PR + NEW met2 ( 14030 49980 ) M2M3_PR + NEW li1 ( 14030 48110 ) L1M1_PR_MR + NEW met1 ( 14030 48110 ) M1M2_PR + NEW met1 ( 14030 48110 ) RECT ( 0 -70 355 70 ) ; - serial_data_out ( PIN serial_data_out ) ( _108_ X ) + USE SIGNAL - + ROUTED met2 ( 47610 43010 ) ( * 51340 ) - NEW met3 ( 47610 51340 ) ( 70380 * 0 ) - NEW li1 ( 47610 43010 ) L1M1_PR_MR - NEW met1 ( 47610 43010 ) M1M2_PR - NEW met2 ( 47610 51340 ) M2M3_PR - NEW met1 ( 47610 43010 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 68310 41650 ) ( * 51340 ) + NEW met3 ( 68310 51340 ) ( 70380 * 0 ) + NEW met1 ( 47610 41650 ) ( 68310 * ) + NEW met1 ( 68310 41650 ) M1M2_PR + NEW met2 ( 68310 51340 ) M2M3_PR + NEW li1 ( 47610 41650 ) L1M1_PR_MR ; - serial_data_post_1 ( data_delay_2 A ) ( data_delay_1 X ) + USE SIGNAL - + ROUTED met1 ( 44390 6630 ) ( 47610 * ) - NEW li1 ( 47610 6630 ) L1M1_PR_MR - NEW li1 ( 44390 6630 ) L1M1_PR_MR ; + + ROUTED met1 ( 44390 9350 ) ( 44850 * ) + NEW li1 ( 44850 9350 ) L1M1_PR_MR + NEW li1 ( 44390 9350 ) L1M1_PR_MR ; - serial_data_post_2 ( data_delay_2 X ) ( _107_ B ) + USE SIGNAL - + ROUTED met1 ( 39790 6290 ) ( * 6630 ) - NEW met1 ( 39790 6290 ) ( 44850 * ) - NEW li1 ( 39790 6630 ) L1M1_PR_MR - NEW li1 ( 44850 6290 ) L1M1_PR_MR ; - - serial_data_pre ( hold2 A ) ( data_delay_1 A ) ( _207_ Q ) + USE SIGNAL - + ROUTED met1 ( 40250 15810 ) ( 41630 * ) - NEW met2 ( 41630 15810 ) ( * 20570 ) - NEW met2 ( 41630 6630 ) ( * 15810 ) - NEW li1 ( 41630 6630 ) L1M1_PR_MR - NEW met1 ( 41630 6630 ) M1M2_PR - NEW li1 ( 40250 15810 ) L1M1_PR_MR - NEW met1 ( 41630 15810 ) M1M2_PR - NEW li1 ( 41630 20570 ) L1M1_PR_MR - NEW met1 ( 41630 20570 ) M1M2_PR - NEW met1 ( 41630 6630 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41630 20570 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 45310 6630 ) ( * 8670 ) + NEW met1 ( 45310 8670 ) ( 47610 * ) + NEW li1 ( 45310 6630 ) L1M1_PR_MR + NEW met1 ( 45310 6630 ) M1M2_PR + NEW met1 ( 45310 8670 ) M1M2_PR + NEW li1 ( 47610 8670 ) L1M1_PR_MR + NEW met1 ( 45310 6630 ) RECT ( -355 -70 0 70 ) ; + - serial_data_pre ( hold3 A ) ( data_delay_1 A ) ( _207_ Q ) + USE SIGNAL + + ROUTED met1 ( 41630 9690 ) ( 42090 * ) + NEW met1 ( 40250 14790 ) ( 42090 * ) + NEW met2 ( 42090 14790 ) ( * 20230 ) + NEW met1 ( 42090 20230 ) ( 44390 * ) + NEW met2 ( 42090 9690 ) ( * 14790 ) + NEW li1 ( 41630 9690 ) L1M1_PR_MR + NEW met1 ( 42090 9690 ) M1M2_PR + NEW li1 ( 40250 14790 ) L1M1_PR_MR + NEW met1 ( 42090 14790 ) M1M2_PR + NEW met1 ( 42090 20230 ) M1M2_PR + NEW li1 ( 44390 20230 ) L1M1_PR_MR ; - serial_load ( PIN serial_load ) ( ANTENNA_clkbuf_0_serial_load_A DIODE ) ( clkbuf_0_serial_load A ) + USE CLOCK - + ROUTED met2 ( 34270 53380 ) ( * 55250 ) + + ROUTED met2 ( 35190 53380 ) ( * 55250 ) NEW met2 ( 39790 31790 ) ( * 53380 ) - NEW met3 ( 34270 53380 ) ( 70380 * 0 ) - NEW li1 ( 34270 55250 ) L1M1_PR_MR - NEW met1 ( 34270 55250 ) M1M2_PR - NEW met2 ( 34270 53380 ) M2M3_PR + NEW met3 ( 35190 53380 ) ( 70380 * 0 ) + NEW li1 ( 35190 55250 ) L1M1_PR_MR + NEW met1 ( 35190 55250 ) M1M2_PR + NEW met2 ( 35190 53380 ) M2M3_PR NEW li1 ( 39790 31790 ) L1M1_PR_MR NEW met1 ( 39790 31790 ) M1M2_PR NEW met2 ( 39790 53380 ) M2M3_PR - NEW met1 ( 34270 55250 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 35190 55250 ) RECT ( -355 -70 0 70 ) NEW met1 ( 39790 31790 ) RECT ( -355 -70 0 70 ) NEW met3 ( 39790 53380 ) RECT ( -800 -150 0 150 ) ; - serial_load_out ( PIN serial_load_out ) ( _210_ X ) + USE CLOCK - + ROUTED met2 ( 69230 52530 ) ( * 55420 ) + + ROUTED met2 ( 69230 53550 ) ( * 55420 ) NEW met3 ( 69230 55420 ) ( 70380 * 0 ) - NEW met1 ( 40710 52530 ) ( 69230 * ) - NEW met1 ( 69230 52530 ) M1M2_PR + NEW met1 ( 41170 53550 ) ( * 53890 ) + NEW met1 ( 41170 53550 ) ( 69230 * ) + NEW met1 ( 69230 53550 ) M1M2_PR NEW met2 ( 69230 55420 ) M2M3_PR - NEW li1 ( 40710 52530 ) L1M1_PR_MR ; - - shift_register\[0\] ( hold6 A ) ( _196_ D ) ( _195_ Q ) + USE SIGNAL - + ROUTED met1 ( 6670 47430 ) ( 8970 * ) - NEW met2 ( 8970 38930 ) ( * 47430 ) - NEW met1 ( 8970 38930 ) ( 9430 * ) - NEW met1 ( 6670 50150 ) ( 8970 * ) - NEW met2 ( 8970 47430 ) ( * 50150 ) - NEW li1 ( 6670 47430 ) L1M1_PR_MR - NEW met1 ( 8970 47430 ) M1M2_PR - NEW met1 ( 8970 38930 ) M1M2_PR - NEW li1 ( 9430 38930 ) L1M1_PR_MR + NEW li1 ( 41170 53890 ) L1M1_PR_MR ; + - shift_register\[0\] ( hold11 A ) ( _195_ Q ) + USE SIGNAL + + ROUTED met2 ( 6670 48450 ) ( * 50150 ) + NEW li1 ( 6670 48450 ) L1M1_PR_MR + NEW met1 ( 6670 48450 ) M1M2_PR NEW li1 ( 6670 50150 ) L1M1_PR_MR - NEW met1 ( 8970 50150 ) M1M2_PR ; - - shift_register\[10\] ( hold3 A ) ( _206_ D ) ( _205_ Q ) + USE SIGNAL - + ROUTED met1 ( 43930 41650 ) ( 45770 * ) - NEW met2 ( 43930 34850 ) ( * 41650 ) - NEW met1 ( 37120 34850 ) ( 43930 * ) - NEW met1 ( 43930 44710 ) ( 44390 * ) - NEW met2 ( 44390 41820 ) ( * 44710 ) - NEW met2 ( 43930 41820 ) ( 44390 * ) - NEW met2 ( 43930 41650 ) ( * 41820 ) - NEW li1 ( 45770 41650 ) L1M1_PR_MR - NEW met1 ( 43930 41650 ) M1M2_PR - NEW met1 ( 43930 34850 ) M1M2_PR - NEW li1 ( 37120 34850 ) L1M1_PR_MR - NEW li1 ( 43930 44710 ) L1M1_PR_MR - NEW met1 ( 44390 44710 ) M1M2_PR ; - - shift_register\[11\] ( hold10 A ) ( _207_ D ) ( _206_ Q ) + USE SIGNAL - + ROUTED met1 ( 29670 33150 ) ( 32890 * ) - NEW met2 ( 32890 15470 ) ( * 33150 ) - NEW met1 ( 28750 33830 ) ( 29670 * ) - NEW met1 ( 29670 33150 ) ( * 33830 ) - NEW li1 ( 29670 33150 ) L1M1_PR_MR - NEW met1 ( 32890 33150 ) M1M2_PR - NEW li1 ( 32890 15470 ) L1M1_PR_MR - NEW met1 ( 32890 15470 ) M1M2_PR - NEW li1 ( 28750 33830 ) L1M1_PR_MR - NEW met1 ( 32890 15470 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[1\] ( hold4 A ) ( _197_ D ) ( _196_ Q ) + USE SIGNAL - + ROUTED met1 ( 14490 39610 ) ( 16790 * ) - NEW met2 ( 14490 37230 ) ( * 39610 ) - NEW met1 ( 14030 37230 ) ( 14490 * ) - NEW met1 ( 16790 39270 ) ( 18170 * ) - NEW met1 ( 16790 39270 ) ( * 39610 ) - NEW li1 ( 16790 39610 ) L1M1_PR_MR - NEW met1 ( 14490 39610 ) M1M2_PR - NEW met1 ( 14490 37230 ) M1M2_PR - NEW li1 ( 14030 37230 ) L1M1_PR_MR - NEW li1 ( 18170 39270 ) L1M1_PR_MR ; - - shift_register\[2\] ( hold5 A ) ( _198_ D ) ( _197_ Q ) + USE SIGNAL + NEW met1 ( 6670 50150 ) M1M2_PR + NEW met1 ( 6670 48450 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 6670 50150 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[10\] ( hold5 A ) ( _205_ Q ) + USE SIGNAL + + ROUTED met2 ( 45770 43010 ) ( * 44710 ) + NEW met1 ( 45770 44710 ) ( 46690 * ) + NEW li1 ( 45770 43010 ) L1M1_PR_MR + NEW met1 ( 45770 43010 ) M1M2_PR + NEW met1 ( 45770 44710 ) M1M2_PR + NEW li1 ( 46690 44710 ) L1M1_PR_MR + NEW met1 ( 45770 43010 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[11\] ( hold18 A ) ( _206_ Q ) + USE SIGNAL + + ROUTED met1 ( 25530 33830 ) ( 29670 * ) + NEW met1 ( 29670 33830 ) ( * 34170 ) + NEW li1 ( 25530 33830 ) L1M1_PR_MR + NEW li1 ( 29670 34170 ) L1M1_PR_MR ; + - shift_register\[1\] ( hold7 A ) ( _196_ Q ) + USE SIGNAL + + ROUTED met1 ( 16790 39610 ) ( 18170 * ) + NEW li1 ( 18170 39610 ) L1M1_PR_MR + NEW li1 ( 16790 39610 ) L1M1_PR_MR ; + - shift_register\[2\] ( hold9 A ) ( _197_ Q ) + USE SIGNAL + ROUTED met2 ( 6670 37570 ) ( * 44710 ) - NEW met1 ( 6670 34850 ) ( 8875 * ) - NEW met2 ( 6670 34850 ) ( * 37570 ) NEW li1 ( 6670 37570 ) L1M1_PR_MR NEW met1 ( 6670 37570 ) M1M2_PR NEW li1 ( 6670 44710 ) L1M1_PR_MR NEW met1 ( 6670 44710 ) M1M2_PR - NEW li1 ( 8875 34850 ) L1M1_PR_MR - NEW met1 ( 6670 34850 ) M1M2_PR NEW met1 ( 6670 37570 ) RECT ( -355 -70 0 70 ) NEW met1 ( 6670 44710 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[3\] ( hold7 A ) ( _199_ D ) ( _198_ Q ) + USE SIGNAL - + ROUTED met2 ( 16330 28050 ) ( * 33150 ) - NEW met1 ( 15870 28050 ) ( 16330 * ) - NEW met1 ( 16330 33830 ) ( 18170 * ) - NEW met1 ( 16330 33150 ) ( * 33830 ) - NEW li1 ( 16330 33150 ) L1M1_PR_MR - NEW met1 ( 16330 33150 ) M1M2_PR - NEW met1 ( 16330 28050 ) M1M2_PR - NEW li1 ( 15870 28050 ) L1M1_PR_MR - NEW li1 ( 18170 33830 ) L1M1_PR_MR - NEW met1 ( 16330 33150 ) RECT ( -355 -70 0 70 ) ; - - shift_register\[4\] ( hold12 A ) ( _200_ D ) ( _199_ Q ) + USE SIGNAL - + ROUTED met1 ( 18170 6970 ) ( 18630 * ) - NEW met1 ( 18170 28390 ) ( 18630 * ) - NEW met1 ( 8510 27710 ) ( 18630 * ) - NEW met2 ( 18630 6970 ) ( * 28390 ) - NEW met1 ( 18630 6970 ) M1M2_PR - NEW li1 ( 18170 6970 ) L1M1_PR_MR - NEW li1 ( 8510 27710 ) L1M1_PR_MR - NEW li1 ( 18170 28390 ) L1M1_PR_MR - NEW met1 ( 18630 28390 ) M1M2_PR - NEW met1 ( 18630 27710 ) M1M2_PR - NEW met2 ( 18630 27710 ) RECT ( -70 -485 70 0 ) ; - - shift_register\[5\] ( hold23 A ) ( _201_ D ) ( _200_ Q ) + USE SIGNAL - + ROUTED met1 ( 24150 7650 ) ( 25530 * ) - NEW met2 ( 24150 7650 ) ( * 11730 ) - NEW met1 ( 24150 11730 ) ( 24610 * ) - NEW met1 ( 34270 6290 ) ( * 6630 ) - NEW met1 ( 25530 6290 ) ( 34270 * ) - NEW met2 ( 25530 6290 ) ( * 7650 ) - NEW li1 ( 25530 7650 ) L1M1_PR_MR - NEW met1 ( 24150 7650 ) M1M2_PR - NEW met1 ( 24150 11730 ) M1M2_PR - NEW li1 ( 24610 11730 ) L1M1_PR_MR - NEW li1 ( 34270 6630 ) L1M1_PR_MR - NEW met1 ( 25530 6290 ) M1M2_PR - NEW met1 ( 25530 7650 ) M1M2_PR - NEW met1 ( 25530 7650 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[6\] ( hold22 A ) ( _202_ D ) ( _201_ Q ) + USE SIGNAL - + ROUTED met1 ( 22770 9350 ) ( 26450 * ) - NEW met2 ( 26450 9350 ) ( 27370 * ) - NEW met1 ( 17250 13090 ) ( 27370 * ) - NEW met2 ( 27370 17040 ) ( 28290 * ) - NEW met2 ( 28290 17040 ) ( * 17510 ) - NEW met1 ( 28290 17510 ) ( 28750 * ) - NEW met2 ( 27370 9350 ) ( * 17040 ) - NEW li1 ( 22770 9350 ) L1M1_PR_MR - NEW met1 ( 26450 9350 ) M1M2_PR - NEW li1 ( 17250 13090 ) L1M1_PR_MR - NEW met1 ( 27370 13090 ) M1M2_PR + - shift_register\[3\] ( hold13 A ) ( _198_ Q ) + USE SIGNAL + + ROUTED met1 ( 16330 34170 ) ( 18170 * ) + NEW li1 ( 18170 34170 ) L1M1_PR_MR + NEW li1 ( 16330 34170 ) L1M1_PR_MR ; + - shift_register\[4\] ( hold1 A ) ( _199_ Q ) + USE SIGNAL + + ROUTED met2 ( 8510 29410 ) ( * 31110 ) + NEW li1 ( 8510 29410 ) L1M1_PR_MR + NEW met1 ( 8510 29410 ) M1M2_PR + NEW li1 ( 8510 31110 ) L1M1_PR_MR + NEW met1 ( 8510 31110 ) M1M2_PR + NEW met1 ( 8510 29410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 8510 31110 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[5\] ( hold21 A ) ( _200_ Q ) + USE SIGNAL + + ROUTED met1 ( 25530 5950 ) ( * 6290 ) + NEW met1 ( 35650 5950 ) ( * 6290 ) + NEW met1 ( 35650 5950 ) ( 37490 * ) + NEW met1 ( 37490 5950 ) ( * 6630 ) + NEW met1 ( 25530 6290 ) ( 35650 * ) + NEW li1 ( 25530 5950 ) L1M1_PR_MR + NEW li1 ( 37490 6630 ) L1M1_PR_MR ; + - shift_register\[6\] ( hold20 A ) ( _201_ Q ) + USE SIGNAL + + ROUTED met1 ( 25530 12750 ) ( 28290 * ) + NEW met1 ( 28290 17510 ) ( 31970 * ) + NEW met2 ( 28290 12750 ) ( * 17510 ) + NEW li1 ( 25530 12750 ) L1M1_PR_MR + NEW met1 ( 28290 12750 ) M1M2_PR NEW met1 ( 28290 17510 ) M1M2_PR - NEW li1 ( 28750 17510 ) L1M1_PR_MR - NEW met2 ( 27370 13090 ) RECT ( -70 -485 70 0 ) ; - - shift_register\[7\] ( hold21 A ) ( _203_ D ) ( _202_ Q ) + USE SIGNAL - + ROUTED met1 ( 30130 9350 ) ( 32430 * ) - NEW met1 ( 32430 6630 ) ( 33810 * ) - NEW met2 ( 32430 6630 ) ( * 9350 ) - NEW li1 ( 32430 9350 ) L1M1_PR_MR - NEW li1 ( 30130 9350 ) L1M1_PR_MR - NEW li1 ( 33810 6630 ) L1M1_PR_MR - NEW met1 ( 32430 6630 ) M1M2_PR - NEW met1 ( 32430 9350 ) M1M2_PR - NEW met1 ( 32430 9350 ) RECT ( -595 -70 0 70 ) ; - - shift_register\[8\] ( hold8 A ) ( _204_ D ) ( _203_ Q ) + USE SIGNAL - + ROUTED met1 ( 34730 8670 ) ( 39790 * ) - NEW met2 ( 34730 8670 ) ( * 41820 ) - NEW met2 ( 32890 41820 ) ( 34730 * ) - NEW met2 ( 32890 41820 ) ( * 44370 ) - NEW met1 ( 32430 44370 ) ( 32890 * ) - NEW met1 ( 43930 12070 ) ( 44850 * ) - NEW met2 ( 43930 8670 ) ( * 12070 ) - NEW met1 ( 39790 8670 ) ( 43930 * ) - NEW li1 ( 39790 8670 ) L1M1_PR_MR - NEW met1 ( 34730 8670 ) M1M2_PR - NEW met1 ( 32890 44370 ) M1M2_PR - NEW li1 ( 32430 44370 ) L1M1_PR_MR - NEW li1 ( 44850 12070 ) L1M1_PR_MR - NEW met1 ( 43930 12070 ) M1M2_PR - NEW met1 ( 43930 8670 ) M1M2_PR ; - - shift_register\[9\] ( hold9 A ) ( _205_ D ) ( _204_ Q ) + USE SIGNAL - + ROUTED met2 ( 38410 41820 ) ( * 41990 ) - NEW met3 ( 38410 41820 ) ( 42550 * ) - NEW met2 ( 42550 39270 ) ( * 41820 ) - NEW met1 ( 42550 39270 ) ( 43930 * ) - NEW met1 ( 38410 45050 ) ( 39790 * ) - NEW met2 ( 38410 41990 ) ( * 45050 ) - NEW li1 ( 38410 41990 ) L1M1_PR_MR - NEW met1 ( 38410 41990 ) M1M2_PR - NEW met2 ( 38410 41820 ) M2M3_PR - NEW met2 ( 42550 41820 ) M2M3_PR - NEW met1 ( 42550 39270 ) M1M2_PR + NEW li1 ( 31970 17510 ) L1M1_PR_MR ; + - shift_register\[7\] ( hold19 A ) ( _202_ Q ) + USE SIGNAL + + ROUTED met2 ( 30590 6630 ) ( * 8670 ) + NEW met1 ( 30130 8670 ) ( 30590 * ) + NEW li1 ( 30590 6630 ) L1M1_PR_MR + NEW met1 ( 30590 6630 ) M1M2_PR + NEW met1 ( 30590 8670 ) M1M2_PR + NEW li1 ( 30130 8670 ) L1M1_PR_MR + NEW met1 ( 30590 6630 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[8\] ( hold15 A ) ( _203_ Q ) + USE SIGNAL + + ROUTED met2 ( 39790 10370 ) ( * 12070 ) + NEW met1 ( 39790 12070 ) ( 44390 * ) + NEW li1 ( 39790 10370 ) L1M1_PR_MR + NEW met1 ( 39790 10370 ) M1M2_PR + NEW met1 ( 39790 12070 ) M1M2_PR + NEW li1 ( 44390 12070 ) L1M1_PR_MR + NEW met1 ( 39790 10370 ) RECT ( -355 -70 0 70 ) ; + - shift_register\[9\] ( hold17 A ) ( _204_ Q ) + USE SIGNAL + + ROUTED met1 ( 43930 39270 ) ( * 40290 ) + NEW met1 ( 40250 40290 ) ( 43930 * ) + NEW met2 ( 40250 40290 ) ( * 44030 ) + NEW met1 ( 39790 44030 ) ( 40250 * ) NEW li1 ( 43930 39270 ) L1M1_PR_MR - NEW li1 ( 39790 45050 ) L1M1_PR_MR - NEW met1 ( 38410 45050 ) M1M2_PR - NEW met1 ( 38410 41990 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 40250 40290 ) M1M2_PR + NEW met1 ( 40250 44030 ) M1M2_PR + NEW li1 ( 39790 44030 ) L1M1_PR_MR ; - user_gpio_in ( PIN user_gpio_in ) ( gpio_in_buf Z ) + USE SIGNAL - + ROUTED met2 ( 67390 28730 ) ( * 57460 ) + + ROUTED met2 ( 67390 30430 ) ( * 57460 ) NEW met3 ( 67390 57460 ) ( 70380 * 0 ) - NEW met1 ( 29670 28730 ) ( 67390 * ) - NEW met1 ( 67390 28730 ) M1M2_PR + NEW met1 ( 62100 30430 ) ( 67390 * ) + NEW met1 ( 62100 30430 ) ( * 30770 ) + NEW met1 ( 43470 30770 ) ( 62100 * ) + NEW met2 ( 43470 29070 ) ( * 30770 ) + NEW met1 ( 29670 29070 ) ( 43470 * ) + NEW met1 ( 67390 30430 ) M1M2_PR NEW met2 ( 67390 57460 ) M2M3_PR - NEW li1 ( 29670 28730 ) L1M1_PR_MR ; + NEW met1 ( 43470 30770 ) M1M2_PR + NEW met1 ( 43470 29070 ) M1M2_PR + NEW li1 ( 29670 29070 ) L1M1_PR_MR ; - user_gpio_oeb ( PIN user_gpio_oeb ) ( ANTENNA__098__B DIODE ) ( _098_ B ) + USE SIGNAL - + ROUTED met2 ( 69230 56270 ) ( * 59500 ) - NEW met3 ( 69230 59500 ) ( 70380 * 0 ) - NEW met1 ( 45770 56270 ) ( 47610 * ) - NEW met1 ( 47610 56270 ) ( 69230 * ) - NEW met1 ( 69230 56270 ) M1M2_PR - NEW met2 ( 69230 59500 ) M2M3_PR - NEW li1 ( 47610 56270 ) L1M1_PR_MR - NEW li1 ( 45770 56270 ) L1M1_PR_MR ; + + ROUTED met2 ( 68770 52870 ) ( * 59500 ) + NEW met3 ( 68770 59500 ) ( 70380 * 0 ) + NEW met1 ( 45770 52530 ) ( * 52870 ) + NEW met1 ( 45770 52870 ) ( 47610 * ) + NEW met1 ( 47610 52870 ) ( 68770 * ) + NEW met1 ( 68770 52870 ) M1M2_PR + NEW met2 ( 68770 59500 ) M2M3_PR + NEW li1 ( 47610 52870 ) L1M1_PR_MR + NEW li1 ( 45770 52530 ) L1M1_PR_MR ; - user_gpio_out ( PIN user_gpio_out ) ( ANTENNA__100__A DIODE ) ( _100_ A ) + USE SIGNAL - + ROUTED met2 ( 47610 53890 ) ( * 61540 ) - NEW met1 ( 43010 55590 ) ( 47610 * ) - NEW met3 ( 47610 61540 ) ( 70380 * 0 ) - NEW li1 ( 47610 53890 ) L1M1_PR_MR - NEW met1 ( 47610 53890 ) M1M2_PR - NEW met2 ( 47610 61540 ) M2M3_PR - NEW li1 ( 43010 55590 ) L1M1_PR_MR - NEW met1 ( 47610 55590 ) M1M2_PR - NEW met1 ( 47610 53890 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 47610 55590 ) RECT ( -70 -485 70 0 ) ; + + ROUTED met2 ( 69230 56610 ) ( * 61540 ) + NEW met3 ( 69230 61540 ) ( 70380 * 0 ) + NEW met1 ( 45770 55590 ) ( 46690 * ) + NEW met1 ( 46690 55590 ) ( * 56610 ) + NEW met1 ( 46690 56610 ) ( 69230 * ) + NEW met1 ( 69230 56610 ) M1M2_PR + NEW met2 ( 69230 61540 ) M2M3_PR + NEW li1 ( 46690 56610 ) L1M1_PR_MR + NEW li1 ( 45770 55590 ) L1M1_PR_MR ; - zero ( PIN zero ) ( const_source LO ) + USE SIGNAL + ROUTED met2 ( 47610 2380 ) ( * 12070 ) NEW met3 ( 47610 2380 ) ( 70380 * 0 ) diff --git a/gds/gpio_control_block.gds.gz b/gds/gpio_control_block.gds.gz index c8f0d135..be4344d8 100644 Binary files a/gds/gpio_control_block.gds.gz and b/gds/gpio_control_block.gds.gz differ diff --git a/gds/user_analog_project_wrapper_empty.gds.gz b/gds/user_analog_project_wrapper_empty.gds.gz index 40a2721c..42054cc0 100755 Binary files a/gds/user_analog_project_wrapper_empty.gds.gz and b/gds/user_analog_project_wrapper_empty.gds.gz differ diff --git a/lef/gpio_control_block.lef b/lef/gpio_control_block.lef index eedb9ca5..78f33ba5 100644 --- a/lef/gpio_control_block.lef +++ b/lef/gpio_control_block.lef @@ -450,7 +450,7 @@ MACRO gpio_control_block LAYER li1 ; RECT 4.600 5.355 49.220 57.205 ; LAYER met1 ; - RECT 4.600 5.200 83.650 57.760 ; + RECT 4.600 4.800 83.190 57.360 ; LAYER met2 ; RECT 5.250 60.720 6.710 61.725 ; RECT 7.550 60.720 9.010 61.725 ; @@ -464,8 +464,8 @@ MACRO gpio_control_block RECT 25.950 60.720 27.410 61.725 ; RECT 28.250 60.720 29.710 61.725 ; RECT 30.550 60.720 32.010 61.725 ; - RECT 32.850 60.720 83.620 61.725 ; - RECT 4.970 2.195 83.620 60.720 ; + RECT 32.850 60.720 83.170 61.725 ; + RECT 4.970 2.195 83.170 60.720 ; LAYER met3 ; RECT 6.280 60.840 69.600 61.705 ; RECT 6.280 60.200 70.000 60.840 ; diff --git a/mag/gpio_control_block.mag b/mag/gpio_control_block.mag index 7694bab4..458bfaa3 100644 --- a/mag/gpio_control_block.mag +++ b/mag/gpio_control_block.mag @@ -1,109 +1,108 @@ magic tech sky130A magscale 1 2 -timestamp 1649446267 -<< checkpaint >> -rect -378 4132 11142 12732 -rect -102 372 11142 4132 -rect 1738 -220 11142 372 -<< isosubstrate >> -rect 1018 1528 2810 4514 +timestamp 1649688056 << viali >> +rect 1685 11305 1719 11339 rect 3893 11305 3927 11339 rect 4077 11305 4111 11339 -rect 5917 11305 5951 11339 +rect 6193 11305 6227 11339 rect 6377 11305 6411 11339 -rect 7941 11305 7975 11339 +rect 7205 11305 7239 11339 +rect 7389 11305 7423 11339 +rect 9321 11305 9355 11339 +rect 1777 11237 1811 11271 rect 4445 11237 4479 11271 -rect 9137 11237 9171 11271 -rect 9505 11237 9539 11271 -rect 5733 11169 5767 11203 -rect 8125 11169 8159 11203 -rect 1869 11101 1903 11135 +rect 5733 11237 5767 11271 +rect 5917 11237 5951 11271 +rect 7941 11237 7975 11271 +rect 8309 11237 8343 11271 +rect 2973 11169 3007 11203 rect 2145 11101 2179 11135 rect 2237 11101 2271 11135 -rect 2697 11101 2731 11135 rect 2881 11101 2915 11135 rect 3157 11101 3191 11135 rect 4261 11101 4295 11135 -rect 4537 11101 4571 11135 rect 4905 11101 4939 11135 rect 5641 11101 5675 11135 rect 7481 11101 7515 11135 rect 7757 11101 7791 11135 rect 8033 11101 8067 11135 -rect 8585 11101 8619 11135 +rect 8493 11101 8527 11135 +rect 9137 11101 9171 11135 rect 2513 11033 2547 11067 -rect 2973 11033 3007 11067 +rect 2697 11033 2731 11067 +rect 4537 11033 4571 11067 rect 4721 11033 4755 11067 rect 4997 11033 5031 11067 rect 5181 11033 5215 11067 rect 5365 11033 5399 11067 -rect 6837 11033 6871 11067 -rect 6929 11033 6963 11067 +rect 6561 11033 6595 11067 +rect 7021 11033 7055 11067 rect 7573 11033 7607 11067 -rect 8493 11033 8527 11067 -rect 8769 11033 8803 11067 +rect 8861 11033 8895 11067 +rect 9045 11033 9079 11067 +rect 9413 11033 9447 11067 rect 2053 10965 2087 10999 rect 2421 10965 2455 10999 rect 3341 10965 3375 10999 rect 3617 10965 3651 10999 rect 5457 10965 5491 10999 -rect 6193 10965 6227 10999 -rect 7113 10965 7147 10999 -rect 7297 10965 7331 10999 -rect 9229 10965 9263 10999 +rect 8217 10965 8251 10999 rect 1409 10761 1443 10795 -rect 4905 10761 4939 10795 -rect 5089 10761 5123 10795 -rect 5917 10761 5951 10795 -rect 7573 10761 7607 10795 -rect 7849 10761 7883 10795 -rect 9505 10761 9539 10795 -rect 7113 10693 7147 10727 +rect 5181 10761 5215 10795 +rect 8217 10761 8251 10795 +rect 8585 10761 8619 10795 rect 1593 10625 1627 10659 rect 1869 10625 1903 10659 rect 3709 10625 3743 10659 rect 4445 10625 4479 10659 -rect 5462 10623 5496 10657 -rect 6198 10625 6232 10659 +rect 5457 10625 5491 10659 +rect 6193 10625 6227 10659 rect 6929 10625 6963 10659 rect 7205 10625 7239 10659 -rect 7665 10625 7699 10659 -rect 7941 10625 7975 10659 -rect 8309 10625 8343 10659 -rect 9321 10625 9355 10659 +rect 7481 10625 7515 10659 +rect 7757 10625 7791 10659 +rect 8033 10625 8067 10659 +rect 8401 10625 8435 10659 rect 2237 10557 2271 10591 -rect 5273 10557 5307 10591 -rect 4273 10489 4307 10523 -rect 7389 10489 7423 10523 -rect 8125 10489 8159 10523 -rect 1685 10421 1719 10455 +rect 4905 10557 4939 10591 +rect 5917 10557 5951 10591 +rect 8769 10557 8803 10591 +rect 9505 10557 9539 10591 +rect 1777 10489 1811 10523 +rect 7573 10489 7607 10523 +rect 9137 10489 9171 10523 +rect 4273 10421 4307 10455 rect 4721 10421 4755 10455 rect 5733 10421 5767 10455 rect 6285 10421 6319 10455 rect 6653 10421 6687 10455 -rect 8493 10421 8527 10455 -rect 8677 10421 8711 10455 -rect 2697 10217 2731 10251 +rect 7021 10421 7055 10455 +rect 7389 10421 7423 10455 +rect 7941 10421 7975 10455 +rect 9229 10421 9263 10455 +rect 2881 10217 2915 10251 rect 3249 10217 3283 10251 rect 3893 10217 3927 10251 -rect 5549 10149 5583 10183 -rect 2881 10081 2915 10115 -rect 6469 10081 6503 10115 +rect 4721 10217 4755 10251 rect 1317 10013 1351 10047 rect 1961 10013 1995 10047 rect 2053 10013 2087 10047 rect 3341 10013 3375 10047 rect 3617 10013 3651 10047 rect 6101 10013 6135 10047 +rect 6469 10013 6503 10047 rect 7941 10013 7975 10047 rect 9413 10013 9447 10047 -rect 4261 9945 4295 9979 -rect 8769 9945 8803 9979 +rect 6009 9945 6043 9979 +rect 8505 9945 8539 9979 +rect 2697 9877 2731 9911 rect 4077 9877 4111 9911 -rect 8505 9877 8539 9911 +rect 8769 9877 8803 9911 +rect 1317 9673 1351 9707 rect 6745 9673 6779 9707 +rect 2789 9605 2823 9639 rect 6193 9605 6227 9639 rect 6561 9605 6595 9639 rect 3249 9537 3283 9571 @@ -112,24 +111,21 @@ rect 6377 9537 6411 9571 rect 6837 9537 6871 9571 rect 6929 9537 6963 9571 rect 8769 9537 8803 9571 -rect 1317 9469 1351 9503 rect 3065 9469 3099 9503 rect 3525 9469 3559 9503 rect 3893 9469 3927 9503 rect 7297 9469 7331 9503 -rect 5929 9401 5963 9435 -rect 2807 9333 2841 9367 rect 3433 9333 3467 9367 +rect 5929 9333 5963 9367 rect 9333 9333 9367 9367 rect 3065 9129 3099 9163 rect 3985 9129 4019 9163 rect 4169 9129 4203 9163 rect 4537 9129 4571 9163 -rect 4905 9129 4939 9163 -rect 5457 9129 5491 9163 -rect 9413 9129 9447 9163 -rect 5733 9061 5767 9095 -rect 7941 8993 7975 9027 +rect 5733 9129 5767 9163 +rect 5181 9061 5215 9095 +rect 8493 9061 8527 9095 +rect 6193 8993 6227 9027 rect 1317 8925 1351 8959 rect 1961 8925 1995 8959 rect 2053 8925 2087 8959 @@ -137,15 +133,13 @@ rect 3433 8925 3467 8959 rect 3801 8925 3835 8959 rect 3893 8925 3927 8959 rect 4353 8925 4387 8959 +rect 4629 8925 4663 8959 rect 5089 8925 5123 8959 -rect 5825 8925 5859 8959 -rect 6193 8925 6227 8959 +rect 5549 8925 5583 8959 rect 8309 8925 8343 8959 -rect 8769 8925 8803 8959 +rect 9321 8925 9355 8959 rect 2789 8857 2823 8891 rect 2973 8857 3007 8891 -rect 4629 8857 4663 8891 -rect 5181 8857 5215 8891 rect 5365 8857 5399 8891 rect 6009 8857 6043 8891 rect 6469 8857 6503 8891 @@ -153,115 +147,116 @@ rect 8125 8857 8159 8891 rect 2697 8789 2731 8823 rect 3341 8789 3375 8823 rect 3617 8789 3651 8823 -rect 8493 8789 8527 8823 -rect 1409 8585 1443 8619 -rect 1593 8585 1627 8619 -rect 6469 8585 6503 8619 -rect 6929 8585 6963 8619 -rect 9505 8585 9539 8619 +rect 4905 8789 4939 8823 +rect 5917 8789 5951 8823 +rect 7941 8789 7975 8823 +rect 8769 8789 8803 8823 +rect 1777 8585 1811 8619 +rect 6285 8585 6319 8619 +rect 6837 8585 6871 8619 +rect 7205 8585 7239 8619 +rect 9137 8585 9171 8619 +rect 4721 8517 4755 8551 +rect 4905 8517 4939 8551 rect 5365 8517 5399 8551 -rect 5825 8517 5859 8551 rect 1869 8449 1903 8483 -rect 2237 8449 2271 8483 rect 3709 8449 3743 8483 rect 4445 8449 4479 8483 -rect 5181 8449 5215 8483 +rect 5549 8449 5583 8483 rect 5641 8449 5675 8483 -rect 6193 8449 6227 8483 -rect 6653 8449 6687 8483 -rect 6745 8449 6779 8483 +rect 5825 8449 5859 8483 +rect 6377 8449 6411 8483 rect 7021 8449 7055 8483 -rect 7389 8449 7423 8483 +rect 7378 8449 7412 8483 rect 9321 8449 9355 8483 -rect 1777 8381 1811 8415 +rect 1593 8381 1627 8415 +rect 2237 8381 2271 8415 +rect 5181 8381 5215 8415 rect 7665 8381 7699 8415 rect 4273 8313 4307 8347 -rect 5549 8313 5583 8347 -rect 9137 8313 9171 8347 -rect 5089 8245 5123 8279 -rect 5917 8245 5951 8279 -rect 6377 8245 6411 8279 -rect 7205 8245 7239 8279 -rect 4261 8041 4295 8075 -rect 4813 8041 4847 8075 -rect 5273 8041 5307 8075 -rect 6285 8041 6319 8075 -rect 6469 8041 6503 8075 +rect 5089 8313 5123 8347 +rect 6009 8313 6043 8347 +rect 9505 8313 9539 8347 +rect 1409 8245 1443 8279 +rect 4629 8245 4663 8279 +rect 6469 8245 6503 8279 +rect 5181 8041 5215 8075 +rect 5733 8041 5767 8075 rect 9413 8041 9447 8075 -rect 5641 7973 5675 8007 +rect 8125 7973 8159 8007 +rect 1593 7905 1627 7939 +rect 1869 7905 1903 7939 rect 3341 7905 3375 7939 +rect 3617 7905 3651 7939 rect 1501 7837 1535 7871 -rect 1593 7837 1627 7871 -rect 3617 7837 3651 7871 -rect 4905 7837 4939 7871 -rect 4997 7837 5031 7871 -rect 6009 7837 6043 7871 +rect 4261 7837 4295 7871 +rect 4353 7837 4387 7871 +rect 5089 7837 5123 7871 +rect 5917 7837 5951 7871 rect 6653 7837 6687 7871 -rect 7757 7837 7791 7871 rect 8033 7837 8067 7871 -rect 8217 7837 8251 7871 +rect 8493 7837 8527 7871 rect 8769 7837 8803 7871 -rect 1869 7769 1903 7803 -rect 5825 7769 5859 7803 +rect 6193 7769 6227 7803 +rect 6561 7769 6595 7803 rect 7389 7769 7423 7803 -rect 7573 7769 7607 7803 -rect 8585 7769 8619 7803 +rect 8309 7769 8343 7803 rect 1409 7701 1443 7735 -rect 4445 7701 4479 7735 -rect 5457 7701 5491 7735 +rect 4997 7701 5031 7735 +rect 5549 7701 5583 7735 +rect 6101 7701 6135 7735 +rect 6285 7701 6319 7735 +rect 6377 7701 6411 7735 rect 7297 7701 7331 7735 -rect 7941 7701 7975 7735 -rect 8309 7701 8343 7735 -rect 8401 7701 8435 7735 rect 1317 7497 1351 7531 -rect 5925 7497 5959 7531 rect 9137 7497 9171 7531 +rect 9413 7497 9447 7531 rect 2789 7429 2823 7463 -rect 3065 7361 3099 7395 rect 3249 7361 3283 7395 rect 3525 7361 3559 7395 +rect 3893 7361 3927 7395 rect 5365 7361 5399 7395 rect 6377 7361 6411 7395 rect 6561 7361 6595 7395 +rect 6929 7361 6963 7395 rect 8401 7361 8435 7395 rect 9321 7361 9355 7395 -rect 3893 7293 3927 7327 -rect 6929 7293 6963 7327 -rect 6193 7225 6227 7259 -rect 9413 7225 9447 7259 +rect 3065 7293 3099 7327 +rect 5929 7225 5963 7259 rect 3341 7157 3375 7191 +rect 6193 7157 6227 7191 rect 8585 7157 8619 7191 -rect 8965 7157 8999 7191 -rect 1758 6953 1792 6987 -rect 7407 6953 7441 6987 -rect 9045 6953 9079 6987 +rect 8961 7157 8995 7191 +rect 1764 6953 1798 6987 +rect 7401 6953 7435 6987 +rect 8861 6953 8895 6987 rect 9413 6953 9447 6987 rect 1501 6817 1535 6851 -rect 4997 6817 5031 6851 -rect 5089 6817 5123 6851 +rect 3249 6817 3283 6851 +rect 3617 6817 3651 6851 +rect 4353 6817 4387 6851 +rect 5917 6817 5951 6851 rect 7665 6817 7699 6851 rect 8217 6817 8251 6851 -rect 3617 6749 3651 6783 rect 4261 6749 4295 6783 -rect 4353 6749 4387 6783 -rect 5733 6749 5767 6783 +rect 4997 6749 5031 6783 +rect 5089 6749 5123 6783 rect 7849 6749 7883 6783 rect 8033 6749 8067 6783 rect 8309 6749 8343 6783 rect 8585 6749 8619 6783 rect 8769 6749 8803 6783 -rect 1409 6681 1443 6715 -rect 3249 6613 3283 6647 -rect 5917 6613 5951 6647 +rect 1409 6613 1443 6647 +rect 5733 6613 5767 6647 rect 9229 6613 9263 6647 rect 1409 6409 1443 6443 -rect 1593 6409 1627 6443 -rect 3249 6409 3283 6443 -rect 9413 6409 9447 6443 +rect 1501 6409 1535 6443 +rect 5917 6409 5951 6443 rect 7941 6341 7975 6375 rect 8217 6341 8251 6375 -rect 2329 6273 2363 6307 -rect 2421 6273 2455 6307 +rect 8585 6341 8619 6375 +rect 2789 6273 2823 6307 +rect 3065 6273 3099 6307 rect 3341 6273 3375 6307 rect 5181 6273 5215 6307 rect 8033 6273 8067 6307 @@ -270,204 +265,191 @@ rect 8769 6273 8803 6307 rect 8953 6273 8987 6307 rect 9321 6273 9355 6307 rect 1685 6205 1719 6239 -rect 3065 6205 3099 6239 +rect 2697 6205 2731 6239 rect 3709 6205 3743 6239 rect 6193 6205 6227 6239 -rect 8585 6205 8619 6239 +rect 9413 6205 9447 6239 +rect 2329 6137 2363 6171 +rect 2973 6137 3007 6171 rect 5745 6137 5779 6171 -rect 8401 6137 8435 6171 -rect 5917 6069 5951 6103 +rect 2421 6069 2455 6103 +rect 3249 6069 3283 6103 +rect 8309 6069 8343 6103 rect 1501 5865 1535 5899 +rect 1685 5865 1719 5899 +rect 3893 5865 3927 5899 rect 4261 5865 4295 5899 -rect 8309 5865 8343 5899 +rect 8125 5865 8159 5899 rect 8861 5865 8895 5899 +rect 3709 5797 3743 5831 +rect 5917 5797 5951 5831 rect 9229 5797 9263 5831 +rect 9505 5797 9539 5831 rect 1317 5729 1351 5763 -rect 5917 5729 5951 5763 +rect 6193 5729 6227 5763 rect 3433 5661 3467 5695 -rect 3617 5661 3651 5695 +rect 4353 5661 4387 5695 rect 4445 5661 4479 5695 -rect 5549 5661 5583 5695 -rect 7941 5661 7975 5695 -rect 8585 5661 8619 5695 +rect 5641 5661 5675 5695 +rect 8033 5661 8067 5695 rect 9045 5661 9079 5695 rect 9321 5661 9355 5695 rect 3157 5593 3191 5627 -rect 6193 5593 6227 5627 -rect 1685 5525 1719 5559 -rect 8125 5525 8159 5559 -rect 9413 5525 9447 5559 -rect 3525 5321 3559 5355 -rect 3801 5321 3835 5355 -rect 4077 5321 4111 5355 +rect 7941 5593 7975 5627 +rect 8493 5525 8527 5559 rect 6469 5321 6503 5355 -rect 8493 5321 8527 5355 +rect 7481 5321 7515 5355 rect 5181 5253 5215 5287 -rect 8861 5253 8895 5287 +rect 7205 5253 7239 5287 +rect 8953 5253 8987 5287 rect 3341 5185 3375 5219 -rect 3617 5185 3651 5219 -rect 3893 5185 3927 5219 rect 4353 5185 4387 5219 rect 5089 5185 5123 5219 rect 7021 5185 7055 5219 -rect 7665 5185 7699 5219 -rect 7849 5185 7883 5219 +rect 8125 5185 8159 5219 rect 8309 5185 8343 5219 -rect 8769 5185 8803 5219 -rect 8953 5185 8987 5219 -rect 9229 5185 9263 5219 -rect 9505 5185 9539 5219 +rect 9137 5185 9171 5219 +rect 9321 5185 9355 5219 rect 4169 5049 4203 5083 -rect 7481 5049 7515 5083 +rect 7389 5049 7423 5083 +rect 3985 4981 4019 5015 rect 4629 4981 4663 5015 rect 4813 4981 4847 5015 -rect 7297 4981 7331 5015 -rect 7941 4981 7975 5015 -rect 8585 4981 8619 5015 -rect 9321 4981 9355 5015 +rect 8401 4981 8435 5015 +rect 8769 4981 8803 5015 +rect 9505 4981 9539 5015 +rect 3341 4777 3375 4811 rect 3801 4777 3835 4811 rect 4445 4777 4479 4811 -rect 4813 4777 4847 4811 +rect 6193 4777 6227 4811 rect 8873 4777 8907 4811 -rect 5549 4709 5583 4743 -rect 6193 4709 6227 4743 +rect 9045 4709 9079 4743 +rect 4813 4641 4847 4675 rect 6837 4641 6871 4675 -rect 3617 4573 3651 4607 +rect 3525 4573 3559 4607 rect 3709 4573 3743 4607 rect 4353 4573 4387 4607 -rect 5181 4573 5215 4607 rect 5365 4573 5399 4607 -rect 5917 4573 5951 4607 +rect 5549 4573 5583 4607 rect 6377 4573 6411 4607 rect 6469 4573 6503 4607 rect 8309 4573 8343 4607 rect 9229 4573 9263 4607 rect 9505 4573 9539 4607 rect 4997 4505 5031 4539 +rect 5181 4505 5215 4539 rect 5733 4505 5767 4539 +rect 5917 4505 5951 4539 rect 6101 4505 6135 4539 -rect 3525 4437 3559 4471 rect 4169 4437 4203 4471 -rect 9045 4437 9079 4471 rect 9321 4437 9355 4471 -rect 3801 4233 3835 4267 -rect 7481 4233 7515 4267 -rect 3617 4165 3651 4199 +rect 3709 4233 3743 4267 +rect 9137 4233 9171 4267 rect 6929 4165 6963 4199 +rect 3617 4097 3651 4131 rect 3985 4097 4019 4131 -rect 4261 4097 4295 4131 rect 6101 4097 6135 4131 -rect 6665 4097 6699 4131 rect 7205 4097 7239 4131 -rect 7665 4097 7699 4131 rect 8125 4097 8159 4131 rect 8309 4097 8343 4131 -rect 9137 4097 9171 4131 rect 9229 4097 9263 4131 rect 9505 4097 9539 4131 -rect 3433 4029 3467 4063 +rect 3525 4029 3559 4063 +rect 4261 4029 4295 4063 rect 4629 4029 4663 4063 rect 7297 4029 7331 4063 +rect 8861 4029 8895 4063 rect 7113 3961 7147 3995 -rect 9321 3961 9355 3995 rect 4169 3893 4203 3927 -rect 7757 3893 7791 3927 -rect 7941 3893 7975 3927 -rect 8953 3893 8987 3927 +rect 6665 3893 6699 3927 +rect 7481 3893 7515 3927 +rect 9321 3893 9355 3927 +rect 3341 3689 3375 3723 rect 3617 3689 3651 3723 -rect 6377 3689 6411 3723 +rect 4445 3689 4479 3723 +rect 6469 3689 6503 3723 rect 9149 3689 9183 3723 -rect 3433 3621 3467 3655 -rect 4445 3621 4479 3655 rect 4813 3621 4847 3655 +rect 5733 3621 5767 3655 rect 5457 3553 5491 3587 rect 6745 3553 6779 3587 +rect 7113 3553 7147 3587 rect 3893 3485 3927 3519 rect 3985 3485 4019 3519 rect 4261 3485 4295 3519 rect 4537 3485 4571 3519 -rect 5181 3485 5215 3519 rect 5549 3485 5583 3519 -rect 5733 3485 5767 3519 +rect 6377 3485 6411 3519 rect 6653 3485 6687 3519 -rect 7113 3485 7147 3519 rect 8585 3485 8619 3519 rect 9505 3485 9539 3519 rect 4997 3417 5031 3451 rect 3801 3349 3835 3383 rect 4169 3349 4203 3383 rect 4721 3349 4755 3383 -rect 6469 3349 6503 3383 +rect 5273 3349 5307 3383 rect 9321 3349 9355 3383 rect 3525 3145 3559 3179 -rect 8033 3145 8067 3179 +rect 8309 3145 8343 3179 rect 6561 3077 6595 3111 -rect 8769 3077 8803 3111 rect 8861 3077 8895 3111 rect 3617 3009 3651 3043 rect 5457 3009 5491 3043 +rect 8493 3009 8527 3043 rect 3985 2941 4019 2975 rect 6285 2941 6319 2975 -rect 8309 2941 8343 2975 -rect 8493 2941 8527 2975 +rect 8033 2941 8067 2975 +rect 8769 2941 8803 2975 rect 9413 2941 9447 2975 rect 6021 2805 6055 2839 -rect 3433 2601 3467 2635 -rect 8309 2601 8343 2635 +rect 5365 2601 5399 2635 +rect 8133 2601 8167 2635 rect 9137 2601 9171 2635 -rect 5181 2397 5215 2431 -rect 5365 2397 5399 2431 -rect 5457 2397 5491 2431 -rect 5733 2397 5767 2431 +rect 5089 2533 5123 2567 +rect 3341 2465 3375 2499 +rect 5733 2465 5767 2499 +rect 8309 2465 8343 2499 +rect 5549 2397 5583 2431 rect 6101 2397 6135 2431 rect 7573 2397 7607 2431 -rect 8953 2397 8987 2431 +rect 8861 2397 8895 2431 rect 9229 2397 9263 2431 rect 9505 2397 9539 2431 -rect 4905 2329 4939 2363 -rect 8137 2329 8171 2363 +rect 3617 2329 3651 2363 rect 9321 2261 9355 2295 -rect 8677 2057 8711 2091 -rect 8309 1989 8343 2023 +rect 4077 2057 4111 2091 +rect 7941 2057 7975 2091 +rect 4537 1989 4571 2023 rect 4261 1921 4295 1955 rect 6193 1921 6227 1955 -rect 9413 1921 9447 1955 -rect 4537 1853 4571 1887 -rect 6009 1853 6043 1887 +rect 8309 1921 8343 1955 rect 6469 1853 6503 1887 -rect 8769 1853 8803 1887 -rect 7941 1717 7975 1751 -rect 5089 1513 5123 1547 -rect 6101 1513 6135 1547 +rect 8861 1853 8895 1887 +rect 8953 1853 8987 1887 +rect 6009 1717 6043 1751 +rect 9505 1717 9539 1751 +rect 5733 1513 5767 1547 +rect 5917 1513 5951 1547 +rect 6745 1513 6779 1547 +rect 7757 1513 7791 1547 +rect 9321 1513 9355 1547 +rect 7849 1445 7883 1479 +rect 8309 1445 8343 1479 +rect 8493 1445 8527 1479 +rect 3341 1377 3375 1411 rect 3617 1377 3651 1411 -rect 8125 1377 8159 1411 -rect 3341 1309 3375 1343 +rect 9229 1377 9263 1411 rect 5365 1309 5399 1343 -rect 5549 1309 5583 1343 -rect 5825 1309 5859 1343 -rect 5917 1309 5951 1343 -rect 6745 1309 6779 1343 -rect 6837 1309 6871 1343 -rect 7941 1309 7975 1343 -rect 8309 1309 8343 1343 +rect 5457 1309 5491 1343 +rect 6101 1309 6135 1343 +rect 7481 1309 7515 1343 +rect 7573 1309 7607 1343 rect 8861 1309 8895 1343 -rect 9505 1309 9539 1343 -rect 8953 1241 8987 1275 -rect 7481 1173 7515 1207 -rect 7757 1173 7791 1207 +rect 9045 1309 9079 1343 +rect 8125 1241 8159 1275 +rect 5089 1173 5123 1207 +rect 6837 1173 6871 1207 << metal1 >> -rect 4154 11500 4160 11552 -rect 4212 11540 4218 11552 -rect 4890 11540 4896 11552 -rect 4212 11512 4896 11540 -rect 4212 11500 4218 11512 -rect 4890 11500 4896 11512 -rect 4948 11540 4954 11552 -rect 6362 11540 6368 11552 -rect 4948 11512 6368 11540 -rect 4948 11500 4954 11512 -rect 6362 11500 6368 11512 -rect 6420 11500 6426 11552 rect 920 11450 9844 11472 rect 920 11398 2566 11450 rect 2618 11398 2630 11450 @@ -481,12 +463,21 @@ rect 7746 11398 7758 11450 rect 7810 11398 7822 11450 rect 7874 11398 9844 11450 rect 920 11376 9844 11398 -rect 2314 11296 2320 11348 -rect 2372 11336 2378 11348 +rect 1670 11336 1676 11348 +rect 1583 11308 1676 11336 +rect 1670 11296 1676 11308 +rect 1728 11336 1734 11348 +rect 2130 11336 2136 11348 +rect 1728 11308 2136 11336 +rect 1728 11296 1734 11308 +rect 2130 11296 2136 11308 +rect 2188 11296 2194 11348 +rect 2406 11296 2412 11348 +rect 2464 11336 2470 11348 rect 3881 11339 3939 11345 rect 3881 11336 3893 11339 -rect 2372 11308 3893 11336 -rect 2372 11296 2378 11308 +rect 2464 11308 3893 11336 +rect 2464 11296 2470 11308 rect 3881 11305 3893 11308 rect 3927 11336 3939 11339 rect 4065 11339 4123 11345 @@ -497,6 +488,11 @@ rect 3881 11299 3939 11305 rect 4065 11305 4077 11308 rect 4111 11305 4123 11339 rect 4065 11299 4123 11305 +rect 1765 11271 1823 11277 +rect 1765 11237 1777 11271 +rect 1811 11237 1823 11271 +rect 1765 11231 1823 11237 +rect 1780 11200 1808 11231 rect 1854 11228 1860 11280 rect 1912 11268 1918 11280 rect 3602 11268 3608 11280 @@ -504,75 +500,37 @@ rect 1912 11240 3608 11268 rect 1912 11228 1918 11240 rect 3602 11228 3608 11240 rect 3660 11228 3666 11280 -rect 3326 11200 3332 11212 -rect 2700 11172 3332 11200 -rect 1854 11132 1860 11144 -rect 1815 11104 1860 11132 -rect 1854 11092 1860 11104 -rect 1912 11092 1918 11144 -rect 2130 11132 2136 11144 -rect 2091 11104 2136 11132 -rect 2130 11092 2136 11104 -rect 2188 11092 2194 11144 -rect 2225 11135 2283 11141 -rect 2225 11101 2237 11135 -rect 2271 11132 2283 11135 -rect 2314 11132 2320 11144 -rect 2271 11104 2320 11132 -rect 2271 11101 2283 11104 -rect 2225 11095 2283 11101 -rect 2314 11092 2320 11104 -rect 2372 11092 2378 11144 -rect 2700 11141 2728 11172 -rect 3326 11160 3332 11172 -rect 3384 11160 3390 11212 -rect 4080 11200 4108 11299 -rect 5534 11296 5540 11348 -rect 5592 11336 5598 11348 -rect 5905 11339 5963 11345 -rect 5905 11336 5917 11339 -rect 5592 11308 5917 11336 -rect 5592 11296 5598 11308 -rect 5905 11305 5917 11308 -rect 5951 11336 5963 11339 -rect 5994 11336 6000 11348 -rect 5951 11308 6000 11336 -rect 5951 11305 5963 11308 -rect 5905 11299 5963 11305 -rect 5994 11296 6000 11308 -rect 6052 11296 6058 11348 -rect 6362 11336 6368 11348 -rect 6323 11308 6368 11336 -rect 6362 11296 6368 11308 -rect 6420 11296 6426 11348 -rect 7929 11339 7987 11345 -rect 7929 11305 7941 11339 -rect 7975 11336 7987 11339 -rect 8202 11336 8208 11348 -rect 7975 11308 8208 11336 -rect 7975 11305 7987 11308 -rect 7929 11299 7987 11305 -rect 8202 11296 8208 11308 -rect 8260 11296 8266 11348 -rect 4430 11268 4436 11280 -rect 4391 11240 4436 11268 -rect 4430 11228 4436 11240 -rect 4488 11228 4494 11280 -rect 4522 11228 4528 11280 -rect 4580 11268 4586 11280 -rect 9125 11271 9183 11277 -rect 4580 11240 8248 11268 -rect 4580 11228 4586 11240 -rect 4338 11200 4344 11212 -rect 4080 11172 4344 11200 -rect 4338 11160 4344 11172 -rect 4396 11200 4402 11212 -rect 4396 11172 4568 11200 -rect 4396 11160 4402 11172 -rect 2685 11135 2743 11141 -rect 2685 11101 2697 11135 -rect 2731 11101 2743 11135 -rect 2685 11095 2743 11101 +rect 2406 11200 2412 11212 +rect 1780 11172 2412 11200 +rect 2406 11160 2412 11172 +rect 2464 11160 2470 11212 +rect 2961 11203 3019 11209 +rect 2961 11200 2973 11203 +rect 2516 11172 2973 11200 +rect 1762 11092 1768 11144 +rect 1820 11132 1826 11144 +rect 2133 11135 2191 11141 +rect 2133 11132 2145 11135 +rect 1820 11104 2145 11132 +rect 1820 11092 1826 11104 +rect 2133 11101 2145 11104 +rect 2179 11101 2191 11135 +rect 2133 11095 2191 11101 +rect 2222 11092 2228 11144 +rect 2280 11132 2286 11144 +rect 2280 11104 2325 11132 +rect 2280 11092 2286 11104 +rect 1394 11024 1400 11076 +rect 1452 11064 1458 11076 +rect 2314 11064 2320 11076 +rect 1452 11036 2320 11064 +rect 1452 11024 1458 11036 +rect 2314 11024 2320 11036 +rect 2372 11064 2378 11076 +rect 2516 11073 2544 11172 +rect 2961 11169 2973 11172 +rect 3007 11169 3019 11203 +rect 2961 11163 3019 11169 rect 2869 11135 2927 11141 rect 2869 11101 2881 11135 rect 2915 11132 2927 11135 @@ -583,39 +541,98 @@ rect 2915 11101 2927 11104 rect 2869 11095 2927 11101 rect 3145 11101 3157 11104 rect 3191 11101 3203 11135 +rect 3145 11095 3203 11101 +rect 2501 11067 2559 11073 +rect 2501 11064 2513 11067 +rect 2372 11036 2513 11064 +rect 2372 11024 2378 11036 +rect 2501 11033 2513 11036 +rect 2547 11033 2559 11067 +rect 2501 11027 2559 11033 +rect 2685 11067 2743 11073 +rect 2685 11033 2697 11067 +rect 2731 11064 2743 11067 +rect 4080 11064 4108 11299 +rect 4614 11296 4620 11348 +rect 4672 11336 4678 11348 +rect 6178 11336 6184 11348 +rect 4672 11308 6184 11336 +rect 4672 11296 4678 11308 +rect 6178 11296 6184 11308 +rect 6236 11336 6242 11348 +rect 6365 11339 6423 11345 +rect 6365 11336 6377 11339 +rect 6236 11308 6377 11336 +rect 6236 11296 6242 11308 +rect 6365 11305 6377 11308 +rect 6411 11305 6423 11339 +rect 6365 11299 6423 11305 +rect 7193 11339 7251 11345 +rect 7193 11305 7205 11339 +rect 7239 11336 7251 11339 +rect 7377 11339 7435 11345 +rect 7377 11336 7389 11339 +rect 7239 11308 7389 11336 +rect 7239 11305 7251 11308 +rect 7193 11299 7251 11305 +rect 7377 11305 7389 11308 +rect 7423 11336 7435 11339 +rect 9309 11339 9367 11345 +rect 7423 11308 8892 11336 +rect 7423 11305 7435 11308 +rect 7377 11299 7435 11305 +rect 4430 11268 4436 11280 +rect 4391 11240 4436 11268 +rect 4430 11228 4436 11240 +rect 4488 11228 4494 11280 +rect 5074 11228 5080 11280 +rect 5132 11268 5138 11280 +rect 5721 11271 5779 11277 +rect 5721 11268 5733 11271 +rect 5132 11240 5733 11268 +rect 5132 11228 5138 11240 +rect 5721 11237 5733 11240 +rect 5767 11268 5779 11271 +rect 5905 11271 5963 11277 +rect 5905 11268 5917 11271 +rect 5767 11240 5917 11268 +rect 5767 11237 5779 11240 +rect 5721 11231 5779 11237 +rect 5905 11237 5917 11240 +rect 5951 11237 5963 11271 +rect 5905 11231 5963 11237 +rect 6914 11228 6920 11280 +rect 6972 11268 6978 11280 +rect 7929 11271 7987 11277 +rect 6972 11240 7788 11268 +rect 6972 11228 6978 11240 +rect 4522 11160 4528 11212 +rect 4580 11200 4586 11212 +rect 4580 11172 7512 11200 +rect 4580 11160 4586 11172 rect 4246 11132 4252 11144 rect 4207 11104 4252 11132 -rect 3145 11095 3203 11101 rect 4246 11092 4252 11104 rect 4304 11092 4310 11144 -rect 4540 11141 4568 11172 -rect 4982 11160 4988 11212 -rect 5040 11200 5046 11212 -rect 5534 11200 5540 11212 -rect 5040 11172 5540 11200 -rect 5040 11160 5046 11172 -rect 5534 11160 5540 11172 -rect 5592 11200 5598 11212 -rect 5721 11203 5779 11209 -rect 5721 11200 5733 11203 -rect 5592 11172 5733 11200 -rect 5592 11160 5598 11172 -rect 5721 11169 5733 11172 -rect 5767 11169 5779 11203 -rect 5721 11163 5779 11169 -rect 7006 11160 7012 11212 -rect 7064 11200 7070 11212 -rect 8113 11203 8171 11209 -rect 8113 11200 8125 11203 -rect 7064 11172 8125 11200 -rect 7064 11160 7070 11172 -rect 8113 11169 8125 11172 -rect 8159 11169 8171 11203 -rect 8113 11163 8171 11169 -rect 4525 11135 4583 11141 -rect 4525 11101 4537 11135 -rect 4571 11101 4583 11135 -rect 4525 11095 4583 11101 +rect 7484 11141 7512 11172 +rect 7760 11141 7788 11240 +rect 7929 11237 7941 11271 +rect 7975 11268 7987 11271 +rect 8018 11268 8024 11280 +rect 7975 11240 8024 11268 +rect 7975 11237 7987 11240 +rect 7929 11231 7987 11237 +rect 8018 11228 8024 11240 +rect 8076 11228 8082 11280 +rect 8297 11271 8355 11277 +rect 8297 11237 8309 11271 +rect 8343 11268 8355 11271 +rect 8386 11268 8392 11280 +rect 8343 11240 8392 11268 +rect 8343 11237 8355 11240 +rect 8297 11231 8355 11237 +rect 8386 11228 8392 11240 +rect 8444 11228 8450 11280 rect 4893 11135 4951 11141 rect 4893 11101 4905 11135 rect 4939 11132 4951 11135 @@ -627,82 +644,68 @@ rect 4893 11095 4951 11101 rect 5629 11101 5641 11104 rect 5675 11101 5687 11135 rect 5629 11095 5687 11101 -rect 7098 11092 7104 11144 -rect 7156 11132 7162 11144 rect 7469 11135 7527 11141 -rect 7469 11132 7481 11135 -rect 7156 11104 7481 11132 -rect 7156 11092 7162 11104 -rect 7469 11101 7481 11104 +rect 7469 11101 7481 11135 rect 7515 11101 7527 11135 rect 7469 11095 7527 11101 -rect 7650 11092 7656 11144 -rect 7708 11132 7714 11144 rect 7745 11135 7803 11141 -rect 7745 11132 7757 11135 -rect 7708 11104 7757 11132 -rect 7708 11092 7714 11104 -rect 7745 11101 7757 11104 +rect 7745 11101 7757 11135 rect 7791 11101 7803 11135 rect 7745 11095 7803 11101 rect 8021 11135 8079 11141 rect 8021 11101 8033 11135 rect 8067 11132 8079 11135 -rect 8220 11132 8248 11240 -rect 9125 11237 9137 11271 -rect 9171 11268 9183 11271 -rect 9493 11271 9551 11277 -rect 9493 11268 9505 11271 -rect 9171 11240 9505 11268 -rect 9171 11237 9183 11240 -rect 9125 11231 9183 11237 -rect 9493 11237 9505 11240 -rect 9539 11268 9551 11271 -rect 13814 11268 13820 11280 -rect 9539 11240 13820 11268 -rect 9539 11237 9551 11240 -rect 9493 11231 9551 11237 -rect 13814 11228 13820 11240 -rect 13872 11228 13878 11280 -rect 8067 11104 8248 11132 -rect 8573 11135 8631 11141 +rect 8110 11132 8116 11144 +rect 8067 11104 8116 11132 rect 8067 11101 8079 11104 rect 8021 11095 8079 11101 -rect 8573 11101 8585 11135 -rect 8619 11132 8631 11135 -rect 9490 11132 9496 11144 -rect 8619 11104 9496 11132 -rect 8619 11101 8631 11104 -rect 8573 11095 8631 11101 -rect 9490 11092 9496 11104 -rect 9548 11092 9554 11144 -rect 1394 11024 1400 11076 -rect 1452 11064 1458 11076 -rect 2501 11067 2559 11073 -rect 2501 11064 2513 11067 -rect 1452 11036 2513 11064 -rect 1452 11024 1458 11036 -rect 2501 11033 2513 11036 -rect 2547 11064 2559 11067 -rect 2961 11067 3019 11073 -rect 2961 11064 2973 11067 -rect 2547 11036 2973 11064 -rect 2547 11033 2559 11036 -rect 2501 11027 2559 11033 -rect 2961 11033 2973 11036 -rect 3007 11033 3019 11067 -rect 2961 11027 3019 11033 +rect 8110 11092 8116 11104 +rect 8168 11092 8174 11144 +rect 8481 11135 8539 11141 +rect 8481 11101 8493 11135 +rect 8527 11101 8539 11135 +rect 8481 11095 8539 11101 +rect 4338 11064 4344 11076 +rect 2731 11036 3188 11064 +rect 4080 11036 4344 11064 +rect 2731 11033 2743 11036 +rect 2685 11027 2743 11033 +rect 3160 11008 3188 11036 +rect 4338 11024 4344 11036 +rect 4396 11064 4402 11076 +rect 4525 11067 4583 11073 +rect 4525 11064 4537 11067 +rect 4396 11036 4537 11064 +rect 4396 11024 4402 11036 +rect 4525 11033 4537 11036 +rect 4571 11033 4583 11067 +rect 4525 11027 4583 11033 rect 4709 11067 4767 11073 rect 4709 11033 4721 11067 rect 4755 11064 4767 11067 -rect 4798 11064 4804 11076 -rect 4755 11036 4804 11064 +rect 4985 11067 5043 11073 +rect 4755 11036 4936 11064 rect 4755 11033 4767 11036 rect 4709 11027 4767 11033 -rect 4798 11024 4804 11036 -rect 4856 11064 4862 11076 -rect 4856 11036 4936 11064 -rect 4856 11024 4862 11036 +rect 4908 11008 4936 11036 +rect 4985 11033 4997 11067 +rect 5031 11064 5043 11067 +rect 5074 11064 5080 11076 +rect 5031 11036 5080 11064 +rect 5031 11033 5043 11036 +rect 4985 11027 5043 11033 +rect 5074 11024 5080 11036 +rect 5132 11024 5138 11076 +rect 5169 11067 5227 11073 +rect 5169 11033 5181 11067 +rect 5215 11033 5227 11067 +rect 5169 11027 5227 11033 +rect 5353 11067 5411 11073 +rect 5353 11033 5365 11067 +rect 5399 11064 5411 11067 +rect 5399 11036 5580 11064 +rect 5399 11033 5411 11036 +rect 5353 11027 5411 11033 rect 2038 10996 2044 11008 rect 1999 10968 2044 10996 rect 2038 10956 2044 10968 @@ -716,6 +719,8 @@ rect 2455 10965 2467 10968 rect 2409 10959 2467 10965 rect 2590 10956 2596 10968 rect 2648 10956 2654 11008 +rect 3142 10956 3148 11008 +rect 3200 10956 3206 11008 rect 3329 10999 3387 11005 rect 3329 10965 3341 10999 rect 3375 10996 3387 10999 @@ -727,33 +732,38 @@ rect 3510 10956 3516 10968 rect 3568 10956 3574 11008 rect 3602 10956 3608 11008 rect 3660 10996 3666 11008 -rect 4908 10996 4936 11036 -rect 4982 11024 4988 11076 -rect 5040 11064 5046 11076 -rect 5169 11067 5227 11073 -rect 5040 11036 5085 11064 -rect 5040 11024 5046 11036 -rect 5169 11033 5181 11067 -rect 5215 11033 5227 11067 -rect 5169 11027 5227 11033 -rect 5353 11067 5411 11073 -rect 5353 11033 5365 11067 -rect 5399 11064 5411 11067 -rect 6546 11064 6552 11076 -rect 5399 11036 6552 11064 -rect 5399 11033 5411 11036 -rect 5353 11027 5411 11033 +rect 4890 10996 4896 11008 +rect 3660 10968 3705 10996 +rect 4803 10968 4896 10996 +rect 3660 10956 3666 10968 +rect 4890 10956 4896 10968 +rect 4948 10996 4954 11008 rect 5184 10996 5212 11027 -rect 6546 11024 6552 11036 -rect 6604 11024 6610 11076 -rect 6822 11064 6828 11076 -rect 6783 11036 6828 11064 -rect 6822 11024 6828 11036 -rect 6880 11024 6886 11076 -rect 6914 11024 6920 11076 -rect 6972 11064 6978 11076 -rect 6972 11036 7017 11064 -rect 6972 11024 6978 11036 +rect 5442 10996 5448 11008 +rect 4948 10968 5212 10996 +rect 5403 10968 5448 10996 +rect 4948 10956 4954 10968 +rect 5442 10956 5448 10968 +rect 5500 10956 5506 11008 +rect 5552 10996 5580 11036 +rect 5718 11024 5724 11076 +rect 5776 11064 5782 11076 +rect 6270 11064 6276 11076 +rect 5776 11036 6276 11064 +rect 5776 11024 5782 11036 +rect 6270 11024 6276 11036 +rect 6328 11064 6334 11076 +rect 6549 11067 6607 11073 +rect 6549 11064 6561 11067 +rect 6328 11036 6561 11064 +rect 6328 11024 6334 11036 +rect 6549 11033 6561 11036 +rect 6595 11033 6607 11067 +rect 7006 11064 7012 11076 +rect 6967 11036 7012 11064 +rect 6549 11027 6607 11033 +rect 7006 11024 7012 11036 +rect 7064 11024 7070 11076 rect 7190 11024 7196 11076 rect 7248 11064 7254 11076 rect 7561 11067 7619 11073 @@ -762,67 +772,55 @@ rect 7248 11036 7573 11064 rect 7248 11024 7254 11036 rect 7561 11033 7573 11036 rect 7607 11033 7619 11067 +rect 8496 11064 8524 11095 +rect 8864 11076 8892 11308 +rect 9309 11305 9321 11339 +rect 9355 11336 9367 11339 +rect 13814 11336 13820 11348 +rect 9355 11308 13820 11336 +rect 9355 11305 9367 11308 +rect 9309 11299 9367 11305 +rect 9125 11135 9183 11141 +rect 9125 11101 9137 11135 +rect 9171 11132 9183 11135 +rect 9324 11132 9352 11299 +rect 13814 11296 13820 11308 +rect 13872 11296 13878 11348 +rect 9171 11104 9352 11132 +rect 9171 11101 9183 11104 +rect 9125 11095 9183 11101 +rect 8846 11064 8852 11076 rect 7561 11027 7619 11033 -rect 8294 11024 8300 11076 -rect 8352 11064 8358 11076 -rect 8481 11067 8539 11073 -rect 8481 11064 8493 11067 -rect 8352 11036 8493 11064 -rect 8352 11024 8358 11036 -rect 8481 11033 8493 11036 -rect 8527 11033 8539 11067 -rect 8757 11067 8815 11073 -rect 8757 11064 8769 11067 -rect 8481 11027 8539 11033 -rect 8588 11036 8769 11064 -rect 5442 10996 5448 11008 -rect 3660 10968 3705 10996 -rect 4908 10968 5212 10996 -rect 5403 10968 5448 10996 -rect 3660 10956 3666 10968 -rect 5442 10956 5448 10968 -rect 5500 10956 5506 11008 -rect 5810 10956 5816 11008 -rect 5868 10996 5874 11008 -rect 6178 10996 6184 11008 -rect 5868 10968 6184 10996 -rect 5868 10956 5874 10968 -rect 6178 10956 6184 10968 -rect 6236 10956 6242 11008 -rect 6638 10956 6644 11008 -rect 6696 10996 6702 11008 -rect 6932 10996 6960 11024 -rect 7101 10999 7159 11005 -rect 7101 10996 7113 10999 -rect 6696 10968 7113 10996 -rect 6696 10956 6702 10968 -rect 7101 10965 7113 10968 -rect 7147 10996 7159 10999 -rect 7285 10999 7343 11005 -rect 7285 10996 7297 10999 -rect 7147 10968 7297 10996 -rect 7147 10965 7159 10968 -rect 7101 10959 7159 10965 -rect 7285 10965 7297 10968 -rect 7331 10996 7343 10999 -rect 7650 10996 7656 11008 -rect 7331 10968 7656 10996 -rect 7331 10965 7343 10968 -rect 7285 10959 7343 10965 -rect 7650 10956 7656 10968 -rect 7708 10956 7714 11008 -rect 8018 10956 8024 11008 -rect 8076 10996 8082 11008 -rect 8588 10996 8616 11036 -rect 8757 11033 8769 11036 -rect 8803 11033 8815 11067 -rect 8757 11027 8815 11033 -rect 9214 10996 9220 11008 -rect 8076 10968 8616 10996 -rect 9175 10968 9220 10996 -rect 8076 10956 8082 10968 -rect 9214 10956 9220 10968 -rect 9272 10956 9278 11008 +rect 7668 11036 8524 11064 +rect 8807 11036 8852 11064 +rect 6086 10996 6092 11008 +rect 5552 10968 6092 10996 +rect 6086 10956 6092 10968 +rect 6144 10956 6150 11008 +rect 7374 10956 7380 11008 +rect 7432 10996 7438 11008 +rect 7668 10996 7696 11036 +rect 8846 11024 8852 11036 +rect 8904 11024 8910 11076 +rect 9030 11064 9036 11076 +rect 8991 11036 9036 11064 +rect 9030 11024 9036 11036 +rect 9088 11024 9094 11076 +rect 9401 11067 9459 11073 +rect 9401 11064 9413 11067 +rect 9140 11036 9413 11064 +rect 8202 10996 8208 11008 +rect 7432 10968 7696 10996 +rect 8163 10968 8208 10996 +rect 7432 10956 7438 10968 +rect 8202 10956 8208 10968 +rect 8260 10956 8266 11008 +rect 8864 10996 8892 11024 +rect 9140 10996 9168 11036 +rect 9401 11033 9413 11036 +rect 9447 11033 9459 11067 +rect 9401 11027 9459 11033 +rect 8864 10968 9168 10996 rect 920 10906 9844 10928 rect 920 10854 5066 10906 rect 5118 10854 5130 10906 @@ -835,72 +833,26 @@ rect 1394 10792 1400 10804 rect 1355 10764 1400 10792 rect 1394 10752 1400 10764 rect 1452 10752 1458 10804 -rect 4246 10752 4252 10804 -rect 4304 10792 4310 10804 -rect 4893 10795 4951 10801 -rect 4893 10792 4905 10795 -rect 4304 10764 4905 10792 -rect 4304 10752 4310 10764 -rect 4893 10761 4905 10764 -rect 4939 10761 4951 10795 -rect 4893 10755 4951 10761 -rect 4982 10752 4988 10804 -rect 5040 10792 5046 10804 -rect 5077 10795 5135 10801 -rect 5077 10792 5089 10795 -rect 5040 10764 5089 10792 -rect 5040 10752 5046 10764 -rect 5077 10761 5089 10764 -rect 5123 10761 5135 10795 -rect 5810 10792 5816 10804 -rect 5077 10755 5135 10761 -rect 5276 10764 5816 10792 -rect 5276 10736 5304 10764 -rect 5810 10752 5816 10764 -rect 5868 10752 5874 10804 -rect 5905 10795 5963 10801 -rect 5905 10761 5917 10795 -rect 5951 10792 5963 10795 -rect 7466 10792 7472 10804 -rect 5951 10764 7472 10792 -rect 5951 10761 5963 10764 -rect 5905 10755 5963 10761 -rect 7466 10752 7472 10764 -rect 7524 10752 7530 10804 -rect 7561 10795 7619 10801 -rect 7561 10761 7573 10795 -rect 7607 10792 7619 10795 -rect 7650 10792 7656 10804 -rect 7607 10764 7656 10792 -rect 7607 10761 7619 10764 -rect 7561 10755 7619 10761 -rect 7650 10752 7656 10764 -rect 7708 10752 7714 10804 -rect 7837 10795 7895 10801 -rect 7837 10761 7849 10795 -rect 7883 10792 7895 10795 -rect 8110 10792 8116 10804 -rect 7883 10764 8116 10792 -rect 7883 10761 7895 10764 -rect 7837 10755 7895 10761 -rect 8110 10752 8116 10764 -rect 8168 10752 8174 10804 -rect 9490 10792 9496 10804 -rect 9451 10764 9496 10792 -rect 9490 10752 9496 10764 -rect 9548 10752 9554 10804 +rect 2130 10752 2136 10804 +rect 2188 10792 2194 10804 +rect 5169 10795 5227 10801 +rect 5169 10792 5181 10795 +rect 2188 10764 5181 10792 +rect 2188 10752 2194 10764 +rect 5169 10761 5181 10764 +rect 5215 10761 5227 10795 +rect 5169 10755 5227 10761 +rect 6086 10752 6092 10804 +rect 6144 10792 6150 10804 +rect 8205 10795 8263 10801 +rect 6144 10764 7788 10792 +rect 6144 10752 6150 10764 rect 2590 10684 2596 10736 rect 2648 10684 2654 10736 -rect 5258 10684 5264 10736 -rect 5316 10684 5322 10736 -rect 7098 10724 7104 10736 -rect 7059 10696 7104 10724 -rect 7098 10684 7104 10696 -rect 7156 10684 7162 10736 -rect 7374 10684 7380 10736 -rect 7432 10724 7438 10736 -rect 7432 10696 8340 10724 -rect 7432 10684 7438 10696 +rect 5534 10684 5540 10736 +rect 5592 10724 5598 10736 +rect 5592 10696 7604 10724 +rect 5592 10684 5598 10696 rect 1578 10656 1584 10668 rect 1539 10628 1584 10656 rect 1578 10616 1584 10628 @@ -932,107 +884,171 @@ rect 4396 10616 4402 10628 rect 4433 10625 4445 10628 rect 4479 10625 4491 10659 rect 4433 10619 4491 10625 -rect 5450 10657 5508 10663 -rect 5450 10623 5462 10657 -rect 5496 10654 5508 10657 -rect 5626 10656 5632 10668 -rect 5552 10654 5632 10656 -rect 5496 10628 5632 10654 -rect 5496 10626 5580 10628 -rect 5496 10623 5508 10626 -rect 5450 10617 5508 10623 -rect 5626 10616 5632 10628 -rect 5684 10616 5690 10668 -rect 6178 10616 6184 10668 -rect 6236 10665 6242 10668 -rect 6236 10656 6244 10665 -rect 6914 10656 6920 10668 -rect 6236 10628 6281 10656 -rect 6875 10628 6920 10656 -rect 6236 10619 6244 10628 -rect 6236 10616 6242 10619 -rect 6914 10616 6920 10628 -rect 6972 10616 6978 10668 +rect 4982 10616 4988 10668 +rect 5040 10656 5046 10668 +rect 5445 10659 5503 10665 +rect 5445 10656 5457 10659 +rect 5040 10628 5457 10656 +rect 5040 10616 5046 10628 +rect 5445 10625 5457 10628 +rect 5491 10625 5503 10659 +rect 6178 10656 6184 10668 +rect 6139 10628 6184 10656 +rect 5445 10619 5503 10625 +rect 6178 10616 6184 10628 +rect 6236 10616 6242 10668 +rect 6362 10616 6368 10668 +rect 6420 10656 6426 10668 +rect 6917 10659 6975 10665 +rect 6917 10656 6929 10659 +rect 6420 10628 6929 10656 +rect 6420 10616 6426 10628 +rect 6917 10625 6929 10628 +rect 6963 10625 6975 10659 +rect 6917 10619 6975 10625 +rect 7098 10616 7104 10668 +rect 7156 10656 7162 10668 rect 7193 10659 7251 10665 -rect 7193 10625 7205 10659 -rect 7239 10656 7251 10659 -rect 7282 10656 7288 10668 -rect 7239 10628 7288 10656 -rect 7239 10625 7251 10628 +rect 7193 10656 7205 10659 +rect 7156 10628 7205 10656 +rect 7156 10616 7162 10628 +rect 7193 10625 7205 10628 +rect 7239 10625 7251 10659 rect 7193 10619 7251 10625 -rect 7282 10616 7288 10628 -rect 7340 10616 7346 10668 -rect 8312 10665 8340 10696 -rect 7653 10659 7711 10665 -rect 7653 10656 7665 10659 -rect 7392 10628 7665 10656 +rect 7469 10659 7527 10665 +rect 7469 10625 7481 10659 +rect 7515 10625 7527 10659 +rect 7469 10619 7527 10625 +rect 2130 10548 2136 10600 +rect 2188 10588 2194 10600 rect 2225 10591 2283 10597 -rect 2225 10557 2237 10591 -rect 2271 10588 2283 10591 -rect 2406 10588 2412 10600 -rect 2271 10560 2412 10588 -rect 2271 10557 2283 10560 +rect 2225 10588 2237 10591 +rect 2188 10560 2237 10588 +rect 2188 10548 2194 10560 +rect 2225 10557 2237 10560 +rect 2271 10557 2283 10591 rect 2225 10551 2283 10557 -rect 2406 10548 2412 10560 -rect 2464 10548 2470 10600 -rect 4614 10548 4620 10600 -rect 4672 10588 4678 10600 -rect 5258 10588 5264 10600 -rect 4672 10560 5264 10588 -rect 4672 10548 4678 10560 -rect 5258 10548 5264 10560 -rect 5316 10548 5322 10600 -rect 6546 10548 6552 10600 -rect 6604 10588 6610 10600 -rect 7392 10588 7420 10628 -rect 7653 10625 7665 10628 -rect 7699 10625 7711 10659 -rect 7653 10619 7711 10625 -rect 7929 10659 7987 10665 -rect 7929 10625 7941 10659 -rect 7975 10625 7987 10659 -rect 7929 10619 7987 10625 -rect 8297 10659 8355 10665 -rect 8297 10625 8309 10659 -rect 8343 10625 8355 10659 -rect 9306 10656 9312 10668 -rect 9267 10628 9312 10656 -rect 8297 10619 8355 10625 -rect 6604 10560 7420 10588 -rect 6604 10548 6610 10560 -rect 7466 10548 7472 10600 -rect 7524 10588 7530 10600 -rect 7944 10588 7972 10619 -rect 9306 10616 9312 10628 -rect 9364 10616 9370 10668 -rect 8386 10588 8392 10600 -rect 7524 10560 7972 10588 -rect 8036 10560 8392 10588 -rect 7524 10548 7530 10560 -rect 4261 10523 4319 10529 -rect 4261 10489 4273 10523 -rect 4307 10520 4319 10523 -rect 7377 10523 7435 10529 -rect 4307 10492 7328 10520 -rect 4307 10489 4319 10492 -rect 4261 10483 4319 10489 -rect 1673 10455 1731 10461 -rect 1673 10421 1685 10455 -rect 1719 10452 1731 10455 -rect 2222 10452 2228 10464 -rect 1719 10424 2228 10452 -rect 1719 10421 1731 10424 -rect 1673 10415 1731 10421 -rect 2222 10412 2228 10424 -rect 2280 10412 2286 10464 -rect 4706 10452 4712 10464 -rect 4619 10424 4712 10452 -rect 4706 10412 4712 10424 -rect 4764 10452 4770 10464 +rect 4246 10548 4252 10600 +rect 4304 10588 4310 10600 +rect 4893 10591 4951 10597 +rect 4893 10588 4905 10591 +rect 4304 10560 4905 10588 +rect 4304 10548 4310 10560 +rect 4893 10557 4905 10560 +rect 4939 10557 4951 10591 +rect 4893 10551 4951 10557 +rect 5905 10591 5963 10597 +rect 5905 10557 5917 10591 +rect 5951 10588 5963 10591 +rect 6822 10588 6828 10600 +rect 5951 10560 6828 10588 +rect 5951 10557 5963 10560 +rect 5905 10551 5963 10557 +rect 6822 10548 6828 10560 +rect 6880 10548 6886 10600 +rect 7006 10548 7012 10600 +rect 7064 10588 7070 10600 +rect 7484 10588 7512 10619 +rect 7064 10560 7512 10588 +rect 7576 10588 7604 10696 +rect 7760 10665 7788 10764 +rect 8205 10761 8217 10795 +rect 8251 10761 8263 10795 +rect 8205 10755 8263 10761 +rect 8573 10795 8631 10801 +rect 8573 10761 8585 10795 +rect 8619 10792 8631 10795 +rect 13906 10792 13912 10804 +rect 8619 10764 13912 10792 +rect 8619 10761 8631 10764 +rect 8573 10755 8631 10761 +rect 8220 10724 8248 10755 +rect 13906 10752 13912 10764 +rect 13964 10752 13970 10804 +rect 13814 10724 13820 10736 +rect 8220 10696 13820 10724 +rect 13814 10684 13820 10696 +rect 13872 10684 13878 10736 +rect 7745 10659 7803 10665 +rect 7745 10625 7757 10659 +rect 7791 10625 7803 10659 +rect 7745 10619 7803 10625 +rect 8021 10659 8079 10665 +rect 8021 10625 8033 10659 +rect 8067 10625 8079 10659 +rect 8021 10619 8079 10625 +rect 8036 10588 8064 10619 +rect 8202 10616 8208 10668 +rect 8260 10656 8266 10668 +rect 8389 10659 8447 10665 +rect 8389 10656 8401 10659 +rect 8260 10628 8401 10656 +rect 8260 10616 8266 10628 +rect 8389 10625 8401 10628 +rect 8435 10625 8447 10659 +rect 8389 10619 8447 10625 +rect 8754 10588 8760 10600 +rect 7576 10560 8064 10588 +rect 8715 10560 8760 10588 +rect 7064 10548 7070 10560 +rect 8754 10548 8760 10560 +rect 8812 10548 8818 10600 +rect 9493 10591 9551 10597 +rect 9493 10588 9505 10591 +rect 9140 10560 9505 10588 +rect 1762 10520 1768 10532 +rect 1723 10492 1768 10520 +rect 1762 10480 1768 10492 +rect 1820 10480 1826 10532 +rect 5626 10520 5632 10532 +rect 4540 10492 5632 10520 +rect 4261 10455 4319 10461 +rect 4261 10421 4273 10455 +rect 4307 10452 4319 10455 +rect 4540 10452 4568 10492 +rect 5626 10480 5632 10492 +rect 5684 10520 5690 10532 +rect 6730 10520 6736 10532 +rect 5684 10492 6736 10520 +rect 5684 10480 5690 10492 +rect 6730 10480 6736 10492 +rect 6788 10480 6794 10532 +rect 6914 10480 6920 10532 +rect 6972 10520 6978 10532 +rect 9140 10529 9168 10560 +rect 9493 10557 9505 10560 +rect 9539 10588 9551 10591 +rect 13722 10588 13728 10600 +rect 9539 10560 13728 10588 +rect 9539 10557 9551 10560 +rect 9493 10551 9551 10557 +rect 13722 10548 13728 10560 +rect 13780 10548 13786 10600 +rect 7561 10523 7619 10529 +rect 7561 10520 7573 10523 +rect 6972 10492 7573 10520 +rect 6972 10480 6978 10492 +rect 7561 10489 7573 10492 +rect 7607 10489 7619 10523 +rect 9125 10523 9183 10529 +rect 7561 10483 7619 10489 +rect 7668 10492 8616 10520 +rect 4307 10424 4568 10452 +rect 4307 10421 4319 10424 +rect 4261 10415 4319 10421 +rect 4614 10412 4620 10464 +rect 4672 10452 4678 10464 +rect 4709 10455 4767 10461 +rect 4709 10452 4721 10455 +rect 4672 10424 4721 10452 +rect 4672 10412 4678 10424 +rect 4709 10421 4721 10424 +rect 4755 10452 4767 10455 rect 5721 10455 5779 10461 rect 5721 10452 5733 10455 -rect 4764 10424 5733 10452 -rect 4764 10412 4770 10424 +rect 4755 10424 5733 10452 +rect 4755 10421 4767 10424 +rect 4709 10415 4767 10421 rect 5721 10421 5733 10424 rect 5767 10452 5779 10455 rect 6273 10455 6331 10461 @@ -1042,46 +1058,43 @@ rect 5767 10421 5779 10424 rect 5721 10415 5779 10421 rect 6273 10421 6285 10424 rect 6319 10421 6331 10455 +rect 6638 10452 6644 10464 +rect 6599 10424 6644 10452 rect 6273 10415 6331 10421 -rect 6641 10455 6699 10461 -rect 6641 10421 6653 10455 -rect 6687 10452 6699 10455 -rect 6730 10452 6736 10464 -rect 6687 10424 6736 10452 -rect 6687 10421 6699 10424 -rect 6641 10415 6699 10421 -rect 6730 10412 6736 10424 -rect 6788 10412 6794 10464 -rect 7300 10452 7328 10492 -rect 7377 10489 7389 10523 -rect 7423 10520 7435 10523 -rect 8036 10520 8064 10560 -rect 8386 10548 8392 10560 -rect 8444 10548 8450 10600 -rect 7423 10492 8064 10520 -rect 8113 10523 8171 10529 -rect 7423 10489 7435 10492 -rect 7377 10483 7435 10489 -rect 8113 10489 8125 10523 -rect 8159 10520 8171 10523 -rect 13814 10520 13820 10532 -rect 8159 10492 13820 10520 -rect 8159 10489 8171 10492 -rect 8113 10483 8171 10489 -rect 13814 10480 13820 10492 -rect 13872 10480 13878 10532 -rect 8018 10452 8024 10464 -rect 7300 10424 8024 10452 -rect 8018 10412 8024 10424 -rect 8076 10412 8082 10464 +rect 6638 10412 6644 10424 +rect 6696 10412 6702 10464 +rect 7006 10452 7012 10464 +rect 6967 10424 7012 10452 +rect 7006 10412 7012 10424 +rect 7064 10412 7070 10464 +rect 7374 10452 7380 10464 +rect 7335 10424 7380 10452 +rect 7374 10412 7380 10424 +rect 7432 10412 7438 10464 +rect 7466 10412 7472 10464 +rect 7524 10452 7530 10464 +rect 7668 10452 7696 10492 +rect 7524 10424 7696 10452 +rect 7929 10455 7987 10461 +rect 7524 10412 7530 10424 +rect 7929 10421 7941 10455 +rect 7975 10452 7987 10455 rect 8478 10452 8484 10464 -rect 8439 10424 8484 10452 +rect 7975 10424 8484 10452 +rect 7975 10421 7987 10424 +rect 7929 10415 7987 10421 rect 8478 10412 8484 10424 rect 8536 10412 8542 10464 -rect 8662 10452 8668 10464 -rect 8623 10424 8668 10452 -rect 8662 10412 8668 10424 -rect 8720 10412 8726 10464 +rect 8588 10452 8616 10492 +rect 9125 10489 9137 10523 +rect 9171 10489 9183 10523 +rect 9125 10483 9183 10489 +rect 9217 10455 9275 10461 +rect 9217 10452 9229 10455 +rect 8588 10424 9229 10452 +rect 9217 10421 9229 10424 +rect 9263 10421 9275 10455 +rect 9217 10415 9275 10421 rect 920 10362 9844 10384 rect 920 10310 2566 10362 rect 2618 10310 2630 10362 @@ -1095,15 +1108,15 @@ rect 7746 10310 7758 10362 rect 7810 10310 7822 10362 rect 7874 10310 9844 10362 rect 920 10288 9844 10310 -rect 2406 10208 2412 10260 -rect 2464 10248 2470 10260 -rect 2685 10251 2743 10257 -rect 2685 10248 2697 10251 -rect 2464 10220 2697 10248 -rect 2464 10208 2470 10220 -rect 2685 10217 2697 10220 -rect 2731 10217 2743 10251 -rect 2685 10211 2743 10217 +rect 2222 10208 2228 10260 +rect 2280 10248 2286 10260 +rect 2869 10251 2927 10257 +rect 2869 10248 2881 10251 +rect 2280 10220 2881 10248 +rect 2280 10208 2286 10220 +rect 2869 10217 2881 10220 +rect 2915 10217 2927 10251 +rect 2869 10211 2927 10217 rect 3237 10251 3295 10257 rect 3237 10217 3249 10251 rect 3283 10248 3295 10251 @@ -1120,52 +1133,36 @@ rect 3927 10217 3939 10220 rect 3881 10211 3939 10217 rect 4154 10208 4160 10220 rect 4212 10248 4218 10260 -rect 4706 10248 4712 10260 -rect 4212 10220 4712 10248 +rect 4614 10248 4620 10260 +rect 4212 10220 4620 10248 rect 4212 10208 4218 10220 -rect 4706 10208 4712 10220 -rect 4764 10208 4770 10260 -rect 6914 10248 6920 10260 -rect 5552 10220 6920 10248 -rect 1578 10140 1584 10192 -rect 1636 10180 1642 10192 -rect 5552 10189 5580 10220 -rect 6914 10208 6920 10220 -rect 6972 10208 6978 10260 -rect 8478 10208 8484 10260 -rect 8536 10248 8542 10260 -rect 13814 10248 13820 10260 -rect 8536 10220 13820 10248 -rect 8536 10208 8542 10220 -rect 13814 10208 13820 10220 -rect 13872 10208 13878 10260 -rect 5537 10183 5595 10189 -rect 5537 10180 5549 10183 -rect 1636 10152 5549 10180 -rect 1636 10140 1642 10152 -rect 5537 10149 5549 10152 -rect 5583 10149 5595 10183 -rect 5537 10143 5595 10149 -rect 7558 10140 7564 10192 -rect 7616 10180 7622 10192 -rect 8662 10180 8668 10192 -rect 7616 10152 8668 10180 -rect 7616 10140 7622 10152 -rect 8662 10140 8668 10152 -rect 8720 10140 8726 10192 -rect 1394 10072 1400 10124 -rect 1452 10112 1458 10124 -rect 1452 10084 2176 10112 -rect 1452 10072 1458 10084 -rect 1305 10047 1363 10053 -rect 1305 10013 1317 10047 -rect 1351 10044 1363 10047 -rect 1762 10044 1768 10056 -rect 1351 10016 1768 10044 -rect 1351 10013 1363 10016 -rect 1305 10007 1363 10013 -rect 1762 10004 1768 10016 -rect 1820 10004 1826 10056 +rect 4614 10208 4620 10220 +rect 4672 10208 4678 10260 +rect 4709 10251 4767 10257 +rect 4709 10217 4721 10251 +rect 4755 10248 4767 10251 +rect 6362 10248 6368 10260 +rect 4755 10220 6368 10248 +rect 4755 10217 4767 10220 +rect 4709 10211 4767 10217 +rect 1578 10072 1584 10124 +rect 1636 10112 1642 10124 +rect 4724 10112 4752 10211 +rect 6362 10208 6368 10220 +rect 6420 10208 6426 10260 +rect 6730 10208 6736 10260 +rect 6788 10248 6794 10260 +rect 8754 10248 8760 10260 +rect 6788 10220 8760 10248 +rect 6788 10208 6794 10220 +rect 8754 10208 8760 10220 +rect 8812 10208 8818 10260 +rect 1636 10084 4752 10112 +rect 1636 10072 1642 10084 +rect 1302 10044 1308 10056 +rect 1263 10016 1308 10044 +rect 1302 10004 1308 10016 +rect 1360 10004 1366 10056 rect 1949 10047 2007 10053 rect 1949 10013 1961 10047 rect 1995 10044 2007 10047 @@ -1176,31 +1173,13 @@ rect 1995 10013 2007 10016 rect 1949 10007 2007 10013 rect 2041 10013 2053 10016 rect 2087 10013 2099 10047 -rect 2148 10044 2176 10084 -rect 2314 10072 2320 10124 -rect 2372 10112 2378 10124 -rect 2869 10115 2927 10121 -rect 2869 10112 2881 10115 -rect 2372 10084 2881 10112 -rect 2372 10072 2378 10084 -rect 2869 10081 2881 10084 -rect 2915 10081 2927 10115 -rect 2869 10075 2927 10081 -rect 6457 10115 6515 10121 -rect 6457 10081 6469 10115 -rect 6503 10112 6515 10115 -rect 6822 10112 6828 10124 -rect 6503 10084 6828 10112 -rect 6503 10081 6515 10084 -rect 6457 10075 6515 10081 -rect 6822 10072 6828 10084 -rect 6880 10072 6886 10124 -rect 8386 10112 8392 10124 -rect 7944 10084 8392 10112 +rect 2041 10007 2099 10013 +rect 2314 10004 2320 10056 +rect 2372 10044 2378 10056 rect 3329 10047 3387 10053 rect 3329 10044 3341 10047 -rect 2148 10016 3341 10044 -rect 2041 10007 2099 10013 +rect 2372 10016 3341 10044 +rect 2372 10004 2378 10016 rect 3329 10013 3341 10016 rect 3375 10013 3387 10047 rect 3602 10044 3608 10056 @@ -1212,11 +1191,22 @@ rect 6086 10044 6092 10056 rect 6047 10016 6092 10044 rect 6086 10004 6092 10016 rect 6144 10004 6150 10056 -rect 7944 10053 7972 10084 -rect 8386 10072 8392 10084 -rect 8444 10072 8450 10124 +rect 6457 10047 6515 10053 +rect 6457 10013 6469 10047 +rect 6503 10044 6515 10047 +rect 6546 10044 6552 10056 +rect 6503 10016 6552 10044 +rect 6503 10013 6515 10016 +rect 6457 10007 6515 10013 +rect 6546 10004 6552 10016 +rect 6604 10004 6610 10056 +rect 7374 10004 7380 10056 +rect 7432 10044 7438 10056 rect 7929 10047 7987 10053 -rect 7929 10013 7941 10047 +rect 7929 10044 7941 10047 +rect 7432 10016 7941 10044 +rect 7432 10004 7438 10016 +rect 7929 10013 7941 10016 rect 7975 10013 7987 10047 rect 9398 10044 9404 10056 rect 9359 10016 9404 10044 @@ -1226,28 +1216,37 @@ rect 9456 10004 9462 10056 rect 2958 9936 2964 9988 rect 3016 9976 3022 9988 rect 3620 9976 3648 10004 +rect 5994 9976 6000 9988 rect 3016 9948 3648 9976 -rect 4249 9979 4307 9985 +rect 5955 9948 6000 9976 rect 3016 9936 3022 9948 -rect 4249 9945 4261 9979 -rect 4295 9976 4307 9979 -rect 4890 9976 4896 9988 -rect 4295 9948 4896 9976 -rect 4295 9945 4307 9948 -rect 4249 9939 4307 9945 -rect 4890 9936 4896 9948 -rect 4948 9936 4954 9988 -rect 6914 9936 6920 9988 -rect 6972 9936 6978 9988 -rect 8386 9936 8392 9988 -rect 8444 9976 8450 9988 -rect 8757 9979 8815 9985 -rect 8757 9976 8769 9979 -rect 8444 9948 8769 9976 -rect 8444 9936 8450 9948 -rect 8757 9945 8769 9948 -rect 8803 9945 8815 9979 -rect 8757 9939 8815 9945 +rect 5994 9936 6000 9948 +rect 6052 9936 6058 9988 +rect 7466 9936 7472 9988 +rect 7524 9936 7530 9988 +rect 8493 9979 8551 9985 +rect 8493 9945 8505 9979 +rect 8539 9976 8551 9979 +rect 13538 9976 13544 9988 +rect 8539 9948 13544 9976 +rect 8539 9945 8551 9948 +rect 8493 9939 8551 9945 +rect 13538 9936 13544 9948 +rect 13596 9936 13602 9988 +rect 1854 9868 1860 9920 +rect 1912 9908 1918 9920 +rect 2130 9908 2136 9920 +rect 1912 9880 2136 9908 +rect 1912 9868 1918 9880 +rect 2130 9868 2136 9880 +rect 2188 9908 2194 9920 +rect 2685 9911 2743 9917 +rect 2685 9908 2697 9911 +rect 2188 9880 2697 9908 +rect 2188 9868 2194 9880 +rect 2685 9877 2697 9880 +rect 2731 9877 2743 9911 +rect 2685 9871 2743 9877 rect 3786 9868 3792 9920 rect 3844 9908 3850 9920 rect 4065 9911 4123 9917 @@ -1257,22 +1256,15 @@ rect 3844 9868 3850 9880 rect 4065 9877 4077 9880 rect 4111 9877 4123 9911 rect 4065 9871 4123 9877 -rect 6546 9868 6552 9920 -rect 6604 9908 6610 9920 -rect 7282 9908 7288 9920 -rect 6604 9880 7288 9908 -rect 6604 9868 6610 9880 -rect 7282 9868 7288 9880 -rect 7340 9868 7346 9920 -rect 8493 9911 8551 9917 -rect 8493 9877 8505 9911 -rect 8539 9908 8551 9911 -rect 8662 9908 8668 9920 -rect 8539 9880 8668 9908 -rect 8539 9877 8551 9880 -rect 8493 9871 8551 9877 -rect 8662 9868 8668 9880 -rect 8720 9868 8726 9920 +rect 7834 9868 7840 9920 +rect 7892 9908 7898 9920 +rect 8757 9911 8815 9917 +rect 8757 9908 8769 9911 +rect 7892 9880 8769 9908 +rect 7892 9868 7898 9880 +rect 8757 9877 8769 9880 +rect 8803 9877 8815 9911 +rect 8757 9871 8815 9877 rect 920 9818 9844 9840 rect 920 9766 5066 9818 rect 5118 9766 5130 9818 @@ -1281,6 +1273,10 @@ rect 5246 9766 5258 9818 rect 5310 9766 5322 9818 rect 5374 9766 9844 9818 rect 920 9744 9844 9766 +rect 1302 9704 1308 9716 +rect 1263 9676 1308 9704 +rect 1302 9664 1308 9676 +rect 1360 9664 1366 9716 rect 6086 9664 6092 9716 rect 6144 9704 6150 9716 rect 6733 9707 6791 9713 @@ -1292,23 +1288,34 @@ rect 6779 9673 6791 9707 rect 7098 9704 7104 9716 rect 6733 9667 6791 9673 rect 6932 9676 7104 9704 +rect 2314 9596 2320 9648 +rect 2372 9596 2378 9648 +rect 2774 9596 2780 9648 +rect 2832 9636 2838 9648 +rect 2832 9608 2877 9636 +rect 2832 9596 2838 9608 rect 4430 9596 4436 9648 rect 4488 9596 4494 9648 rect 6178 9636 6184 9648 -rect 5276 9608 5672 9636 rect 6139 9608 6184 9636 -rect 1670 9528 1676 9580 -rect 1728 9528 1734 9580 +rect 6178 9596 6184 9608 +rect 6236 9596 6242 9648 +rect 6549 9639 6607 9645 +rect 6549 9605 6561 9639 +rect 6595 9636 6607 9639 +rect 6932 9636 6960 9676 +rect 7098 9664 7104 9676 +rect 7156 9664 7162 9716 +rect 6595 9608 6960 9636 +rect 6595 9605 6607 9608 +rect 6549 9599 6607 9605 +rect 8018 9596 8024 9648 +rect 8076 9596 8082 9648 rect 3234 9568 3240 9580 rect 3195 9540 3240 9568 rect 3234 9528 3240 9540 rect 3292 9528 3298 9580 -rect 4798 9528 4804 9580 -rect 4856 9568 4862 9580 -rect 5276 9568 5304 9608 -rect 4856 9540 5304 9568 rect 5353 9571 5411 9577 -rect 4856 9528 4862 9540 rect 5353 9537 5365 9571 rect 5399 9568 5411 9571 rect 5442 9568 5448 9580 @@ -1317,70 +1324,16 @@ rect 5399 9537 5411 9540 rect 5353 9531 5411 9537 rect 5442 9528 5448 9540 rect 5500 9528 5506 9580 -rect 5644 9568 5672 9608 -rect 6178 9596 6184 9608 -rect 6236 9596 6242 9648 -rect 6546 9636 6552 9648 -rect 6507 9608 6552 9636 -rect 6546 9596 6552 9608 -rect 6604 9596 6610 9648 -rect 6932 9636 6960 9676 -rect 7098 9664 7104 9676 -rect 7156 9664 7162 9716 -rect 8110 9664 8116 9716 -rect 8168 9704 8174 9716 -rect 8168 9676 8524 9704 -rect 8168 9664 8174 9676 -rect 6840 9608 6960 9636 -rect 6840 9577 6868 9608 -rect 8202 9596 8208 9648 -rect 8260 9596 8266 9648 rect 6365 9571 6423 9577 rect 6365 9568 6377 9571 -rect 5644 9540 6377 9568 -rect 6365 9537 6377 9540 -rect 6411 9537 6423 9571 -rect 6365 9531 6423 9537 -rect 6825 9571 6883 9577 -rect 6825 9537 6837 9571 -rect 6871 9537 6883 9571 -rect 6825 9531 6883 9537 -rect 6917 9571 6975 9577 -rect 6917 9537 6929 9571 -rect 6963 9568 6975 9571 -rect 7190 9568 7196 9580 -rect 6963 9540 7196 9568 -rect 6963 9537 6975 9540 -rect 6917 9531 6975 9537 -rect 7190 9528 7196 9540 -rect 7248 9528 7254 9580 -rect 8496 9568 8524 9676 -rect 8757 9571 8815 9577 -rect 8757 9568 8769 9571 -rect 8496 9540 8769 9568 -rect 8757 9537 8769 9540 -rect 8803 9537 8815 9571 -rect 8757 9531 8815 9537 -rect 1305 9503 1363 9509 -rect 1305 9469 1317 9503 -rect 1351 9500 1363 9503 -rect 1762 9500 1768 9512 -rect 1351 9472 1768 9500 -rect 1351 9469 1363 9472 -rect 1305 9463 1363 9469 -rect 1762 9460 1768 9472 -rect 1820 9460 1826 9512 +rect 5551 9540 6377 9568 rect 3053 9503 3111 9509 rect 3053 9469 3065 9503 -rect 3099 9500 3111 9503 -rect 3142 9500 3148 9512 -rect 3099 9472 3148 9500 -rect 3099 9469 3111 9472 -rect 3053 9463 3111 9469 -rect 3142 9460 3148 9472 -rect 3200 9460 3206 9512 +rect 3099 9469 3111 9503 rect 3510 9500 3516 9512 rect 3471 9472 3516 9500 +rect 3053 9463 3111 9469 +rect 3068 9432 3096 9463 rect 3510 9460 3516 9472 rect 3568 9460 3574 9512 rect 3881 9503 3939 9509 @@ -1392,50 +1345,78 @@ rect 3927 9469 3939 9472 rect 3881 9463 3939 9469 rect 4062 9460 4068 9472 rect 4120 9460 4126 9512 -rect 7285 9503 7343 9509 -rect 7285 9469 7297 9503 -rect 7331 9500 7343 9503 -rect 7558 9500 7564 9512 -rect 7331 9472 7564 9500 -rect 7331 9469 7343 9472 -rect 7285 9463 7343 9469 -rect 7558 9460 7564 9472 -rect 7616 9460 7622 9512 -rect 1394 9392 1400 9444 -rect 1452 9432 1458 9444 -rect 5917 9435 5975 9441 -rect 1452 9404 1808 9432 -rect 1452 9392 1458 9404 -rect 1780 9364 1808 9404 -rect 3252 9404 3556 9432 -rect 2795 9367 2853 9373 -rect 2795 9364 2807 9367 -rect 1780 9336 2807 9364 -rect 2795 9333 2807 9336 -rect 2841 9364 2853 9367 -rect 3252 9364 3280 9404 +rect 3068 9404 3556 9432 +rect 1670 9324 1676 9376 +rect 1728 9364 1734 9376 +rect 2314 9364 2320 9376 +rect 1728 9336 2320 9364 +rect 1728 9324 1734 9336 +rect 2314 9324 2320 9336 +rect 2372 9324 2378 9376 rect 3418 9364 3424 9376 -rect 2841 9336 3280 9364 rect 3379 9336 3424 9364 -rect 2841 9333 2853 9336 -rect 2795 9327 2853 9333 rect 3418 9324 3424 9336 rect 3476 9324 3482 9376 rect 3528 9364 3556 9404 -rect 5917 9401 5929 9435 -rect 5963 9432 5975 9435 -rect 5963 9404 7052 9432 -rect 5963 9401 5975 9404 -rect 5917 9395 5975 9401 -rect 5810 9364 5816 9376 -rect 3528 9336 5816 9364 -rect 5810 9324 5816 9336 -rect 5868 9324 5874 9376 -rect 7024 9364 7052 9404 -rect 9030 9364 9036 9376 -rect 7024 9336 9036 9364 -rect 9030 9324 9036 9336 -rect 9088 9324 9094 9376 +rect 4890 9392 4896 9444 +rect 4948 9432 4954 9444 +rect 5551 9432 5579 9540 +rect 6365 9537 6377 9540 +rect 6411 9537 6423 9571 +rect 6365 9531 6423 9537 +rect 6825 9571 6883 9577 +rect 6825 9537 6837 9571 +rect 6871 9537 6883 9571 +rect 6825 9531 6883 9537 +rect 5902 9460 5908 9512 +rect 5960 9500 5966 9512 +rect 6730 9500 6736 9512 +rect 5960 9472 6736 9500 +rect 5960 9460 5966 9472 +rect 6730 9460 6736 9472 +rect 6788 9460 6794 9512 +rect 6840 9500 6868 9531 +rect 6914 9528 6920 9580 +rect 6972 9568 6978 9580 +rect 6972 9540 7017 9568 +rect 6972 9528 6978 9540 +rect 8478 9528 8484 9580 +rect 8536 9568 8542 9580 +rect 8757 9571 8815 9577 +rect 8757 9568 8769 9571 +rect 8536 9540 8769 9568 +rect 8536 9528 8542 9540 +rect 8757 9537 8769 9540 +rect 8803 9537 8815 9571 +rect 8757 9531 8815 9537 +rect 7006 9500 7012 9512 +rect 6840 9472 7012 9500 +rect 7006 9460 7012 9472 +rect 7064 9460 7070 9512 +rect 7285 9503 7343 9509 +rect 7285 9469 7297 9503 +rect 7331 9500 7343 9503 +rect 7834 9500 7840 9512 +rect 7331 9472 7840 9500 +rect 7331 9469 7343 9472 +rect 7285 9463 7343 9469 +rect 7834 9460 7840 9472 +rect 7892 9460 7898 9512 +rect 4948 9404 5579 9432 +rect 4948 9392 4954 9404 +rect 4430 9364 4436 9376 +rect 3528 9336 4436 9364 +rect 4430 9324 4436 9336 +rect 4488 9324 4494 9376 +rect 5917 9367 5975 9373 +rect 5917 9333 5929 9367 +rect 5963 9364 5975 9367 +rect 8662 9364 8668 9376 +rect 5963 9336 8668 9364 +rect 5963 9333 5975 9336 +rect 5917 9327 5975 9333 +rect 8662 9324 8668 9336 +rect 8720 9324 8726 9376 rect 9321 9367 9379 9373 rect 9321 9333 9333 9367 rect 9367 9364 9379 9367 @@ -1484,62 +1465,53 @@ rect 4522 9160 4528 9172 rect 4483 9132 4528 9160 rect 4522 9120 4528 9132 rect 4580 9120 4586 9172 -rect 4890 9160 4896 9172 -rect 4851 9132 4896 9160 -rect 4890 9120 4896 9132 -rect 4948 9120 4954 9172 -rect 5445 9163 5503 9169 -rect 5445 9129 5457 9163 -rect 5491 9129 5503 9163 -rect 6638 9160 6644 9172 -rect 5445 9123 5503 9129 -rect 6196 9132 6644 9160 -rect 1578 9052 1584 9104 -rect 1636 9092 1642 9104 -rect 1636 9064 2774 9092 -rect 1636 9052 1642 9064 -rect 2746 9024 2774 9064 -rect 4706 9052 4712 9104 -rect 4764 9092 4770 9104 -rect 5460 9092 5488 9123 -rect 6196 9104 6224 9132 -rect 6638 9120 6644 9132 -rect 6696 9120 6702 9172 -rect 6822 9120 6828 9172 -rect 6880 9160 6886 9172 -rect 7190 9160 7196 9172 -rect 6880 9132 7196 9160 -rect 6880 9120 6886 9132 -rect 7190 9120 7196 9132 -rect 7248 9120 7254 9172 -rect 9398 9160 9404 9172 -rect 9359 9132 9404 9160 -rect 9398 9120 9404 9132 -rect 9456 9120 9462 9172 -rect 4764 9064 5488 9092 -rect 5721 9095 5779 9101 -rect 4764 9052 4770 9064 -rect 5721 9061 5733 9095 -rect 5767 9092 5779 9095 -rect 6178 9092 6184 9104 -rect 5767 9064 6184 9092 -rect 5767 9061 5779 9064 -rect 5721 9055 5779 9061 -rect 6178 9052 6184 9064 -rect 6236 9052 6242 9104 -rect 8754 9052 8760 9104 -rect 8812 9052 8818 9104 -rect 3050 9024 3056 9036 -rect 2746 8996 3056 9024 -rect 3050 8984 3056 8996 -rect 3108 9024 3114 9036 -rect 4246 9024 4252 9036 -rect 3108 8996 4252 9024 -rect 3108 8984 3114 8996 -rect 4246 8984 4252 8996 -rect 4304 8984 4310 9036 -rect 5534 9024 5540 9036 -rect 4356 8996 5540 9024 +rect 5721 9163 5779 9169 +rect 5721 9129 5733 9163 +rect 5767 9160 5779 9163 +rect 5994 9160 6000 9172 +rect 5767 9132 6000 9160 +rect 5767 9129 5779 9132 +rect 5721 9123 5779 9129 +rect 5994 9120 6000 9132 +rect 6052 9120 6058 9172 +rect 6178 9120 6184 9172 +rect 6236 9160 6242 9172 +rect 7098 9160 7104 9172 +rect 6236 9132 7104 9160 +rect 6236 9120 6242 9132 +rect 7098 9120 7104 9132 +rect 7156 9160 7162 9172 +rect 8202 9160 8208 9172 +rect 7156 9132 8208 9160 +rect 7156 9120 7162 9132 +rect 8202 9120 8208 9132 +rect 8260 9120 8266 9172 +rect 4430 9052 4436 9104 +rect 4488 9092 4494 9104 +rect 5169 9095 5227 9101 +rect 5169 9092 5181 9095 +rect 4488 9064 5181 9092 +rect 4488 9052 4494 9064 +rect 5169 9061 5181 9064 +rect 5215 9092 5227 9095 +rect 6196 9092 6224 9120 +rect 5215 9064 6224 9092 +rect 8481 9095 8539 9101 +rect 5215 9061 5227 9064 +rect 5169 9055 5227 9061 +rect 8481 9061 8493 9095 +rect 8527 9092 8539 9095 +rect 13722 9092 13728 9104 +rect 8527 9064 13728 9092 +rect 8527 9061 8539 9064 +rect 8481 9055 8539 9061 +rect 13722 9052 13728 9064 +rect 13780 9052 13786 9104 +rect 1762 8984 1768 9036 +rect 1820 9024 1826 9036 +rect 6086 9024 6092 9036 +rect 1820 8996 2774 9024 +rect 1820 8984 1826 8996 rect 1302 8956 1308 8968 rect 1263 8928 1308 8956 rect 1302 8916 1308 8928 @@ -1554,27 +1526,48 @@ rect 1995 8925 2007 8928 rect 1949 8919 2007 8925 rect 2041 8925 2053 8928 rect 2087 8925 2099 8959 -rect 2041 8919 2099 8925 -rect 2222 8916 2228 8968 -rect 2280 8956 2286 8968 +rect 2746 8956 2774 8996 +rect 3436 8996 3924 9024 +rect 3436 8965 3464 8996 rect 3421 8959 3479 8965 rect 3421 8956 3433 8959 -rect 2280 8928 3433 8956 -rect 2280 8916 2286 8928 +rect 2746 8928 3433 8956 +rect 2041 8919 2099 8925 rect 3421 8925 3433 8928 rect 3467 8925 3479 8959 rect 3786 8956 3792 8968 rect 3747 8928 3792 8956 rect 3421 8919 3479 8925 -rect 1486 8848 1492 8900 -rect 1544 8888 1550 8900 -rect 2406 8888 2412 8900 -rect 1544 8860 2412 8888 -rect 1544 8848 1550 8860 -rect 2406 8848 2412 8860 -rect 2464 8848 2470 8900 +rect 3786 8916 3792 8928 +rect 3844 8916 3850 8968 +rect 3896 8965 3924 8996 +rect 4632 8996 6092 9024 +rect 4632 8965 4660 8996 +rect 6086 8984 6092 8996 +rect 6144 8984 6150 9036 +rect 6178 8984 6184 9036 +rect 6236 9024 6242 9036 +rect 6236 8996 6281 9024 +rect 6236 8984 6242 8996 +rect 3881 8959 3939 8965 +rect 3881 8925 3893 8959 +rect 3927 8925 3939 8959 +rect 3881 8919 3939 8925 +rect 4341 8959 4399 8965 +rect 4341 8925 4353 8959 +rect 4387 8925 4399 8959 +rect 4341 8919 4399 8925 +rect 4617 8959 4675 8965 +rect 4617 8925 4629 8959 +rect 4663 8925 4675 8959 +rect 4617 8919 4675 8925 +rect 1762 8848 1768 8900 +rect 1820 8888 1826 8900 rect 2777 8891 2835 8897 -rect 2777 8857 2789 8891 +rect 2777 8888 2789 8891 +rect 1820 8860 2789 8888 +rect 1820 8848 1826 8860 +rect 2777 8857 2789 8860 rect 2823 8888 2835 8891 rect 2866 8888 2872 8900 rect 2823 8860 2872 8888 @@ -1591,65 +1584,43 @@ rect 3007 8857 3019 8860 rect 2961 8851 3019 8857 rect 3234 8848 3240 8860 rect 3292 8848 3298 8900 -rect 3436 8888 3464 8919 -rect 3786 8916 3792 8928 -rect 3844 8916 3850 8968 -rect 4356 8965 4384 8996 -rect 5534 8984 5540 8996 -rect 5592 8984 5598 9036 -rect 7466 9024 7472 9036 -rect 5828 8996 7472 9024 -rect 5828 8965 5856 8996 -rect 7466 8984 7472 8996 -rect 7524 8984 7530 9036 -rect 7650 8984 7656 9036 -rect 7708 9024 7714 9036 -rect 7929 9027 7987 9033 -rect 7929 9024 7941 9027 -rect 7708 8996 7941 9024 -rect 7708 8984 7714 8996 -rect 7929 8993 7941 8996 -rect 7975 8993 7987 9027 -rect 8772 9024 8800 9052 -rect 13630 9024 13636 9036 -rect 7929 8987 7987 8993 -rect 8312 8996 13636 9024 -rect 3881 8959 3939 8965 -rect 3881 8925 3893 8959 -rect 3927 8925 3939 8959 -rect 3881 8919 3939 8925 -rect 4341 8959 4399 8965 -rect 4341 8925 4353 8959 -rect 4387 8925 4399 8959 -rect 5077 8959 5135 8965 -rect 5077 8956 5089 8959 -rect 4341 8919 4399 8925 -rect 4540 8928 5089 8956 -rect 3896 8888 3924 8919 -rect 3436 8860 3924 8888 -rect 3970 8848 3976 8900 -rect 4028 8888 4034 8900 -rect 4540 8888 4568 8928 -rect 5077 8925 5089 8928 -rect 5123 8956 5135 8959 -rect 5813 8959 5871 8965 -rect 5813 8956 5825 8959 -rect 5123 8928 5825 8956 -rect 5123 8925 5135 8928 -rect 5077 8919 5135 8925 -rect 5813 8925 5825 8928 -rect 5859 8925 5871 8959 -rect 5813 8919 5871 8925 -rect 6181 8959 6239 8965 -rect 6181 8925 6193 8959 -rect 6227 8925 6239 8959 -rect 6181 8919 6239 8925 -rect 4028 8860 4568 8888 -rect 4617 8891 4675 8897 -rect 4028 8848 4034 8860 -rect 4617 8857 4629 8891 -rect 4663 8857 4675 8891 -rect 4617 8851 4675 8857 +rect 4356 8888 4384 8919 +rect 4706 8916 4712 8968 +rect 4764 8956 4770 8968 +rect 5074 8956 5080 8968 +rect 4764 8928 5080 8956 +rect 4764 8916 4770 8928 +rect 5074 8916 5080 8928 +rect 5132 8916 5138 8968 +rect 5534 8956 5540 8968 +rect 5495 8928 5540 8956 +rect 5534 8916 5540 8928 +rect 5592 8916 5598 8968 +rect 7558 8916 7564 8968 +rect 7616 8956 7622 8968 +rect 8297 8959 8355 8965 +rect 8297 8956 8309 8959 +rect 7616 8928 8309 8956 +rect 7616 8916 7622 8928 +rect 8297 8925 8309 8928 +rect 8343 8956 8355 8959 +rect 8754 8956 8760 8968 +rect 8343 8928 8760 8956 +rect 8343 8925 8355 8928 +rect 8297 8919 8355 8925 +rect 8754 8916 8760 8928 +rect 8812 8916 8818 8968 +rect 9122 8916 9128 8968 +rect 9180 8956 9186 8968 +rect 9309 8959 9367 8965 +rect 9309 8956 9321 8959 +rect 9180 8928 9321 8956 +rect 9180 8916 9186 8928 +rect 9309 8925 9321 8928 +rect 9355 8925 9367 8959 +rect 9309 8919 9367 8925 +rect 5353 8891 5411 8897 +rect 4356 8860 4936 8888 rect 2222 8780 2228 8832 rect 2280 8820 2286 8832 rect 2685 8823 2743 8829 @@ -1672,63 +1643,30 @@ rect 3563 8792 3608 8820 rect 3329 8783 3387 8789 rect 3602 8780 3608 8792 rect 3660 8780 3666 8832 -rect 4632 8820 4660 8851 -rect 4982 8848 4988 8900 -rect 5040 8888 5046 8900 -rect 5169 8891 5227 8897 -rect 5169 8888 5181 8891 -rect 5040 8860 5181 8888 -rect 5040 8848 5046 8860 -rect 5169 8857 5181 8860 -rect 5215 8857 5227 8891 -rect 5169 8851 5227 8857 -rect 5353 8891 5411 8897 +rect 3694 8780 3700 8832 +rect 3752 8820 3758 8832 +rect 4338 8820 4344 8832 +rect 3752 8792 4344 8820 +rect 3752 8780 3758 8792 +rect 4338 8780 4344 8792 +rect 4396 8780 4402 8832 +rect 4908 8829 4936 8860 rect 5353 8857 5365 8891 rect 5399 8888 5411 8891 -rect 5534 8888 5540 8900 -rect 5399 8860 5540 8888 +rect 5810 8888 5816 8900 +rect 5399 8860 5816 8888 rect 5399 8857 5411 8860 rect 5353 8851 5411 8857 -rect 5534 8848 5540 8860 -rect 5592 8848 5598 8900 +rect 5810 8848 5816 8860 +rect 5868 8848 5874 8900 rect 5997 8891 6055 8897 rect 5997 8857 6009 8891 rect 6043 8888 6055 8891 -rect 6086 8888 6092 8900 -rect 6043 8860 6092 8888 +rect 6457 8891 6515 8897 +rect 6043 8860 6224 8888 rect 6043 8857 6055 8860 rect 5997 8851 6055 8857 -rect 6086 8848 6092 8860 -rect 6144 8848 6150 8900 -rect 5442 8820 5448 8832 -rect 4632 8792 5448 8820 -rect 5442 8780 5448 8792 -rect 5500 8780 5506 8832 -rect 5626 8780 5632 8832 -rect 5684 8820 5690 8832 -rect 6196 8820 6224 8919 -rect 7558 8916 7564 8968 -rect 7616 8956 7622 8968 -rect 8312 8965 8340 8996 -rect 13630 8984 13636 8996 -rect 13688 8984 13694 9036 -rect 8297 8959 8355 8965 -rect 8297 8956 8309 8959 -rect 7616 8928 8309 8956 -rect 7616 8916 7622 8928 -rect 8297 8925 8309 8928 -rect 8343 8925 8355 8959 -rect 8297 8919 8355 8925 -rect 8757 8959 8815 8965 -rect 8757 8925 8769 8959 -rect 8803 8956 8815 8959 -rect 8846 8956 8852 8968 -rect 8803 8928 8852 8956 -rect 8803 8925 8815 8928 -rect 8757 8919 8815 8925 -rect 8846 8916 8852 8928 -rect 8904 8916 8910 8968 -rect 6457 8891 6515 8897 +rect 6196 8832 6224 8860 rect 6457 8857 6469 8891 rect 6503 8888 6515 8891 rect 6546 8888 6552 8900 @@ -1737,38 +1675,60 @@ rect 6503 8857 6515 8860 rect 6457 8851 6515 8857 rect 6546 8848 6552 8860 rect 6604 8848 6610 8900 +rect 7834 8848 7840 8900 +rect 7892 8888 7898 8900 rect 8113 8891 8171 8897 rect 8113 8888 8125 8891 -rect 7760 8860 8125 8888 -rect 7374 8820 7380 8832 -rect 5684 8792 7380 8820 -rect 5684 8780 5690 8792 -rect 7374 8780 7380 8792 -rect 7432 8780 7438 8832 -rect 7466 8780 7472 8832 -rect 7524 8820 7530 8832 -rect 7760 8820 7788 8860 +rect 7892 8860 8125 8888 +rect 7892 8848 7898 8860 rect 8113 8857 8125 8860 rect 8159 8857 8171 8891 rect 8113 8851 8171 8857 -rect 8202 8848 8208 8900 -rect 8260 8888 8266 8900 -rect 8938 8888 8944 8900 -rect 8260 8860 8944 8888 -rect 8260 8848 8266 8860 -rect 8938 8848 8944 8860 -rect 8996 8848 9002 8900 -rect 7524 8792 7788 8820 -rect 8481 8823 8539 8829 -rect 7524 8780 7530 8792 -rect 8481 8789 8493 8823 -rect 8527 8820 8539 8823 -rect 13722 8820 13728 8832 -rect 8527 8792 13728 8820 -rect 8527 8789 8539 8792 -rect 8481 8783 8539 8789 -rect 13722 8780 13728 8792 -rect 13780 8780 13786 8832 +rect 4893 8823 4951 8829 +rect 4893 8789 4905 8823 +rect 4939 8820 4951 8823 +rect 4982 8820 4988 8832 +rect 4939 8792 4988 8820 +rect 4939 8789 4951 8792 +rect 4893 8783 4951 8789 +rect 4982 8780 4988 8792 +rect 5040 8780 5046 8832 +rect 5534 8780 5540 8832 +rect 5592 8820 5598 8832 +rect 5905 8823 5963 8829 +rect 5905 8820 5917 8823 +rect 5592 8792 5917 8820 +rect 5592 8780 5598 8792 +rect 5905 8789 5917 8792 +rect 5951 8789 5963 8823 +rect 5905 8783 5963 8789 +rect 6178 8780 6184 8832 +rect 6236 8780 6242 8832 +rect 6362 8780 6368 8832 +rect 6420 8820 6426 8832 +rect 6822 8820 6828 8832 +rect 6420 8792 6828 8820 +rect 6420 8780 6426 8792 +rect 6822 8780 6828 8792 +rect 6880 8780 6886 8832 +rect 7929 8823 7987 8829 +rect 7929 8789 7941 8823 +rect 7975 8820 7987 8823 +rect 8018 8820 8024 8832 +rect 7975 8792 8024 8820 +rect 7975 8789 7987 8792 +rect 7929 8783 7987 8789 +rect 8018 8780 8024 8792 +rect 8076 8780 8082 8832 +rect 8386 8780 8392 8832 +rect 8444 8820 8450 8832 +rect 8757 8823 8815 8829 +rect 8757 8820 8769 8823 +rect 8444 8792 8769 8820 +rect 8444 8780 8450 8792 +rect 8757 8789 8769 8792 +rect 8803 8789 8815 8823 +rect 8757 8783 8815 8789 rect 920 8730 9844 8752 rect 920 8678 5066 8730 rect 5118 8678 5130 8730 @@ -1777,38 +1737,76 @@ rect 5246 8678 5258 8730 rect 5310 8678 5322 8730 rect 5374 8678 9844 8730 rect 920 8656 9844 8678 -rect 1394 8616 1400 8628 -rect 1355 8588 1400 8616 -rect 1394 8576 1400 8588 -rect 1452 8576 1458 8628 -rect 1578 8616 1584 8628 -rect 1539 8588 1584 8616 -rect 1578 8576 1584 8588 -rect 1636 8576 1642 8628 +rect 1762 8616 1768 8628 +rect 1723 8588 1768 8616 +rect 1762 8576 1768 8588 +rect 1820 8576 1826 8628 rect 3050 8616 3056 8628 rect 1872 8588 3056 8616 rect 1872 8489 1900 8588 rect 3050 8576 3056 8588 rect 3108 8576 3114 8628 -rect 6457 8619 6515 8625 -rect 6457 8616 6469 8619 -rect 5828 8588 6469 8616 +rect 3142 8576 3148 8628 +rect 3200 8616 3206 8628 +rect 3694 8616 3700 8628 +rect 3200 8588 3700 8616 +rect 3200 8576 3206 8588 +rect 3694 8576 3700 8588 +rect 3752 8616 3758 8628 +rect 3752 8588 4752 8616 +rect 3752 8576 3758 8588 rect 3602 8548 3608 8560 rect 3358 8520 3608 8548 rect 3602 8508 3608 8520 rect 3660 8508 3666 8560 rect 4246 8508 4252 8560 rect 4304 8548 4310 8560 -rect 4304 8520 4568 8548 +rect 4724 8557 4752 8588 +rect 4982 8576 4988 8628 +rect 5040 8616 5046 8628 +rect 6273 8619 6331 8625 +rect 5040 8588 5856 8616 +rect 5040 8576 5046 8588 +rect 4709 8551 4767 8557 +rect 4304 8520 4660 8548 rect 4304 8508 4310 8520 +rect 4632 8492 4660 8520 +rect 4709 8517 4721 8551 +rect 4755 8517 4767 8551 +rect 4890 8548 4896 8560 +rect 4851 8520 4896 8548 +rect 4709 8511 4767 8517 +rect 4890 8508 4896 8520 +rect 4948 8548 4954 8560 +rect 5258 8548 5264 8560 +rect 4948 8520 5264 8548 +rect 4948 8508 4954 8520 +rect 5258 8508 5264 8520 +rect 5316 8548 5322 8560 +rect 5353 8551 5411 8557 +rect 5353 8548 5365 8551 +rect 5316 8520 5365 8548 +rect 5316 8508 5322 8520 +rect 5353 8517 5365 8520 +rect 5399 8517 5411 8551 +rect 5353 8511 5411 8517 rect 1857 8483 1915 8489 rect 1857 8449 1869 8483 rect 1903 8449 1915 8483 -rect 2222 8480 2228 8492 -rect 2183 8452 2228 8480 rect 1857 8443 1915 8449 -rect 2222 8440 2228 8452 -rect 2280 8440 2286 8492 +rect 2056 8452 2360 8480 +rect 1581 8415 1639 8421 +rect 1581 8381 1593 8415 +rect 1627 8412 1639 8415 +rect 2056 8412 2084 8452 +rect 2222 8412 2228 8424 +rect 1627 8384 2084 8412 +rect 2183 8384 2228 8412 +rect 1627 8381 1639 8384 +rect 1581 8375 1639 8381 +rect 2222 8372 2228 8384 +rect 2280 8372 2286 8424 +rect 2332 8412 2360 8452 rect 3418 8440 3424 8492 rect 3476 8480 3482 8492 rect 3697 8483 3755 8489 @@ -1817,193 +1815,207 @@ rect 3476 8452 3709 8480 rect 3476 8440 3482 8452 rect 3697 8449 3709 8452 rect 3743 8449 3755 8483 -rect 4430 8480 4436 8492 -rect 4391 8452 4436 8480 rect 3697 8443 3755 8449 -rect 4430 8440 4436 8452 -rect 4488 8440 4494 8492 -rect 4540 8480 4568 8520 -rect 4798 8508 4804 8560 -rect 4856 8548 4862 8560 -rect 5828 8557 5856 8588 -rect 6457 8585 6469 8588 -rect 6503 8585 6515 8619 -rect 6457 8579 6515 8585 -rect 6822 8576 6828 8628 -rect 6880 8616 6886 8628 -rect 6917 8619 6975 8625 -rect 6917 8616 6929 8619 -rect 6880 8588 6929 8616 -rect 6880 8576 6886 8588 -rect 6917 8585 6929 8588 -rect 6963 8585 6975 8619 -rect 9490 8616 9496 8628 -rect 9451 8588 9496 8616 -rect 6917 8579 6975 8585 -rect 9490 8576 9496 8588 -rect 9548 8576 9554 8628 -rect 13538 8616 13544 8628 -rect 12406 8588 13544 8616 -rect 5353 8551 5411 8557 -rect 5353 8548 5365 8551 -rect 4856 8520 5365 8548 -rect 4856 8508 4862 8520 -rect 5353 8517 5365 8520 -rect 5399 8548 5411 8551 -rect 5813 8551 5871 8557 -rect 5813 8548 5825 8551 -rect 5399 8520 5825 8548 -rect 5399 8517 5411 8520 -rect 5353 8511 5411 8517 -rect 5813 8517 5825 8520 -rect 5859 8517 5871 8551 -rect 5813 8511 5871 8517 -rect 5169 8483 5227 8489 -rect 5169 8480 5181 8483 -rect 4540 8452 5181 8480 -rect 4816 8424 4844 8452 -rect 5169 8449 5181 8452 -rect 5215 8449 5227 8483 -rect 5169 8443 5227 8449 -rect 5258 8440 5264 8492 -rect 5316 8480 5322 8492 -rect 5629 8483 5687 8489 -rect 5629 8480 5641 8483 -rect 5316 8452 5641 8480 -rect 5316 8440 5322 8452 -rect 5629 8449 5641 8452 -rect 5675 8480 5687 8483 -rect 5902 8480 5908 8492 -rect 5675 8452 5908 8480 -rect 5675 8449 5687 8452 -rect 5629 8443 5687 8449 -rect 5902 8440 5908 8452 -rect 5960 8440 5966 8492 -rect 6178 8480 6184 8492 -rect 6139 8452 6184 8480 -rect 6178 8440 6184 8452 -rect 6236 8440 6242 8492 -rect 6638 8480 6644 8492 -rect 6599 8452 6644 8480 -rect 6638 8440 6644 8452 -rect 6696 8440 6702 8492 -rect 6733 8483 6791 8489 -rect 6733 8449 6745 8483 -rect 6779 8449 6791 8483 -rect 6733 8443 6791 8449 -rect 1765 8415 1823 8421 -rect 1765 8381 1777 8415 -rect 1811 8412 1823 8415 +rect 4433 8483 4491 8489 +rect 4433 8449 4445 8483 +rect 4479 8449 4491 8483 +rect 4433 8443 4491 8449 rect 2866 8412 2872 8424 -rect 1811 8384 2872 8412 -rect 1811 8381 1823 8384 -rect 1765 8375 1823 8381 +rect 2332 8384 2872 8412 rect 2866 8372 2872 8384 rect 2924 8372 2930 8424 -rect 4798 8372 4804 8424 -rect 4856 8372 4862 8424 -rect 6748 8412 6776 8443 -rect 6822 8440 6828 8492 -rect 6880 8480 6886 8492 -rect 7009 8483 7067 8489 -rect 7009 8480 7021 8483 -rect 6880 8452 7021 8480 -rect 6880 8440 6886 8452 -rect 7009 8449 7021 8452 -rect 7055 8480 7067 8483 -rect 7098 8480 7104 8492 -rect 7055 8452 7104 8480 -rect 7055 8449 7067 8452 -rect 7009 8443 7067 8449 -rect 7098 8440 7104 8452 -rect 7156 8440 7162 8492 -rect 7374 8480 7380 8492 -rect 7335 8452 7380 8480 -rect 7374 8440 7380 8452 -rect 7432 8440 7438 8492 -rect 8754 8440 8760 8492 -rect 8812 8440 8818 8492 -rect 8938 8440 8944 8492 -rect 8996 8480 9002 8492 -rect 9309 8483 9367 8489 -rect 9309 8480 9321 8483 -rect 8996 8452 9321 8480 -rect 8996 8440 9002 8452 -rect 9309 8449 9321 8452 -rect 9355 8449 9367 8483 -rect 9309 8443 9367 8449 -rect 7282 8412 7288 8424 -rect 6748 8384 7288 8412 -rect 7282 8372 7288 8384 -rect 7340 8372 7346 8424 -rect 7650 8412 7656 8424 -rect 7611 8384 7656 8412 -rect 7650 8372 7656 8384 -rect 7708 8372 7714 8424 -rect 12406 8412 12434 8588 -rect 13538 8576 13544 8588 -rect 13596 8576 13602 8628 -rect 8680 8384 12434 8412 +rect 4448 8412 4476 8443 +rect 4614 8440 4620 8492 +rect 4672 8480 4678 8492 +rect 4672 8452 5396 8480 +rect 4672 8440 4678 8452 +rect 5169 8415 5227 8421 +rect 5169 8412 5181 8415 +rect 4448 8384 5181 8412 +rect 5169 8381 5181 8384 +rect 5215 8381 5227 8415 +rect 5368 8412 5396 8452 +rect 5442 8440 5448 8492 +rect 5500 8482 5506 8492 +rect 5828 8489 5856 8588 +rect 6273 8585 6285 8619 +rect 6319 8616 6331 8619 +rect 6362 8616 6368 8628 +rect 6319 8588 6368 8616 +rect 6319 8585 6331 8588 +rect 6273 8579 6331 8585 +rect 6362 8576 6368 8588 +rect 6420 8576 6426 8628 +rect 6825 8619 6883 8625 +rect 6825 8585 6837 8619 +rect 6871 8616 6883 8619 +rect 6914 8616 6920 8628 +rect 6871 8588 6920 8616 +rect 6871 8585 6883 8588 +rect 6825 8579 6883 8585 +rect 6914 8576 6920 8588 +rect 6972 8576 6978 8628 +rect 7193 8619 7251 8625 +rect 7193 8585 7205 8619 +rect 7239 8616 7251 8619 +rect 7466 8616 7472 8628 +rect 7239 8588 7472 8616 +rect 7239 8585 7251 8588 +rect 7193 8579 7251 8585 +rect 7466 8576 7472 8588 +rect 7524 8576 7530 8628 +rect 8478 8576 8484 8628 +rect 8536 8616 8542 8628 +rect 9122 8616 9128 8628 +rect 8536 8588 8984 8616 +rect 9083 8588 9128 8616 +rect 8536 8576 8542 8588 +rect 5537 8483 5595 8489 +rect 5537 8482 5549 8483 +rect 5500 8454 5549 8482 +rect 5500 8440 5506 8454 +rect 5537 8449 5549 8454 +rect 5583 8449 5595 8483 +rect 5537 8443 5595 8449 +rect 5629 8483 5687 8489 +rect 5629 8449 5641 8483 +rect 5675 8480 5687 8483 +rect 5813 8483 5871 8489 +rect 5675 8452 5764 8480 +rect 5675 8449 5687 8452 +rect 5629 8443 5687 8449 +rect 5368 8384 5580 8412 +rect 5169 8375 5227 8381 rect 4261 8347 4319 8353 rect 4261 8313 4273 8347 rect 4307 8344 4319 8347 -rect 4890 8344 4896 8356 -rect 4307 8316 4896 8344 +rect 4798 8344 4804 8356 +rect 4307 8316 4804 8344 rect 4307 8313 4319 8316 rect 4261 8307 4319 8313 -rect 4890 8304 4896 8316 -rect 4948 8304 4954 8356 -rect 5534 8344 5540 8356 -rect 5495 8316 5540 8344 -rect 5534 8304 5540 8316 -rect 5592 8304 5598 8356 -rect 5810 8304 5816 8356 -rect 5868 8344 5874 8356 -rect 5868 8316 7512 8344 -rect 5868 8304 5874 8316 -rect 4614 8236 4620 8288 -rect 4672 8276 4678 8288 -rect 5077 8279 5135 8285 -rect 5077 8276 5089 8279 -rect 4672 8248 5089 8276 -rect 4672 8236 4678 8248 -rect 5077 8245 5089 8248 -rect 5123 8245 5135 8279 -rect 5902 8276 5908 8288 -rect 5863 8248 5908 8276 -rect 5077 8239 5135 8245 -rect 5902 8236 5908 8248 -rect 5960 8236 5966 8288 -rect 6365 8279 6423 8285 -rect 6365 8245 6377 8279 -rect 6411 8276 6423 8279 -rect 6546 8276 6552 8288 -rect 6411 8248 6552 8276 -rect 6411 8245 6423 8248 -rect 6365 8239 6423 8245 -rect 6546 8236 6552 8248 -rect 6604 8236 6610 8288 -rect 6730 8236 6736 8288 -rect 6788 8276 6794 8288 -rect 7193 8279 7251 8285 -rect 7193 8276 7205 8279 -rect 6788 8248 7205 8276 -rect 6788 8236 6794 8248 -rect 7193 8245 7205 8248 -rect 7239 8245 7251 8279 -rect 7484 8276 7512 8316 -rect 8680 8276 8708 8384 -rect 8754 8304 8760 8356 -rect 8812 8344 8818 8356 -rect 9125 8347 9183 8353 -rect 9125 8344 9137 8347 -rect 8812 8316 9137 8344 -rect 8812 8304 8818 8316 -rect 9125 8313 9137 8316 -rect 9171 8313 9183 8347 -rect 9125 8307 9183 8313 -rect 7484 8248 8708 8276 -rect 7193 8239 7251 8245 +rect 4798 8304 4804 8316 +rect 4856 8304 4862 8356 +rect 5077 8347 5135 8353 +rect 5077 8313 5089 8347 +rect 5123 8344 5135 8347 +rect 5442 8344 5448 8356 +rect 5123 8316 5448 8344 +rect 5123 8313 5135 8316 +rect 5077 8307 5135 8313 +rect 5442 8304 5448 8316 +rect 5500 8304 5506 8356 +rect 5552 8344 5580 8384 +rect 5736 8344 5764 8452 +rect 5813 8449 5825 8483 +rect 5859 8480 5871 8483 +rect 5994 8480 6000 8492 +rect 5859 8452 6000 8480 +rect 5859 8449 5871 8452 +rect 5813 8443 5871 8449 +rect 5994 8440 6000 8452 +rect 6052 8440 6058 8492 +rect 6270 8440 6276 8492 +rect 6328 8480 6334 8492 +rect 6365 8483 6423 8489 +rect 6365 8480 6377 8483 +rect 6328 8452 6377 8480 +rect 6328 8440 6334 8452 +rect 6365 8449 6377 8452 +rect 6411 8449 6423 8483 +rect 6365 8443 6423 8449 +rect 6638 8440 6644 8492 +rect 6696 8480 6702 8492 +rect 7009 8483 7067 8489 +rect 7009 8480 7021 8483 +rect 6696 8452 7021 8480 +rect 6696 8440 6702 8452 +rect 7009 8449 7021 8452 +rect 7055 8449 7067 8483 +rect 7009 8443 7067 8449 +rect 7098 8440 7104 8492 +rect 7156 8480 7162 8492 +rect 7366 8483 7424 8489 +rect 7366 8480 7378 8483 +rect 7156 8452 7378 8480 +rect 7156 8440 7162 8452 +rect 7366 8449 7378 8452 +rect 7412 8480 7424 8483 +rect 7412 8449 7432 8480 +rect 7366 8443 7432 8449 +rect 7404 8356 7432 8443 +rect 8754 8440 8760 8492 +rect 8812 8440 8818 8492 +rect 8956 8480 8984 8588 +rect 9122 8576 9128 8588 +rect 9180 8576 9186 8628 +rect 9309 8483 9367 8489 +rect 9309 8480 9321 8483 +rect 8956 8452 9321 8480 +rect 9309 8449 9321 8452 +rect 9355 8449 9367 8483 +rect 9309 8443 9367 8449 +rect 7653 8415 7711 8421 +rect 7653 8381 7665 8415 +rect 7699 8412 7711 8415 +rect 9398 8412 9404 8424 +rect 7699 8384 9404 8412 +rect 7699 8381 7711 8384 +rect 7653 8375 7711 8381 +rect 9398 8372 9404 8384 +rect 9456 8372 9462 8424 +rect 5552 8316 5764 8344 +rect 5997 8347 6055 8353 +rect 5997 8313 6009 8347 +rect 6043 8344 6055 8347 +rect 6730 8344 6736 8356 +rect 6043 8316 6736 8344 +rect 6043 8313 6055 8316 +rect 5997 8307 6055 8313 +rect 6730 8304 6736 8316 +rect 6788 8304 6794 8356 +rect 7374 8304 7380 8356 +rect 7432 8304 7438 8356 +rect 9493 8347 9551 8353 +rect 9493 8313 9505 8347 +rect 9539 8344 9551 8347 +rect 13630 8344 13636 8356 +rect 9539 8316 13636 8344 +rect 9539 8313 9551 8316 +rect 9493 8307 9551 8313 +rect 13630 8304 13636 8316 +rect 13688 8304 13694 8356 +rect 1397 8279 1455 8285 +rect 1397 8245 1409 8279 +rect 1443 8276 1455 8279 +rect 2406 8276 2412 8288 +rect 1443 8248 2412 8276 +rect 1443 8245 1455 8248 +rect 1397 8239 1455 8245 +rect 2406 8236 2412 8248 +rect 2464 8236 2470 8288 +rect 4617 8279 4675 8285 +rect 4617 8245 4629 8279 +rect 4663 8276 4675 8279 +rect 4890 8276 4896 8288 +rect 4663 8248 4896 8276 +rect 4663 8245 4675 8248 +rect 4617 8239 4675 8245 +rect 4890 8236 4896 8248 +rect 4948 8236 4954 8288 +rect 5166 8236 5172 8288 +rect 5224 8276 5230 8288 +rect 6457 8279 6515 8285 +rect 6457 8276 6469 8279 +rect 5224 8248 6469 8276 +rect 5224 8236 5230 8248 +rect 6457 8245 6469 8248 +rect 6503 8276 6515 8279 +rect 7282 8276 7288 8288 +rect 6503 8248 7288 8276 +rect 6503 8245 6515 8248 +rect 6457 8239 6515 8245 +rect 7282 8236 7288 8248 +rect 7340 8236 7346 8288 rect 920 8186 9844 8208 rect 920 8134 2566 8186 rect 2618 8134 2630 8186 @@ -2017,283 +2029,247 @@ rect 7746 8134 7758 8186 rect 7810 8134 7822 8186 rect 7874 8134 9844 8186 rect 920 8112 9844 8134 -rect 4249 8075 4307 8081 -rect 4249 8041 4261 8075 -rect 4295 8072 4307 8075 -rect 4430 8072 4436 8084 -rect 4295 8044 4436 8072 -rect 4295 8041 4307 8044 -rect 4249 8035 4307 8041 -rect 4430 8032 4436 8044 -rect 4488 8032 4494 8084 -rect 4801 8075 4859 8081 -rect 4801 8041 4813 8075 -rect 4847 8072 4859 8075 -rect 5261 8075 5319 8081 -rect 5261 8072 5273 8075 -rect 4847 8044 5273 8072 -rect 4847 8041 4859 8044 -rect 4801 8035 4859 8041 -rect 5261 8041 5273 8044 -rect 5307 8072 5319 8075 -rect 6273 8075 6331 8081 -rect 6273 8072 6285 8075 -rect 5307 8044 6285 8072 -rect 5307 8041 5319 8044 -rect 5261 8035 5319 8041 -rect 6273 8041 6285 8044 -rect 6319 8041 6331 8075 -rect 6273 8035 6331 8041 -rect 6457 8075 6515 8081 -rect 6457 8041 6469 8075 -rect 6503 8072 6515 8075 -rect 8570 8072 8576 8084 -rect 6503 8044 8576 8072 -rect 6503 8041 6515 8044 -rect 6457 8035 6515 8041 -rect 3050 7964 3056 8016 -rect 3108 8004 3114 8016 -rect 5626 8004 5632 8016 -rect 3108 7976 5632 8004 -rect 3108 7964 3114 7976 -rect 5626 7964 5632 7976 -rect 5684 7964 5690 8016 -rect 1394 7896 1400 7948 -rect 1452 7936 1458 7948 -rect 1452 7908 1624 7936 -rect 1452 7896 1458 7908 +rect 1486 8032 1492 8084 +rect 1544 8072 1550 8084 +rect 1544 8044 4200 8072 +rect 1544 8032 1550 8044 +rect 1578 7936 1584 7948 +rect 1539 7908 1584 7936 +rect 1578 7896 1584 7908 +rect 1636 7896 1642 7948 +rect 1854 7936 1860 7948 +rect 1815 7908 1860 7936 +rect 1854 7896 1860 7908 +rect 1912 7896 1918 7948 +rect 2314 7896 2320 7948 +rect 2372 7936 2378 7948 +rect 3329 7939 3387 7945 +rect 2372 7908 3188 7936 +rect 2372 7896 2378 7908 +rect 3160 7880 3188 7908 +rect 3329 7905 3341 7939 +rect 3375 7936 3387 7939 +rect 3605 7939 3663 7945 +rect 3605 7936 3617 7939 +rect 3375 7908 3617 7936 +rect 3375 7905 3387 7908 +rect 3329 7899 3387 7905 +rect 3605 7905 3617 7908 +rect 3651 7905 3663 7939 +rect 4172 7936 4200 8044 +rect 4246 8032 4252 8084 +rect 4304 8072 4310 8084 +rect 5166 8072 5172 8084 +rect 4304 8044 5172 8072 +rect 4304 8032 4310 8044 +rect 5166 8032 5172 8044 +rect 5224 8032 5230 8084 +rect 5258 8032 5264 8084 +rect 5316 8072 5322 8084 +rect 5721 8075 5779 8081 +rect 5721 8072 5733 8075 +rect 5316 8044 5733 8072 +rect 5316 8032 5322 8044 +rect 5721 8041 5733 8044 +rect 5767 8041 5779 8075 +rect 5721 8035 5779 8041 +rect 6086 8032 6092 8084 +rect 6144 8072 6150 8084 +rect 6454 8072 6460 8084 +rect 6144 8044 6460 8072 +rect 6144 8032 6150 8044 +rect 6454 8032 6460 8044 +rect 6512 8032 6518 8084 +rect 8018 8032 8024 8084 +rect 8076 8072 8082 8084 +rect 9398 8072 9404 8084 +rect 8076 8044 8800 8072 +rect 9359 8044 9404 8072 +rect 8076 8032 8082 8044 +rect 5994 7964 6000 8016 +rect 6052 8004 6058 8016 +rect 7834 8004 7840 8016 +rect 6052 7976 7840 8004 +rect 6052 7964 6058 7976 +rect 7834 7964 7840 7976 +rect 7892 7964 7898 8016 +rect 8110 8004 8116 8016 +rect 8071 7976 8116 8004 +rect 8110 7964 8116 7976 +rect 8168 7964 8174 8016 +rect 5350 7936 5356 7948 +rect 4172 7908 5356 7936 +rect 3605 7899 3663 7905 rect 1486 7868 1492 7880 rect 1447 7840 1492 7868 rect 1486 7828 1492 7840 rect 1544 7828 1550 7880 -rect 1596 7877 1624 7908 -rect 2866 7896 2872 7948 -rect 2924 7936 2930 7948 -rect 3329 7939 3387 7945 -rect 3329 7936 3341 7939 -rect 2924 7908 3341 7936 -rect 2924 7896 2930 7908 -rect 3329 7905 3341 7908 -rect 3375 7905 3387 7939 -rect 6178 7936 6184 7948 -rect 3329 7899 3387 7905 -rect 4080 7908 6184 7936 -rect 1581 7871 1639 7877 -rect 1581 7837 1593 7871 -rect 1627 7837 1639 7871 -rect 3344 7868 3372 7899 -rect 3605 7871 3663 7877 -rect 3605 7868 3617 7871 -rect 3344 7840 3617 7868 -rect 1581 7831 1639 7837 -rect 3605 7837 3617 7840 -rect 3651 7837 3663 7871 -rect 3605 7831 3663 7837 -rect 1762 7760 1768 7812 -rect 1820 7800 1826 7812 -rect 1857 7803 1915 7809 -rect 1857 7800 1869 7803 -rect 1820 7772 1869 7800 -rect 1820 7760 1826 7772 -rect 1857 7769 1869 7772 -rect 1903 7769 1915 7803 -rect 3142 7800 3148 7812 -rect 3055 7772 3148 7800 -rect 1857 7763 1915 7769 -rect 3142 7760 3148 7772 -rect 3200 7800 3206 7812 -rect 4080 7800 4108 7908 -rect 6178 7896 6184 7908 -rect 6236 7896 6242 7948 -rect 6288 7936 6316 8035 -rect 8570 8032 8576 8044 -rect 8628 8032 8634 8084 -rect 9306 8032 9312 8084 -rect 9364 8072 9370 8084 -rect 9401 8075 9459 8081 -rect 9401 8072 9413 8075 -rect 9364 8044 9413 8072 -rect 9364 8032 9370 8044 -rect 9401 8041 9413 8044 -rect 9447 8041 9459 8075 -rect 9401 8035 9459 8041 -rect 6546 7964 6552 8016 -rect 6604 8004 6610 8016 -rect 7098 8004 7104 8016 -rect 6604 7976 7104 8004 -rect 6604 7964 6610 7976 -rect 7098 7964 7104 7976 -rect 7156 7964 7162 8016 -rect 7742 7964 7748 8016 -rect 7800 8004 7806 8016 -rect 8018 8004 8024 8016 -rect 7800 7976 8024 8004 -rect 7800 7964 7806 7976 -rect 8018 7964 8024 7976 -rect 8076 8004 8082 8016 -rect 8076 7976 8248 8004 -rect 8076 7964 8082 7976 -rect 6730 7936 6736 7948 -rect 6288 7908 6736 7936 -rect 6730 7896 6736 7908 -rect 6788 7896 6794 7948 -rect 4798 7828 4804 7880 -rect 4856 7868 4862 7880 -rect 4893 7871 4951 7877 -rect 4893 7868 4905 7871 -rect 4856 7840 4905 7868 -rect 4856 7828 4862 7840 -rect 4893 7837 4905 7840 -rect 4939 7837 4951 7871 -rect 4893 7831 4951 7837 -rect 4982 7828 4988 7880 -rect 5040 7868 5046 7880 -rect 5258 7868 5264 7880 -rect 5040 7840 5264 7868 -rect 5040 7828 5046 7840 -rect 5258 7828 5264 7840 -rect 5316 7828 5322 7880 -rect 5994 7868 6000 7880 -rect 5955 7840 6000 7868 -rect 5994 7828 6000 7840 +rect 3142 7828 3148 7880 +rect 3200 7828 3206 7880 +rect 5092 7877 5120 7908 +rect 5350 7896 5356 7908 +rect 5408 7936 5414 7948 +rect 5718 7936 5724 7948 +rect 5408 7908 5724 7936 +rect 5408 7896 5414 7908 +rect 5718 7896 5724 7908 +rect 5776 7896 5782 7948 +rect 6270 7896 6276 7948 +rect 6328 7936 6334 7948 +rect 6328 7908 8524 7936 +rect 6328 7896 6334 7908 +rect 4249 7871 4307 7877 +rect 4249 7837 4261 7871 +rect 4295 7868 4307 7871 +rect 4341 7871 4399 7877 +rect 4341 7868 4353 7871 +rect 4295 7840 4353 7868 +rect 4295 7837 4307 7840 +rect 4249 7831 4307 7837 +rect 4341 7837 4353 7840 +rect 4387 7837 4399 7871 +rect 4341 7831 4399 7837 +rect 5077 7871 5135 7877 +rect 5077 7837 5089 7871 +rect 5123 7837 5135 7871 +rect 5077 7831 5135 7837 +rect 5626 7828 5632 7880 +rect 5684 7828 5690 7880 +rect 5902 7868 5908 7880 +rect 5863 7840 5908 7868 +rect 5902 7828 5908 7840 +rect 5960 7828 5966 7880 +rect 5994 7828 6000 7880 rect 6052 7868 6058 7880 -rect 6638 7868 6644 7880 -rect 6052 7840 6500 7868 -rect 6599 7840 6644 7868 +rect 6641 7871 6699 7877 +rect 6641 7868 6653 7871 +rect 6052 7840 6653 7868 rect 6052 7828 6058 7840 -rect 3200 7772 4108 7800 -rect 5813 7803 5871 7809 -rect 3200 7760 3206 7772 -rect 5813 7769 5825 7803 -rect 5859 7800 5871 7803 -rect 6178 7800 6184 7812 -rect 5859 7772 6184 7800 -rect 5859 7769 5871 7772 -rect 5813 7763 5871 7769 -rect 6178 7760 6184 7772 -rect 6236 7760 6242 7812 -rect 6472 7800 6500 7840 -rect 6638 7828 6644 7840 -rect 6696 7828 6702 7880 -rect 7466 7828 7472 7880 -rect 7524 7868 7530 7880 -rect 7745 7871 7803 7877 -rect 7745 7868 7757 7871 -rect 7524 7840 7757 7868 -rect 7524 7828 7530 7840 -rect 7745 7837 7757 7840 -rect 7791 7837 7803 7871 -rect 7745 7831 7803 7837 -rect 7834 7828 7840 7880 -rect 7892 7868 7898 7880 -rect 8220 7877 8248 7976 -rect 8938 7936 8944 7948 -rect 8404 7908 8944 7936 +rect 6641 7837 6653 7840 +rect 6687 7837 6699 7871 +rect 7466 7868 7472 7880 +rect 6641 7831 6699 7837 +rect 6840 7840 7472 7868 +rect 3160 7800 3188 7828 +rect 4706 7800 4712 7812 +rect 3082 7772 4712 7800 +rect 4706 7760 4712 7772 +rect 4764 7760 4770 7812 +rect 5644 7800 5672 7828 +rect 6181 7803 6239 7809 +rect 6181 7800 6193 7803 +rect 5644 7772 6193 7800 +rect 6181 7769 6193 7772 +rect 6227 7769 6239 7803 +rect 6181 7763 6239 7769 +rect 6549 7803 6607 7809 +rect 6549 7769 6561 7803 +rect 6595 7800 6607 7803 +rect 6840 7800 6868 7840 +rect 7466 7828 7472 7840 +rect 7524 7828 7530 7880 rect 8021 7871 8079 7877 -rect 8021 7868 8033 7871 -rect 7892 7840 8033 7868 -rect 7892 7828 7898 7840 -rect 8021 7837 8033 7840 -rect 8067 7837 8079 7871 +rect 8021 7837 8033 7871 +rect 8067 7868 8079 7871 +rect 8386 7868 8392 7880 +rect 8067 7840 8392 7868 +rect 8067 7837 8079 7840 rect 8021 7831 8079 7837 -rect 8205 7871 8263 7877 -rect 8205 7837 8217 7871 -rect 8251 7837 8263 7871 -rect 8205 7831 8263 7837 +rect 8386 7828 8392 7840 +rect 8444 7828 8450 7880 +rect 8496 7877 8524 7908 +rect 8772 7877 8800 8044 +rect 9398 8032 9404 8044 +rect 9456 8032 9462 8084 +rect 8481 7871 8539 7877 +rect 8481 7837 8493 7871 +rect 8527 7837 8539 7871 +rect 8481 7831 8539 7837 +rect 8757 7871 8815 7877 +rect 8757 7837 8769 7871 +rect 8803 7837 8815 7871 +rect 8757 7831 8815 7837 +rect 6595 7772 6868 7800 +rect 6595 7769 6607 7772 +rect 6549 7763 6607 7769 +rect 6914 7760 6920 7812 +rect 6972 7800 6978 7812 rect 7377 7803 7435 7809 rect 7377 7800 7389 7803 -rect 6472 7772 7389 7800 +rect 6972 7772 7389 7800 +rect 6972 7760 6978 7772 rect 7377 7769 7389 7772 rect 7423 7769 7435 7803 -rect 7558 7800 7564 7812 -rect 7519 7772 7564 7800 rect 7377 7763 7435 7769 +rect 8110 7760 8116 7812 +rect 8168 7800 8174 7812 +rect 8297 7803 8355 7809 +rect 8297 7800 8309 7803 +rect 8168 7772 8309 7800 +rect 8168 7760 8174 7772 +rect 8297 7769 8309 7772 +rect 8343 7769 8355 7803 +rect 8496 7800 8524 7831 +rect 9398 7800 9404 7812 +rect 8496 7772 9404 7800 +rect 8297 7763 8355 7769 +rect 9398 7760 9404 7772 +rect 9456 7760 9462 7812 rect 1397 7735 1455 7741 rect 1397 7701 1409 7735 rect 1443 7732 1455 7735 -rect 3418 7732 3424 7744 -rect 1443 7704 3424 7732 +rect 3142 7732 3148 7744 +rect 1443 7704 3148 7732 rect 1443 7701 1455 7704 rect 1397 7695 1455 7701 -rect 3418 7692 3424 7704 -rect 3476 7692 3482 7744 -rect 4338 7692 4344 7744 -rect 4396 7732 4402 7744 -rect 4433 7735 4491 7741 -rect 4433 7732 4445 7735 -rect 4396 7704 4445 7732 -rect 4396 7692 4402 7704 -rect 4433 7701 4445 7704 -rect 4479 7701 4491 7735 -rect 4433 7695 4491 7701 -rect 5445 7735 5503 7741 -rect 5445 7701 5457 7735 -rect 5491 7732 5503 7735 -rect 6362 7732 6368 7744 -rect 5491 7704 6368 7732 -rect 5491 7701 5503 7704 -rect 5445 7695 5503 7701 -rect 6362 7692 6368 7704 -rect 6420 7692 6426 7744 -rect 7098 7692 7104 7744 -rect 7156 7732 7162 7744 +rect 3142 7692 3148 7704 +rect 3200 7692 3206 7744 +rect 3878 7692 3884 7744 +rect 3936 7732 3942 7744 +rect 4985 7735 5043 7741 +rect 4985 7732 4997 7735 +rect 3936 7704 4997 7732 +rect 3936 7692 3942 7704 +rect 4985 7701 4997 7704 +rect 5031 7701 5043 7735 +rect 4985 7695 5043 7701 +rect 5537 7735 5595 7741 +rect 5537 7701 5549 7735 +rect 5583 7732 5595 7735 +rect 5626 7732 5632 7744 +rect 5583 7704 5632 7732 +rect 5583 7701 5595 7704 +rect 5537 7695 5595 7701 +rect 5626 7692 5632 7704 +rect 5684 7692 5690 7744 +rect 6086 7732 6092 7744 +rect 6047 7704 6092 7732 +rect 6086 7692 6092 7704 +rect 6144 7692 6150 7744 +rect 6270 7732 6276 7744 +rect 6231 7704 6276 7732 +rect 6270 7692 6276 7704 +rect 6328 7692 6334 7744 +rect 6362 7692 6368 7744 +rect 6420 7732 6426 7744 +rect 6420 7704 6465 7732 +rect 6420 7692 6426 7704 +rect 7006 7692 7012 7744 +rect 7064 7732 7070 7744 rect 7285 7735 7343 7741 rect 7285 7732 7297 7735 -rect 7156 7704 7297 7732 -rect 7156 7692 7162 7704 +rect 7064 7704 7297 7732 +rect 7064 7692 7070 7704 rect 7285 7701 7297 7704 rect 7331 7701 7343 7735 -rect 7392 7732 7420 7763 -rect 7558 7760 7564 7772 -rect 7616 7760 7622 7812 -rect 8404 7800 8432 7908 -rect 8938 7896 8944 7908 -rect 8996 7896 9002 7948 -rect 8478 7828 8484 7880 -rect 8536 7868 8542 7880 -rect 8757 7871 8815 7877 -rect 8757 7868 8769 7871 -rect 8536 7840 8769 7868 -rect 8536 7828 8542 7840 -rect 8757 7837 8769 7840 -rect 8803 7837 8815 7871 -rect 8757 7831 8815 7837 -rect 8312 7772 8432 7800 -rect 8573 7803 8631 7809 -rect 7834 7732 7840 7744 -rect 7392 7704 7840 7732 rect 7285 7695 7343 7701 -rect 7834 7692 7840 7704 -rect 7892 7692 7898 7744 -rect 8312 7741 8340 7772 -rect 8573 7769 8585 7803 -rect 8619 7800 8631 7803 -rect 9214 7800 9220 7812 -rect 8619 7772 9220 7800 -rect 8619 7769 8631 7772 -rect 8573 7763 8631 7769 -rect 9214 7760 9220 7772 -rect 9272 7760 9278 7812 -rect 7929 7735 7987 7741 -rect 7929 7701 7941 7735 -rect 7975 7732 7987 7735 -rect 8297 7735 8355 7741 -rect 8297 7732 8309 7735 -rect 7975 7704 8309 7732 -rect 7975 7701 7987 7704 -rect 7929 7695 7987 7701 -rect 8297 7701 8309 7704 -rect 8343 7701 8355 7735 -rect 8297 7695 8355 7701 -rect 8389 7735 8447 7741 -rect 8389 7701 8401 7735 -rect 8435 7732 8447 7735 -rect 8478 7732 8484 7744 -rect 8435 7704 8484 7732 -rect 8435 7701 8447 7704 -rect 8389 7695 8447 7701 -rect 8478 7692 8484 7704 -rect 8536 7692 8542 7744 +rect 7834 7692 7840 7744 +rect 7892 7732 7898 7744 +rect 9306 7732 9312 7744 +rect 7892 7704 9312 7732 +rect 7892 7692 7898 7704 +rect 9306 7692 9312 7704 +rect 9364 7692 9370 7744 rect 920 7642 9844 7664 rect 920 7590 5066 7642 rect 5118 7590 5130 7642 @@ -2308,150 +2284,155 @@ rect 1302 7488 1308 7500 rect 1360 7488 1366 7540 rect 1486 7488 1492 7540 rect 1544 7528 1550 7540 -rect 1544 7500 3280 7528 +rect 4522 7528 4528 7540 +rect 1544 7500 4528 7528 rect 1544 7488 1550 7500 -rect 2777 7463 2835 7469 -rect 2777 7429 2789 7463 -rect 2823 7460 2835 7463 -rect 2866 7460 2872 7472 -rect 2823 7432 2872 7460 -rect 2823 7429 2835 7432 -rect 2777 7423 2835 7429 -rect 2866 7420 2872 7432 -rect 2924 7420 2930 7472 -rect 1670 7352 1676 7404 -rect 1728 7352 1734 7404 -rect 3050 7352 3056 7404 -rect 3108 7392 3114 7404 -rect 3252 7401 3280 7500 -rect 3878 7488 3884 7540 -rect 3936 7528 3942 7540 -rect 4614 7528 4620 7540 -rect 3936 7500 4620 7528 -rect 3936 7488 3942 7500 -rect 4614 7488 4620 7500 -rect 4672 7488 4678 7540 -rect 5913 7531 5971 7537 -rect 5913 7497 5925 7531 -rect 5959 7528 5971 7531 -rect 5959 7500 8340 7528 -rect 5959 7497 5971 7500 -rect 5913 7491 5971 7497 -rect 5994 7460 6000 7472 -rect 5014 7432 6000 7460 -rect 5994 7420 6000 7432 -rect 6052 7420 6058 7472 -rect 7650 7420 7656 7472 -rect 7708 7420 7714 7472 -rect 8312 7460 8340 7500 -rect 8846 7488 8852 7540 -rect 8904 7528 8910 7540 -rect 9125 7531 9183 7537 -rect 9125 7528 9137 7531 -rect 8904 7500 9137 7528 -rect 8904 7488 8910 7500 -rect 9125 7497 9137 7500 -rect 9171 7497 9183 7531 -rect 9125 7491 9183 7497 -rect 8478 7460 8484 7472 -rect 8312 7432 8484 7460 -rect 8478 7420 8484 7432 -rect 8536 7420 8542 7472 +rect 2314 7420 2320 7472 +rect 2372 7420 2378 7472 +rect 2774 7460 2780 7472 +rect 2735 7432 2780 7460 +rect 2774 7420 2780 7432 +rect 2832 7420 2838 7472 +rect 3068 7392 3096 7500 +rect 4522 7488 4528 7500 +rect 4580 7488 4586 7540 +rect 7190 7528 7196 7540 +rect 6564 7500 7196 7528 +rect 4988 7472 5040 7478 +rect 3142 7420 3148 7472 +rect 3200 7460 3206 7472 +rect 3200 7432 3556 7460 +rect 3200 7420 3206 7432 +rect 3528 7401 3556 7432 +rect 4988 7414 5040 7420 rect 3237 7395 3295 7401 -rect 3108 7364 3153 7392 -rect 3108 7352 3114 7364 -rect 3237 7361 3249 7395 +rect 3237 7392 3249 7395 +rect 3068 7364 3249 7392 +rect 3237 7361 3249 7364 rect 3283 7361 3295 7395 rect 3237 7355 3295 7361 -rect 1394 7148 1400 7200 -rect 1452 7188 1458 7200 -rect 3068 7188 3096 7352 -rect 3252 7324 3280 7355 -rect 3418 7352 3424 7404 -rect 3476 7392 3482 7404 rect 3513 7395 3571 7401 -rect 3513 7392 3525 7395 -rect 3476 7364 3525 7392 -rect 3476 7352 3482 7364 -rect 3513 7361 3525 7364 +rect 3513 7361 3525 7395 rect 3559 7361 3571 7395 -rect 5350 7392 5356 7404 +rect 3878 7392 3884 7404 +rect 3839 7364 3884 7392 rect 3513 7355 3571 7361 -rect 3620 7364 4016 7392 -rect 5311 7364 5356 7392 -rect 3620 7324 3648 7364 -rect 3878 7324 3884 7336 -rect 3252 7296 3648 7324 -rect 3839 7296 3884 7324 -rect 3878 7284 3884 7296 -rect 3936 7284 3942 7336 -rect 3988 7324 4016 7364 -rect 5350 7352 5356 7364 -rect 5408 7352 5414 7404 -rect 5534 7352 5540 7404 -rect 5592 7392 5598 7404 +rect 3878 7352 3884 7364 +rect 3936 7352 3942 7404 +rect 4890 7352 4896 7404 +rect 4948 7382 4954 7404 +rect 5353 7395 5411 7401 +rect 5353 7392 5365 7395 +rect 5092 7382 5365 7392 +rect 4948 7364 5365 7382 +rect 4948 7354 5120 7364 +rect 5353 7361 5365 7364 +rect 5399 7361 5411 7395 +rect 5353 7355 5411 7361 +rect 4948 7352 4954 7354 +rect 5442 7352 5448 7404 +rect 5500 7392 5506 7404 +rect 6564 7401 6592 7500 +rect 7190 7488 7196 7500 +rect 7248 7488 7254 7540 +rect 7558 7488 7564 7540 +rect 7616 7528 7622 7540 +rect 9125 7531 9183 7537 +rect 9125 7528 9137 7531 +rect 7616 7500 9137 7528 +rect 7616 7488 7622 7500 +rect 9125 7497 9137 7500 +rect 9171 7497 9183 7531 +rect 9398 7528 9404 7540 +rect 9359 7500 9404 7528 +rect 9125 7491 9183 7497 +rect 9398 7488 9404 7500 +rect 9456 7488 9462 7540 +rect 8294 7460 8300 7472 +rect 8050 7432 8300 7460 +rect 8294 7420 8300 7432 +rect 8352 7420 8358 7472 rect 6365 7395 6423 7401 rect 6365 7392 6377 7395 -rect 5592 7364 6377 7392 -rect 5592 7352 5598 7364 +rect 5500 7364 6377 7392 +rect 5500 7352 5506 7364 rect 6365 7361 6377 7364 rect 6411 7361 6423 7395 rect 6365 7355 6423 7361 rect 6549 7395 6607 7401 rect 6549 7361 6561 7395 -rect 6595 7392 6607 7395 -rect 7006 7392 7012 7404 -rect 6595 7364 7012 7392 -rect 6595 7361 6607 7364 +rect 6595 7361 6607 7395 +rect 6914 7392 6920 7404 +rect 6875 7364 6920 7392 rect 6549 7355 6607 7361 -rect 7006 7352 7012 7364 -rect 7064 7352 7070 7404 -rect 8386 7392 8392 7404 -rect 8347 7364 8392 7392 -rect 8386 7352 8392 7364 -rect 8444 7352 8450 7404 +rect 6914 7352 6920 7364 +rect 6972 7352 6978 7404 +rect 8202 7352 8208 7404 +rect 8260 7392 8266 7404 +rect 8389 7395 8447 7401 +rect 8389 7392 8401 7395 +rect 8260 7364 8401 7392 +rect 8260 7352 8266 7364 +rect 8389 7361 8401 7364 +rect 8435 7361 8447 7395 rect 9306 7392 9312 7404 rect 9267 7364 9312 7392 +rect 8389 7355 8447 7361 rect 9306 7352 9312 7364 rect 9364 7352 9370 7404 -rect 4522 7324 4528 7336 -rect 3988 7296 4528 7324 -rect 4522 7284 4528 7296 -rect 4580 7284 4586 7336 -rect 6917 7327 6975 7333 -rect 6917 7293 6929 7327 -rect 6963 7324 6975 7327 -rect 7190 7324 7196 7336 -rect 6963 7296 7196 7324 -rect 6963 7293 6975 7296 -rect 6917 7287 6975 7293 -rect 7190 7284 7196 7296 -rect 7248 7284 7254 7336 -rect 5534 7216 5540 7268 -rect 5592 7256 5598 7268 -rect 6181 7259 6239 7265 -rect 6181 7256 6193 7259 -rect 5592 7228 6193 7256 -rect 5592 7216 5598 7228 -rect 6181 7225 6193 7228 -rect 6227 7225 6239 7259 -rect 6181 7219 6239 7225 -rect 7834 7216 7840 7268 -rect 7892 7256 7898 7268 -rect 9401 7259 9459 7265 -rect 9401 7256 9413 7259 -rect 7892 7228 9413 7256 -rect 7892 7216 7898 7228 -rect 9401 7225 9413 7228 -rect 9447 7225 9459 7259 -rect 9401 7219 9459 7225 +rect 1486 7284 1492 7336 +rect 1544 7324 1550 7336 +rect 3053 7327 3111 7333 +rect 3053 7324 3065 7327 +rect 1544 7296 3065 7324 +rect 1544 7284 1550 7296 +rect 3053 7293 3065 7296 +rect 3099 7324 3111 7327 +rect 4430 7324 4436 7336 +rect 3099 7296 4436 7324 +rect 3099 7293 3111 7296 +rect 3053 7287 3111 7293 +rect 4430 7284 4436 7296 +rect 4488 7284 4494 7336 +rect 7282 7284 7288 7336 +rect 7340 7324 7346 7336 +rect 7558 7324 7564 7336 +rect 7340 7296 7564 7324 +rect 7340 7284 7346 7296 +rect 7558 7284 7564 7296 +rect 7616 7284 7622 7336 +rect 5917 7259 5975 7265 +rect 5917 7225 5929 7259 +rect 5963 7256 5975 7259 +rect 6362 7256 6368 7268 +rect 5963 7228 6368 7256 +rect 5963 7225 5975 7228 +rect 5917 7219 5975 7225 +rect 6362 7216 6368 7228 +rect 6420 7256 6426 7268 +rect 8754 7256 8760 7268 +rect 6420 7228 6696 7256 +rect 6420 7216 6426 7228 rect 3326 7188 3332 7200 -rect 1452 7160 3096 7188 rect 3287 7160 3332 7188 -rect 1452 7148 1458 7160 rect 3326 7148 3332 7160 rect 3384 7148 3390 7200 +rect 6086 7148 6092 7200 +rect 6144 7188 6150 7200 +rect 6181 7191 6239 7197 +rect 6181 7188 6193 7191 +rect 6144 7160 6193 7188 +rect 6144 7148 6150 7160 +rect 6181 7157 6193 7160 +rect 6227 7157 6239 7191 +rect 6668 7188 6696 7228 +rect 7852 7228 8760 7256 +rect 7852 7188 7880 7228 +rect 8754 7216 8760 7228 +rect 8812 7216 8818 7268 +rect 6668 7160 7880 7188 rect 8573 7191 8631 7197 +rect 6181 7151 6239 7157 rect 8573 7157 8585 7191 rect 8619 7188 8631 7191 rect 8846 7188 8852 7200 @@ -2460,15 +2441,12 @@ rect 8619 7157 8631 7160 rect 8573 7151 8631 7157 rect 8846 7148 8852 7160 rect 8904 7148 8910 7200 -rect 8953 7191 9011 7197 -rect 8953 7157 8965 7191 -rect 8999 7188 9011 7191 -rect 9122 7188 9128 7200 -rect 8999 7160 9128 7188 -rect 8999 7157 9011 7160 -rect 8953 7151 9011 7157 -rect 9122 7148 9128 7160 -rect 9180 7148 9186 7200 +rect 8938 7148 8944 7200 +rect 8996 7197 9002 7200 +rect 8996 7188 9007 7197 +rect 8996 7160 9041 7188 +rect 8996 7151 9007 7160 +rect 8996 7148 9002 7151 rect 920 7098 9844 7120 rect 920 7046 2566 7098 rect 2618 7046 2630 7098 @@ -2482,78 +2460,100 @@ rect 7746 7046 7758 7098 rect 7810 7046 7822 7098 rect 7874 7046 9844 7098 rect 920 7024 9844 7046 -rect 1302 6944 1308 6996 -rect 1360 6984 1366 6996 -rect 1746 6987 1804 6993 -rect 1746 6984 1758 6987 -rect 1360 6956 1758 6984 -rect 1360 6944 1366 6956 -rect 1746 6953 1758 6956 -rect 1792 6953 1804 6987 -rect 1746 6947 1804 6953 -rect 7395 6987 7453 6993 -rect 7395 6953 7407 6987 -rect 7441 6984 7453 6987 -rect 8754 6984 8760 6996 -rect 7441 6956 8760 6984 -rect 7441 6953 7453 6956 -rect 7395 6947 7453 6953 -rect 8754 6944 8760 6956 -rect 8812 6944 8818 6996 -rect 9030 6984 9036 6996 -rect 8991 6956 9036 6984 -rect 9030 6944 9036 6956 -rect 9088 6984 9094 6996 +rect 1752 6987 1810 6993 +rect 1752 6953 1764 6987 +rect 1798 6984 1810 6987 +rect 2222 6984 2228 6996 +rect 1798 6956 2228 6984 +rect 1798 6953 1810 6956 +rect 1752 6947 1810 6953 +rect 2222 6944 2228 6956 +rect 2280 6944 2286 6996 +rect 5902 6944 5908 6996 +rect 5960 6984 5966 6996 +rect 6362 6984 6368 6996 +rect 5960 6956 6368 6984 +rect 5960 6944 5966 6956 +rect 6362 6944 6368 6956 +rect 6420 6944 6426 6996 +rect 6914 6944 6920 6996 +rect 6972 6984 6978 6996 +rect 7389 6987 7447 6993 +rect 7389 6984 7401 6987 +rect 6972 6956 7401 6984 +rect 6972 6944 6978 6956 +rect 7389 6953 7401 6956 +rect 7435 6953 7447 6987 +rect 7389 6947 7447 6953 +rect 8662 6944 8668 6996 +rect 8720 6984 8726 6996 +rect 8849 6987 8907 6993 +rect 8849 6984 8861 6987 +rect 8720 6956 8861 6984 +rect 8720 6944 8726 6956 +rect 8849 6953 8861 6956 +rect 8895 6984 8907 6987 rect 9401 6987 9459 6993 rect 9401 6984 9413 6987 -rect 9088 6956 9413 6984 -rect 9088 6944 9094 6956 +rect 8895 6956 9413 6984 +rect 8895 6953 8907 6956 +rect 8849 6947 8907 6953 rect 9401 6953 9413 6956 -rect 9447 6953 9459 6987 +rect 9447 6984 9459 6987 +rect 9490 6984 9496 6996 +rect 9447 6956 9496 6984 +rect 9447 6953 9459 6956 rect 9401 6947 9459 6953 -rect 8294 6916 8300 6928 -rect 8140 6888 8300 6916 -rect 1394 6808 1400 6860 -rect 1452 6848 1458 6860 -rect 1489 6851 1547 6857 -rect 1489 6848 1501 6851 -rect 1452 6820 1501 6848 -rect 1452 6808 1458 6820 -rect 1489 6817 1501 6820 -rect 1535 6817 1547 6851 -rect 1489 6811 1547 6817 -rect 1762 6808 1768 6860 -rect 1820 6848 1826 6860 -rect 1820 6820 3004 6848 -rect 1820 6808 1826 6820 -rect 1397 6715 1455 6721 -rect 1397 6681 1409 6715 -rect 1443 6712 1455 6715 -rect 1670 6712 1676 6724 -rect 1443 6684 1676 6712 -rect 1443 6681 1455 6684 -rect 1397 6675 1455 6681 -rect 1670 6672 1676 6684 -rect 1728 6672 1734 6724 -rect 2976 6712 3004 6820 +rect 9490 6944 9496 6956 +rect 9548 6944 9554 6996 +rect 1486 6848 1492 6860 +rect 1447 6820 1492 6848 +rect 1486 6808 1492 6820 +rect 1544 6808 1550 6860 +rect 3237 6851 3295 6857 +rect 3237 6817 3249 6851 +rect 3283 6848 3295 6851 +rect 3605 6851 3663 6857 +rect 3605 6848 3617 6851 +rect 3283 6820 3617 6848 +rect 3283 6817 3295 6820 +rect 3237 6811 3295 6817 +rect 3605 6817 3617 6820 +rect 3651 6817 3663 6851 +rect 3605 6811 3663 6817 rect 4062 6808 4068 6860 rect 4120 6848 4126 6860 -rect 4985 6851 5043 6857 -rect 4985 6848 4997 6851 -rect 4120 6820 4997 6848 +rect 4341 6851 4399 6857 +rect 4341 6848 4353 6851 +rect 4120 6820 4353 6848 rect 4120 6808 4126 6820 -rect 4985 6817 4997 6820 -rect 5031 6817 5043 6851 -rect 4985 6811 5043 6817 -rect 5077 6851 5135 6857 -rect 5077 6817 5089 6851 -rect 5123 6848 5135 6851 -rect 6638 6848 6644 6860 -rect 5123 6820 6644 6848 -rect 5123 6817 5135 6820 -rect 5077 6811 5135 6817 -rect 6638 6808 6644 6820 -rect 6696 6808 6702 6860 +rect 4341 6817 4353 6820 +rect 4387 6817 4399 6851 +rect 4341 6811 4399 6817 +rect 5905 6851 5963 6857 +rect 5905 6817 5917 6851 +rect 5951 6817 5963 6851 +rect 5905 6811 5963 6817 +rect 3142 6740 3148 6792 +rect 3200 6780 3206 6792 +rect 4080 6780 4108 6808 +rect 3200 6752 4108 6780 +rect 4249 6783 4307 6789 +rect 3200 6740 3206 6752 +rect 4249 6749 4261 6783 +rect 4295 6780 4307 6783 +rect 4985 6783 5043 6789 +rect 4985 6780 4997 6783 +rect 4295 6752 4997 6780 +rect 4295 6749 4307 6752 +rect 4249 6743 4307 6749 +rect 4985 6749 4997 6752 +rect 5031 6749 5043 6783 +rect 4985 6743 5043 6749 +rect 5077 6783 5135 6789 +rect 5077 6749 5089 6783 +rect 5123 6780 5135 6783 +rect 5920 6780 5948 6811 rect 7374 6808 7380 6860 rect 7432 6848 7438 6860 rect 7653 6851 7711 6857 @@ -2563,52 +2563,6 @@ rect 7432 6808 7438 6820 rect 7653 6817 7665 6820 rect 7699 6817 7711 6851 rect 7653 6811 7711 6817 -rect 3605 6783 3663 6789 -rect 3605 6780 3617 6783 -rect 3252 6752 3617 6780 -rect 3142 6712 3148 6724 -rect 2976 6698 3148 6712 -rect 2990 6684 3148 6698 -rect 3142 6672 3148 6684 -rect 3200 6672 3206 6724 -rect 3252 6656 3280 6752 -rect 3605 6749 3617 6752 -rect 3651 6749 3663 6783 -rect 3605 6743 3663 6749 -rect 4249 6783 4307 6789 -rect 4249 6749 4261 6783 -rect 4295 6780 4307 6783 -rect 4341 6783 4399 6789 -rect 4341 6780 4353 6783 -rect 4295 6752 4353 6780 -rect 4295 6749 4307 6752 -rect 4249 6743 4307 6749 -rect 4341 6749 4353 6752 -rect 4387 6749 4399 6783 -rect 4341 6743 4399 6749 -rect 5721 6783 5779 6789 -rect 5721 6749 5733 6783 -rect 5767 6780 5779 6783 -rect 5767 6752 5948 6780 -rect 5767 6749 5779 6752 -rect 5721 6743 5779 6749 -rect 3234 6644 3240 6656 -rect 3195 6616 3240 6644 -rect 3234 6604 3240 6616 -rect 3292 6604 3298 6656 -rect 5920 6653 5948 6752 -rect 6270 6740 6276 6792 -rect 6328 6740 6334 6792 -rect 7834 6780 7840 6792 -rect 7795 6752 7840 6780 -rect 7834 6740 7840 6752 -rect 7892 6740 7898 6792 -rect 8021 6783 8079 6789 -rect 8021 6749 8033 6783 -rect 8067 6780 8079 6783 -rect 8140 6780 8168 6888 -rect 8294 6876 8300 6888 -rect 8352 6876 8358 6928 rect 8205 6851 8263 6857 rect 8205 6817 8217 6851 rect 8251 6848 8263 6851 @@ -2618,47 +2572,76 @@ rect 8251 6817 8263 6820 rect 8205 6811 8263 6817 rect 13722 6808 13728 6820 rect 13780 6808 13786 6860 -rect 8067 6752 8168 6780 -rect 8297 6783 8355 6789 -rect 8067 6749 8079 6752 +rect 7837 6783 7895 6789 +rect 7837 6780 7849 6783 +rect 5123 6752 5948 6780 +rect 7668 6752 7849 6780 +rect 5123 6749 5135 6752 +rect 5077 6743 5135 6749 +rect 3050 6712 3056 6724 +rect 2990 6684 3056 6712 +rect 3050 6672 3056 6684 +rect 3108 6672 3114 6724 +rect 7466 6712 7472 6724 +rect 6946 6684 7472 6712 +rect 7466 6672 7472 6684 +rect 7524 6672 7530 6724 +rect 1397 6647 1455 6653 +rect 1397 6613 1409 6647 +rect 1443 6644 1455 6647 +rect 1486 6644 1492 6656 +rect 1443 6616 1492 6644 +rect 1443 6613 1455 6616 +rect 1397 6607 1455 6613 +rect 1486 6604 1492 6616 +rect 1544 6644 1550 6656 +rect 3068 6644 3096 6672 +rect 3510 6644 3516 6656 +rect 1544 6616 3516 6644 +rect 1544 6604 1550 6616 +rect 3510 6604 3516 6616 +rect 3568 6604 3574 6656 +rect 5721 6647 5779 6653 +rect 5721 6613 5733 6647 +rect 5767 6644 5779 6647 +rect 5902 6644 5908 6656 +rect 5767 6616 5908 6644 +rect 5767 6613 5779 6616 +rect 5721 6607 5779 6613 +rect 5902 6604 5908 6616 +rect 5960 6604 5966 6656 +rect 6638 6604 6644 6656 +rect 6696 6644 6702 6656 +rect 7668 6644 7696 6752 +rect 7837 6749 7849 6752 +rect 7883 6749 7895 6783 +rect 7837 6743 7895 6749 +rect 8021 6783 8079 6789 +rect 8021 6749 8033 6783 +rect 8067 6749 8079 6783 +rect 8294 6780 8300 6792 +rect 8255 6752 8300 6780 rect 8021 6743 8079 6749 -rect 8297 6749 8309 6783 -rect 8343 6749 8355 6783 +rect 8036 6712 8064 6743 +rect 8294 6740 8300 6752 +rect 8352 6740 8358 6792 rect 8570 6780 8576 6792 rect 8531 6752 8576 6780 -rect 8297 6743 8355 6749 -rect 8110 6672 8116 6724 -rect 8168 6712 8174 6724 -rect 8312 6712 8340 6743 rect 8570 6740 8576 6752 rect 8628 6740 8634 6792 -rect 8757 6783 8815 6789 -rect 8757 6749 8769 6783 -rect 8803 6749 8815 6783 -rect 8757 6743 8815 6749 -rect 8168 6684 8340 6712 -rect 8168 6672 8174 6684 -rect 8478 6672 8484 6724 -rect 8536 6712 8542 6724 -rect 8772 6712 8800 6743 -rect 8536 6684 8800 6712 -rect 8536 6672 8542 6684 -rect 5905 6647 5963 6653 -rect 5905 6613 5917 6647 -rect 5951 6644 5963 6647 -rect 6546 6644 6552 6656 -rect 5951 6616 6552 6644 -rect 5951 6613 5963 6616 -rect 5905 6607 5963 6613 -rect 6546 6604 6552 6616 -rect 6604 6604 6610 6656 -rect 6730 6604 6736 6656 -rect 6788 6644 6794 6656 -rect 7374 6644 7380 6656 -rect 6788 6616 7380 6644 -rect 6788 6604 6794 6616 -rect 7374 6604 7380 6616 -rect 7432 6604 7438 6656 +rect 8754 6780 8760 6792 +rect 8715 6752 8760 6780 +rect 8754 6740 8760 6752 +rect 8812 6740 8818 6792 +rect 9030 6712 9036 6724 +rect 8036 6684 9036 6712 +rect 9030 6672 9036 6684 +rect 9088 6672 9094 6724 +rect 8386 6644 8392 6656 +rect 6696 6616 8392 6644 +rect 6696 6604 6702 6616 +rect 8386 6604 8392 6616 +rect 8444 6604 8450 6656 rect 9217 6647 9275 6653 rect 9217 6613 9229 6647 rect 9263 6644 9275 6647 @@ -2679,102 +2662,125 @@ rect 920 6480 9844 6502 rect 1397 6443 1455 6449 rect 1397 6409 1409 6443 rect 1443 6440 1455 6443 -rect 1581 6443 1639 6449 -rect 1581 6440 1593 6443 -rect 1443 6412 1593 6440 +rect 1486 6440 1492 6452 +rect 1443 6412 1492 6440 rect 1443 6409 1455 6412 rect 1397 6403 1455 6409 -rect 1581 6409 1593 6412 -rect 1627 6440 1639 6443 -rect 1670 6440 1676 6452 -rect 1627 6412 1676 6440 -rect 1627 6409 1639 6412 -rect 1581 6403 1639 6409 -rect 1670 6400 1676 6412 -rect 1728 6400 1734 6452 -rect 2406 6400 2412 6452 -rect 2464 6440 2470 6452 -rect 3237 6443 3295 6449 -rect 3237 6440 3249 6443 -rect 2464 6412 3249 6440 -rect 2464 6400 2470 6412 -rect 3237 6409 3249 6412 -rect 3283 6440 3295 6443 -rect 4982 6440 4988 6452 -rect 3283 6412 4988 6440 -rect 3283 6409 3295 6412 -rect 3237 6403 3295 6409 -rect 4982 6400 4988 6412 -rect 5040 6400 5046 6452 -rect 7190 6400 7196 6452 -rect 7248 6440 7254 6452 -rect 7834 6440 7840 6452 -rect 7248 6412 7840 6440 -rect 7248 6400 7254 6412 -rect 7834 6400 7840 6412 -rect 7892 6440 7898 6452 -rect 9401 6443 9459 6449 -rect 9401 6440 9413 6443 -rect 7892 6412 8248 6440 -rect 7892 6400 7898 6412 -rect 4154 6332 4160 6384 -rect 4212 6332 4218 6384 +rect 1486 6400 1492 6412 +rect 1544 6400 1550 6452 +rect 5534 6440 5540 6452 +rect 3068 6412 5540 6440 +rect 2777 6307 2835 6313 +rect 2777 6273 2789 6307 +rect 2823 6304 2835 6307 +rect 2958 6304 2964 6316 +rect 2823 6276 2964 6304 +rect 2823 6273 2835 6276 +rect 2777 6267 2835 6273 +rect 2958 6264 2964 6276 +rect 3016 6264 3022 6316 +rect 3068 6313 3096 6412 +rect 5534 6400 5540 6412 +rect 5592 6400 5598 6452 +rect 5718 6400 5724 6452 +rect 5776 6440 5782 6452 +rect 5905 6443 5963 6449 +rect 5905 6440 5917 6443 +rect 5776 6412 5917 6440 +rect 5776 6400 5782 6412 +rect 5905 6409 5917 6412 +rect 5951 6409 5963 6443 +rect 5905 6403 5963 6409 +rect 6362 6400 6368 6452 +rect 6420 6440 6426 6452 +rect 6638 6440 6644 6452 +rect 6420 6412 6644 6440 +rect 6420 6400 6426 6412 +rect 6638 6400 6644 6412 +rect 6696 6400 6702 6452 +rect 4062 6332 4068 6384 +rect 4120 6332 4126 6384 rect 7926 6372 7932 6384 rect 7887 6344 7932 6372 rect 7926 6332 7932 6344 rect 7984 6332 7990 6384 -rect 8220 6381 8248 6412 -rect 8404 6412 9413 6440 +rect 8110 6332 8116 6384 +rect 8168 6372 8174 6384 rect 8205 6375 8263 6381 -rect 8205 6341 8217 6375 +rect 8205 6372 8217 6375 +rect 8168 6344 8217 6372 +rect 8168 6332 8174 6344 +rect 8205 6341 8217 6344 rect 8251 6341 8263 6375 rect 8205 6335 8263 6341 -rect 2314 6304 2320 6316 -rect 2275 6276 2320 6304 -rect 2314 6264 2320 6276 -rect 2372 6264 2378 6316 -rect 2409 6307 2467 6313 -rect 2409 6273 2421 6307 -rect 2455 6273 2467 6307 +rect 8294 6332 8300 6384 +rect 8352 6372 8358 6384 +rect 8573 6375 8631 6381 +rect 8573 6372 8585 6375 +rect 8352 6344 8585 6372 +rect 8352 6332 8358 6344 +rect 8573 6341 8585 6344 +rect 8619 6341 8631 6375 +rect 8573 6335 8631 6341 +rect 3053 6307 3111 6313 +rect 3053 6273 3065 6307 +rect 3099 6273 3111 6307 rect 3326 6304 3332 6316 rect 3287 6276 3332 6304 -rect 2409 6267 2467 6273 -rect 1673 6239 1731 6245 -rect 1673 6205 1685 6239 -rect 1719 6236 1731 6239 -rect 2424 6236 2452 6267 +rect 3053 6267 3111 6273 rect 3326 6264 3332 6276 rect 3384 6264 3390 6316 rect 5169 6307 5227 6313 rect 5169 6273 5181 6307 rect 5215 6304 5227 6307 -rect 5534 6304 5540 6316 -rect 5215 6276 5540 6304 +rect 6086 6304 6092 6316 +rect 5215 6276 6092 6304 rect 5215 6273 5227 6276 rect 5169 6267 5227 6273 -rect 5534 6264 5540 6276 -rect 5592 6264 5598 6316 -rect 5718 6264 5724 6316 -rect 5776 6304 5782 6316 +rect 6086 6264 6092 6276 +rect 6144 6264 6150 6316 +rect 7098 6264 7104 6316 +rect 7156 6304 7162 6316 rect 8021 6307 8079 6313 rect 8021 6304 8033 6307 -rect 5776 6276 8033 6304 -rect 5776 6264 5782 6276 +rect 7156 6276 8033 6304 +rect 7156 6264 7162 6276 rect 8021 6273 8033 6276 -rect 8067 6304 8079 6307 -rect 8404 6304 8432 6412 -rect 9401 6409 9413 6412 -rect 9447 6409 9459 6443 -rect 9401 6403 9459 6409 -rect 8067 6276 8432 6304 -rect 8067 6273 8079 6276 +rect 8067 6273 8079 6307 rect 8021 6267 8079 6273 -rect 8478 6264 8484 6316 -rect 8536 6304 8542 6316 +rect 1670 6236 1676 6248 +rect 1631 6208 1676 6236 +rect 1670 6196 1676 6208 +rect 1728 6196 1734 6248 +rect 2682 6236 2688 6248 +rect 2643 6208 2688 6236 +rect 2682 6196 2688 6208 +rect 2740 6196 2746 6248 +rect 3697 6239 3755 6245 +rect 3697 6205 3709 6239 +rect 3743 6236 3755 6239 +rect 3970 6236 3976 6248 +rect 3743 6208 3976 6236 +rect 3743 6205 3755 6208 +rect 3697 6199 3755 6205 +rect 3970 6196 3976 6208 +rect 4028 6196 4034 6248 +rect 6178 6236 6184 6248 +rect 6139 6208 6184 6236 +rect 6178 6196 6184 6208 +rect 6236 6196 6242 6248 +rect 8036 6236 8064 6267 +rect 8386 6264 8392 6316 +rect 8444 6304 8450 6316 +rect 8481 6307 8539 6313 +rect 8481 6304 8493 6307 +rect 8444 6276 8493 6304 +rect 8444 6264 8450 6276 +rect 8481 6273 8493 6276 +rect 8527 6273 8539 6307 rect 8754 6304 8760 6316 -rect 8536 6276 8581 6304 rect 8715 6276 8760 6304 -rect 8536 6264 8542 6276 +rect 8481 6267 8539 6273 rect 8754 6264 8760 6276 rect 8812 6264 8818 6316 rect 8846 6264 8852 6316 @@ -2789,45 +2795,45 @@ rect 8941 6267 8999 6273 rect 9309 6307 9367 6313 rect 9309 6273 9321 6307 rect 9355 6304 9367 6307 -rect 9582 6304 9588 6316 -rect 9355 6276 9588 6304 +rect 9858 6304 9864 6316 +rect 9355 6276 9864 6304 rect 9355 6273 9367 6276 rect 9309 6267 9367 6273 -rect 9582 6264 9588 6276 -rect 9640 6264 9646 6316 -rect 1719 6208 2452 6236 -rect 3053 6239 3111 6245 -rect 1719 6205 1731 6208 -rect 1673 6199 1731 6205 -rect 3053 6205 3065 6239 -rect 3099 6236 3111 6239 -rect 3697 6239 3755 6245 -rect 3697 6236 3709 6239 -rect 3099 6208 3709 6236 -rect 3099 6205 3111 6208 -rect 3053 6199 3111 6205 -rect 3697 6205 3709 6208 -rect 3743 6205 3755 6239 -rect 3697 6199 3755 6205 -rect 6086 6196 6092 6248 -rect 6144 6236 6150 6248 -rect 6181 6239 6239 6245 -rect 6181 6236 6193 6239 -rect 6144 6208 6193 6236 -rect 6144 6196 6150 6208 -rect 6181 6205 6193 6208 -rect 6227 6205 6239 6239 -rect 6181 6199 6239 6205 -rect 8110 6196 8116 6248 -rect 8168 6236 8174 6248 -rect 8573 6239 8631 6245 -rect 8573 6236 8585 6239 -rect 8168 6208 8585 6236 -rect 8168 6196 8174 6208 -rect 8573 6205 8585 6208 -rect 8619 6205 8631 6239 -rect 8573 6199 8631 6205 +rect 9858 6264 9864 6276 +rect 9916 6264 9922 6316 +rect 9401 6239 9459 6245 +rect 9401 6236 9413 6239 +rect 8036 6208 9413 6236 +rect 9401 6205 9413 6208 +rect 9447 6205 9459 6239 +rect 9401 6199 9459 6205 +rect 2317 6171 2375 6177 +rect 2317 6137 2329 6171 +rect 2363 6168 2375 6171 +rect 2961 6171 3019 6177 +rect 2363 6140 2774 6168 +rect 2363 6137 2375 6140 +rect 2317 6131 2375 6137 +rect 2406 6100 2412 6112 +rect 2367 6072 2412 6100 +rect 2406 6060 2412 6072 +rect 2464 6060 2470 6112 +rect 2746 6100 2774 6140 +rect 2961 6137 2973 6171 +rect 3007 6168 3019 6171 rect 5733 6171 5791 6177 +rect 3007 6140 3464 6168 +rect 3007 6137 3019 6140 +rect 2961 6131 3019 6137 +rect 3050 6100 3056 6112 +rect 2746 6072 3056 6100 +rect 3050 6060 3056 6072 +rect 3108 6060 3114 6112 +rect 3234 6100 3240 6112 +rect 3195 6072 3240 6100 +rect 3234 6060 3240 6072 +rect 3292 6060 3298 6112 +rect 3436 6100 3464 6140 rect 5733 6137 5745 6171 rect 5779 6168 5791 6171 rect 8202 6168 8208 6180 @@ -2836,31 +2842,28 @@ rect 5779 6137 5791 6140 rect 5733 6131 5791 6137 rect 8202 6128 8208 6140 rect 8260 6128 8266 6180 -rect 8389 6171 8447 6177 -rect 8389 6137 8401 6171 -rect 8435 6168 8447 6171 -rect 9490 6168 9496 6180 -rect 8435 6140 9496 6168 -rect 8435 6137 8447 6140 -rect 8389 6131 8447 6137 -rect 9490 6128 9496 6140 -rect 9548 6128 9554 6180 -rect 4798 6060 4804 6112 -rect 4856 6100 4862 6112 -rect 5905 6103 5963 6109 -rect 5905 6100 5917 6103 -rect 4856 6072 5917 6100 -rect 4856 6060 4862 6072 -rect 5905 6069 5917 6072 -rect 5951 6069 5963 6103 -rect 5905 6063 5963 6069 -rect 7006 6060 7012 6112 -rect 7064 6100 7070 6112 -rect 8110 6100 8116 6112 -rect 7064 6072 8116 6100 -rect 7064 6060 7070 6072 -rect 8110 6060 8116 6072 -rect 8168 6060 8174 6112 +rect 8662 6128 8668 6180 +rect 8720 6168 8726 6180 +rect 8720 6140 12434 6168 +rect 8720 6128 8726 6140 +rect 4062 6100 4068 6112 +rect 3436 6072 4068 6100 +rect 4062 6060 4068 6072 +rect 4120 6060 4126 6112 +rect 8297 6103 8355 6109 +rect 8297 6069 8309 6103 +rect 8343 6100 8355 6103 +rect 9214 6100 9220 6112 +rect 8343 6072 9220 6100 +rect 8343 6069 8355 6072 +rect 8297 6063 8355 6069 +rect 9214 6060 9220 6072 +rect 9272 6060 9278 6112 +rect 12406 6100 12434 6140 +rect 13446 6100 13452 6112 +rect 12406 6072 13452 6100 +rect 13446 6060 13452 6072 +rect 13504 6060 13510 6112 rect 920 6010 9844 6032 rect 920 5958 2566 6010 rect 2618 5958 2630 6010 @@ -2874,33 +2877,41 @@ rect 7746 5958 7758 6010 rect 7810 5958 7822 6010 rect 7874 5958 9844 6010 rect 920 5936 9844 5958 -rect 1489 5899 1547 5905 -rect 1489 5865 1501 5899 -rect 1535 5896 1547 5899 +rect 1486 5896 1492 5908 +rect 1447 5868 1492 5896 +rect 1486 5856 1492 5868 +rect 1544 5856 1550 5908 rect 1670 5896 1676 5908 -rect 1535 5868 1676 5896 -rect 1535 5865 1547 5868 -rect 1489 5859 1547 5865 +rect 1631 5868 1676 5896 rect 1670 5856 1676 5868 rect 1728 5856 1734 5908 -rect 2406 5856 2412 5908 -rect 2464 5896 2470 5908 -rect 4249 5899 4307 5905 -rect 4249 5896 4261 5899 -rect 2464 5868 4261 5896 -rect 2464 5856 2470 5868 -rect 4249 5865 4261 5868 -rect 4295 5865 4307 5899 -rect 4249 5859 4307 5865 -rect 7374 5856 7380 5908 -rect 7432 5896 7438 5908 -rect 8297 5899 8355 5905 -rect 8297 5896 8309 5899 -rect 7432 5868 8309 5896 -rect 7432 5856 7438 5868 -rect 8297 5865 8309 5868 -rect 8343 5865 8355 5899 -rect 8297 5859 8355 5865 +rect 2958 5856 2964 5908 +rect 3016 5896 3022 5908 +rect 3881 5899 3939 5905 +rect 3881 5896 3893 5899 +rect 3016 5868 3893 5896 +rect 3016 5856 3022 5868 +rect 3881 5865 3893 5868 +rect 3927 5865 3939 5899 +rect 4246 5896 4252 5908 +rect 4207 5868 4252 5896 +rect 3881 5859 3939 5865 +rect 4246 5856 4252 5868 +rect 4304 5856 4310 5908 +rect 7282 5856 7288 5908 +rect 7340 5896 7346 5908 +rect 8018 5896 8024 5908 +rect 7340 5868 8024 5896 +rect 7340 5856 7346 5868 +rect 8018 5856 8024 5868 +rect 8076 5896 8082 5908 +rect 8113 5899 8171 5905 +rect 8113 5896 8125 5899 +rect 8076 5868 8125 5896 +rect 8076 5856 8082 5868 +rect 8113 5865 8125 5868 +rect 8159 5865 8171 5899 +rect 8113 5859 8171 5865 rect 8570 5856 8576 5908 rect 8628 5896 8634 5908 rect 8849 5899 8907 5905 @@ -2910,58 +2921,19 @@ rect 8628 5856 8634 5868 rect 8849 5865 8861 5868 rect 8895 5865 8907 5899 rect 8849 5859 8907 5865 -rect 8478 5828 8484 5840 -rect 5552 5800 8484 5828 -rect 1305 5763 1363 5769 -rect 1305 5729 1317 5763 -rect 1351 5760 1363 5763 -rect 1351 5732 5488 5760 -rect 1351 5729 1363 5732 -rect 1305 5723 1363 5729 -rect 1670 5652 1676 5704 -rect 1728 5692 1734 5704 -rect 3421 5695 3479 5701 -rect 1728 5664 2070 5692 -rect 1728 5652 1734 5664 -rect 3421 5661 3433 5695 -rect 3467 5661 3479 5695 -rect 3421 5655 3479 5661 -rect 3605 5695 3663 5701 -rect 3605 5661 3617 5695 -rect 3651 5692 3663 5695 -rect 3694 5692 3700 5704 -rect 3651 5664 3700 5692 -rect 3651 5661 3663 5664 -rect 3605 5655 3663 5661 -rect 3145 5627 3203 5633 -rect 3145 5593 3157 5627 -rect 3191 5624 3203 5627 -rect 3234 5624 3240 5636 -rect 3191 5596 3240 5624 -rect 3191 5593 3203 5596 -rect 3145 5587 3203 5593 -rect 3234 5584 3240 5596 -rect 3292 5584 3298 5636 -rect 3436 5624 3464 5655 -rect 3694 5652 3700 5664 -rect 3752 5652 3758 5704 -rect 3786 5652 3792 5704 -rect 3844 5692 3850 5704 -rect 4433 5695 4491 5701 -rect 4433 5692 4445 5695 -rect 3844 5664 4445 5692 -rect 3844 5652 3850 5664 -rect 4433 5661 4445 5664 -rect 4479 5661 4491 5695 -rect 4433 5655 4491 5661 -rect 4798 5624 4804 5636 -rect 3436 5596 4804 5624 -rect 4798 5584 4804 5596 -rect 4856 5584 4862 5636 -rect 5460 5624 5488 5732 -rect 5552 5701 5580 5800 -rect 8478 5788 8484 5800 -rect 8536 5788 8542 5840 +rect 3694 5828 3700 5840 +rect 3655 5800 3700 5828 +rect 3694 5788 3700 5800 +rect 3752 5788 3758 5840 +rect 5905 5831 5963 5837 +rect 5905 5797 5917 5831 +rect 5951 5828 5963 5831 +rect 8662 5828 8668 5840 +rect 5951 5800 8668 5828 +rect 5951 5797 5963 5800 +rect 5905 5791 5963 5797 +rect 8662 5788 8668 5800 +rect 8720 5788 8726 5840 rect 8754 5788 8760 5840 rect 8812 5828 8818 5840 rect 9217 5831 9275 5837 @@ -2971,87 +2943,120 @@ rect 8812 5788 8818 5800 rect 9217 5797 9229 5800 rect 9263 5797 9275 5831 rect 9217 5791 9275 5797 -rect 5905 5763 5963 5769 -rect 5905 5729 5917 5763 -rect 5951 5760 5963 5763 -rect 13446 5760 13452 5772 -rect 5951 5732 13452 5760 -rect 5951 5729 5963 5732 -rect 5905 5723 5963 5729 -rect 13446 5720 13452 5732 -rect 13504 5720 13510 5772 -rect 5537 5695 5595 5701 -rect 5537 5661 5549 5695 -rect 5583 5661 5595 5695 -rect 7929 5695 7987 5701 -rect 7929 5692 7941 5695 -rect 5537 5655 5595 5661 -rect 6012 5664 7941 5692 -rect 6012 5624 6040 5664 -rect 7929 5661 7941 5664 -rect 7975 5661 7987 5695 -rect 7929 5655 7987 5661 -rect 6178 5624 6184 5636 -rect 5460 5596 6040 5624 -rect 6139 5596 6184 5624 -rect 6178 5584 6184 5596 -rect 6236 5584 6242 5636 -rect 7944 5624 7972 5655 -rect 8110 5652 8116 5704 -rect 8168 5692 8174 5704 -rect 8573 5695 8631 5701 -rect 8573 5692 8585 5695 -rect 8168 5664 8585 5692 -rect 8168 5652 8174 5664 -rect 8573 5661 8585 5664 -rect 8619 5661 8631 5695 +rect 9306 5788 9312 5840 +rect 9364 5828 9370 5840 +rect 9490 5828 9496 5840 +rect 9364 5800 9496 5828 +rect 9364 5788 9370 5800 +rect 9490 5788 9496 5800 +rect 9548 5788 9554 5840 +rect 1305 5763 1363 5769 +rect 1305 5729 1317 5763 +rect 1351 5760 1363 5763 +rect 1351 5732 5776 5760 +rect 1351 5729 1363 5732 +rect 1305 5723 1363 5729 +rect 3418 5652 3424 5704 +rect 3476 5692 3482 5704 +rect 3476 5664 3521 5692 +rect 3476 5652 3482 5664 +rect 3694 5652 3700 5704 +rect 3752 5692 3758 5704 +rect 4341 5695 4399 5701 +rect 4341 5692 4353 5695 +rect 3752 5664 4353 5692 +rect 3752 5652 3758 5664 +rect 4341 5661 4353 5664 +rect 4387 5661 4399 5695 +rect 4341 5655 4399 5661 +rect 4433 5695 4491 5701 +rect 4433 5661 4445 5695 +rect 4479 5661 4491 5695 +rect 4433 5655 4491 5661 +rect 5629 5695 5687 5701 +rect 5629 5661 5641 5695 +rect 5675 5661 5687 5695 +rect 5748 5692 5776 5732 +rect 5810 5720 5816 5772 +rect 5868 5760 5874 5772 +rect 6181 5763 6239 5769 +rect 6181 5760 6193 5763 +rect 5868 5732 6193 5760 +rect 5868 5720 5874 5732 +rect 6181 5729 6193 5732 +rect 6227 5729 6239 5763 +rect 6181 5723 6239 5729 +rect 6822 5720 6828 5772 +rect 6880 5760 6886 5772 +rect 6880 5732 7972 5760 +rect 6880 5720 6886 5732 +rect 7944 5692 7972 5732 +rect 8294 5720 8300 5772 +rect 8352 5760 8358 5772 +rect 13814 5760 13820 5772 +rect 8352 5732 13820 5760 +rect 8352 5720 8358 5732 +rect 13814 5720 13820 5732 +rect 13872 5720 13878 5772 +rect 8021 5695 8079 5701 +rect 8021 5692 8033 5695 +rect 5748 5664 7880 5692 +rect 7944 5664 8033 5692 +rect 5629 5655 5687 5661 +rect 1486 5584 1492 5636 +rect 1544 5624 1550 5636 +rect 3142 5624 3148 5636 +rect 1544 5596 1978 5624 +rect 3103 5596 3148 5624 +rect 1544 5584 1550 5596 +rect 3142 5584 3148 5596 +rect 3200 5584 3206 5636 +rect 4448 5624 4476 5655 +rect 3252 5596 4476 5624 +rect 5644 5624 5672 5655 +rect 7466 5624 7472 5636 +rect 5644 5596 7472 5624 +rect 2774 5516 2780 5568 +rect 2832 5556 2838 5568 +rect 3252 5556 3280 5596 +rect 7466 5584 7472 5596 +rect 7524 5584 7530 5636 +rect 7852 5624 7880 5664 +rect 8021 5661 8033 5664 +rect 8067 5661 8079 5695 rect 9030 5692 9036 5704 rect 8991 5664 9036 5692 -rect 8573 5655 8631 5661 +rect 8021 5655 8079 5661 rect 9030 5652 9036 5664 rect 9088 5652 9094 5704 rect 9309 5695 9367 5701 rect 9309 5661 9321 5695 rect 9355 5692 9367 5695 -rect 9582 5692 9588 5704 -rect 9355 5664 9588 5692 +rect 9858 5692 9864 5704 +rect 9355 5664 9864 5692 rect 9355 5661 9367 5664 rect 9309 5655 9367 5661 -rect 9582 5652 9588 5664 -rect 9640 5652 9646 5704 -rect 13814 5624 13820 5636 -rect 7944 5596 13820 5624 -rect 13814 5584 13820 5596 -rect 13872 5584 13878 5636 -rect 1673 5559 1731 5565 -rect 1673 5525 1685 5559 -rect 1719 5556 1731 5559 -rect 3694 5556 3700 5568 -rect 1719 5528 3700 5556 -rect 1719 5525 1731 5528 -rect 1673 5519 1731 5525 -rect 3694 5516 3700 5528 -rect 3752 5516 3758 5568 -rect 4890 5516 4896 5568 -rect 4948 5556 4954 5568 -rect 7834 5556 7840 5568 -rect 4948 5528 7840 5556 -rect 4948 5516 4954 5528 -rect 7834 5516 7840 5528 -rect 7892 5516 7898 5568 -rect 8110 5556 8116 5568 -rect 8071 5528 8116 5556 -rect 8110 5516 8116 5528 -rect 8168 5516 8174 5568 -rect 8846 5516 8852 5568 -rect 8904 5556 8910 5568 -rect 9401 5559 9459 5565 -rect 9401 5556 9413 5559 -rect 8904 5528 9413 5556 -rect 8904 5516 8910 5528 -rect 9401 5525 9413 5528 -rect 9447 5525 9459 5559 -rect 9401 5519 9459 5525 +rect 9858 5652 9864 5664 +rect 9916 5652 9922 5704 +rect 7929 5627 7987 5633 +rect 7929 5624 7941 5627 +rect 7852 5596 7941 5624 +rect 7929 5593 7941 5596 +rect 7975 5624 7987 5627 +rect 7975 5596 8064 5624 +rect 7975 5593 7987 5596 +rect 7929 5587 7987 5593 +rect 2832 5528 3280 5556 +rect 8036 5556 8064 5596 +rect 8294 5556 8300 5568 +rect 8036 5528 8300 5556 +rect 2832 5516 2838 5528 +rect 8294 5516 8300 5528 +rect 8352 5516 8358 5568 +rect 8478 5556 8484 5568 +rect 8439 5528 8484 5556 +rect 8478 5516 8484 5528 +rect 8536 5516 8542 5568 rect 920 5466 9844 5488 rect 920 5414 5066 5466 rect 5118 5414 5130 5466 @@ -3060,237 +3065,182 @@ rect 5246 5414 5258 5466 rect 5310 5414 5322 5466 rect 5374 5414 9844 5466 rect 920 5392 9844 5414 -rect 3418 5312 3424 5364 -rect 3476 5352 3482 5364 -rect 3513 5355 3571 5361 -rect 3513 5352 3525 5355 -rect 3476 5324 3525 5352 -rect 3476 5312 3482 5324 -rect 3513 5321 3525 5324 -rect 3559 5321 3571 5355 -rect 3513 5315 3571 5321 -rect 3789 5355 3847 5361 -rect 3789 5321 3801 5355 -rect 3835 5321 3847 5355 -rect 3789 5315 3847 5321 -rect 4065 5355 4123 5361 -rect 4065 5321 4077 5355 -rect 4111 5352 4123 5355 -rect 4111 5324 5212 5352 -rect 4111 5321 4123 5324 -rect 4065 5315 4123 5321 -rect 3804 5284 3832 5315 -rect 4154 5284 4160 5296 -rect 3804 5256 4160 5284 -rect 4154 5244 4160 5256 -rect 4212 5244 4218 5296 -rect 5184 5293 5212 5324 -rect 5442 5312 5448 5364 -rect 5500 5352 5506 5364 -rect 6457 5355 6515 5361 -rect 6457 5352 6469 5355 -rect 5500 5324 6469 5352 -rect 5500 5312 5506 5324 -rect 6457 5321 6469 5324 -rect 6503 5321 6515 5355 -rect 6457 5315 6515 5321 -rect 7006 5312 7012 5364 -rect 7064 5312 7070 5364 -rect 8386 5312 8392 5364 -rect 8444 5352 8450 5364 -rect 8481 5355 8539 5361 -rect 8481 5352 8493 5355 -rect 8444 5324 8493 5352 -rect 8444 5312 8450 5324 -rect 8481 5321 8493 5324 -rect 8527 5321 8539 5355 -rect 8481 5315 8539 5321 -rect 8754 5312 8760 5364 -rect 8812 5352 8818 5364 -rect 8812 5324 8892 5352 -rect 8812 5312 8818 5324 +rect 2406 5312 2412 5364 +rect 2464 5352 2470 5364 +rect 4614 5352 4620 5364 +rect 2464 5324 4620 5352 +rect 2464 5312 2470 5324 +rect 4614 5312 4620 5324 +rect 4672 5352 4678 5364 +rect 6454 5352 6460 5364 +rect 4672 5324 5304 5352 +rect 6415 5324 6460 5352 +rect 4672 5312 4678 5324 +rect 3234 5244 3240 5296 +rect 3292 5284 3298 5296 rect 5169 5287 5227 5293 -rect 5169 5253 5181 5287 +rect 5169 5284 5181 5287 +rect 3292 5256 5181 5284 +rect 3292 5244 3298 5256 +rect 5169 5253 5181 5256 rect 5215 5253 5227 5287 -rect 7024 5284 7052 5312 -rect 7024 5256 7420 5284 rect 5169 5247 5227 5253 -rect 3142 5176 3148 5228 -rect 3200 5216 3206 5228 +rect 3050 5176 3056 5228 +rect 3108 5216 3114 5228 rect 3329 5219 3387 5225 rect 3329 5216 3341 5219 -rect 3200 5188 3341 5216 -rect 3200 5176 3206 5188 +rect 3108 5188 3341 5216 +rect 3108 5176 3114 5188 rect 3329 5185 3341 5188 rect 3375 5185 3387 5219 rect 3329 5179 3387 5185 -rect 3605 5219 3663 5225 -rect 3605 5185 3617 5219 -rect 3651 5185 3663 5219 -rect 3605 5179 3663 5185 -rect 3881 5219 3939 5225 -rect 3881 5185 3893 5219 -rect 3927 5216 3939 5219 -rect 3970 5216 3976 5228 -rect 3927 5188 3976 5216 -rect 3927 5185 3939 5188 -rect 3881 5179 3939 5185 -rect 3620 5148 3648 5179 -rect 3970 5176 3976 5188 -rect 4028 5176 4034 5228 rect 4341 5219 4399 5225 rect 4341 5185 4353 5219 rect 4387 5185 4399 5219 rect 4341 5179 4399 5185 -rect 4246 5148 4252 5160 -rect 3620 5120 4252 5148 -rect 4246 5108 4252 5120 -rect 4304 5108 4310 5160 -rect 4356 5148 4384 5179 -rect 4430 5176 4436 5228 -rect 4488 5216 4494 5228 rect 5077 5219 5135 5225 -rect 5077 5216 5089 5219 -rect 4488 5188 5089 5216 -rect 4488 5176 4494 5188 -rect 5077 5185 5089 5188 -rect 5123 5185 5135 5219 +rect 5077 5185 5089 5219 +rect 5123 5216 5135 5219 +rect 5276 5216 5304 5324 +rect 6454 5312 6460 5324 +rect 6512 5312 6518 5364 +rect 6546 5312 6552 5364 +rect 6604 5352 6610 5364 +rect 7469 5355 7527 5361 +rect 7469 5352 7481 5355 +rect 6604 5324 7481 5352 +rect 6604 5312 6610 5324 +rect 7469 5321 7481 5324 +rect 7515 5321 7527 5355 +rect 7469 5315 7527 5321 +rect 8110 5312 8116 5364 +rect 8168 5312 8174 5364 +rect 5534 5244 5540 5296 +rect 5592 5284 5598 5296 +rect 6270 5284 6276 5296 +rect 5592 5256 6276 5284 +rect 5592 5244 5598 5256 +rect 6270 5244 6276 5256 +rect 6328 5284 6334 5296 +rect 7193 5287 7251 5293 +rect 7193 5284 7205 5287 +rect 6328 5256 7205 5284 +rect 6328 5244 6334 5256 +rect 7193 5253 7205 5256 +rect 7239 5284 7251 5287 +rect 8128 5284 8156 5312 +rect 7239 5256 8156 5284 +rect 7239 5253 7251 5256 +rect 7193 5247 7251 5253 +rect 8754 5244 8760 5296 +rect 8812 5284 8818 5296 +rect 8941 5287 8999 5293 +rect 8941 5284 8953 5287 +rect 8812 5256 8953 5284 +rect 8812 5244 8818 5256 +rect 8941 5253 8953 5256 +rect 8987 5253 8999 5287 +rect 8941 5247 8999 5253 +rect 5123 5188 5304 5216 +rect 5123 5185 5135 5188 rect 5077 5179 5135 5185 -rect 5718 5176 5724 5228 -rect 5776 5216 5782 5228 +rect 4356 5148 4384 5179 +rect 5626 5176 5632 5228 +rect 5684 5216 5690 5228 +rect 6086 5216 6092 5228 +rect 5684 5188 6092 5216 +rect 5684 5176 5690 5188 +rect 6086 5176 6092 5188 +rect 6144 5176 6150 5228 +rect 6822 5176 6828 5228 +rect 6880 5216 6886 5228 rect 7009 5219 7067 5225 rect 7009 5216 7021 5219 -rect 5776 5188 7021 5216 -rect 5776 5176 5782 5188 +rect 6880 5188 7021 5216 +rect 6880 5176 6886 5188 rect 7009 5185 7021 5188 rect 7055 5185 7067 5219 -rect 7392 5216 7420 5256 -rect 7466 5244 7472 5296 -rect 7524 5284 7530 5296 -rect 8864 5293 8892 5324 -rect 8849 5287 8907 5293 -rect 7524 5256 8340 5284 -rect 7524 5244 7530 5256 -rect 7653 5219 7711 5225 -rect 7653 5216 7665 5219 -rect 7392 5188 7665 5216 +rect 8110 5216 8116 5228 +rect 8071 5188 8116 5216 rect 7009 5179 7067 5185 -rect 7653 5185 7665 5188 -rect 7699 5185 7711 5219 -rect 7653 5179 7711 5185 -rect 7837 5219 7895 5225 -rect 7837 5185 7849 5219 -rect 7883 5216 7895 5219 -rect 7926 5216 7932 5228 -rect 7883 5188 7932 5216 -rect 7883 5185 7895 5188 -rect 7837 5179 7895 5185 -rect 6086 5148 6092 5160 -rect 4356 5120 6092 5148 -rect 6086 5108 6092 5120 -rect 6144 5108 6150 5160 -rect 7852 5148 7880 5179 -rect 7926 5176 7932 5188 -rect 7984 5176 7990 5228 -rect 8312 5225 8340 5256 -rect 8849 5253 8861 5287 -rect 8895 5253 8907 5287 -rect 8849 5247 8907 5253 +rect 8110 5176 8116 5188 +rect 8168 5176 8174 5228 rect 8297 5219 8355 5225 rect 8297 5185 8309 5219 -rect 8343 5185 8355 5219 +rect 8343 5216 8355 5219 +rect 8386 5216 8392 5228 +rect 8343 5188 8392 5216 +rect 8343 5185 8355 5188 rect 8297 5179 8355 5185 -rect 8662 5176 8668 5228 -rect 8720 5216 8726 5228 -rect 8757 5219 8815 5225 -rect 8757 5216 8769 5219 -rect 8720 5188 8769 5216 -rect 8720 5176 8726 5188 -rect 8757 5185 8769 5188 -rect 8803 5185 8815 5219 -rect 8938 5216 8944 5228 -rect 8899 5188 8944 5216 -rect 8757 5179 8815 5185 -rect 8938 5176 8944 5188 -rect 8996 5176 9002 5228 -rect 9217 5219 9275 5225 -rect 9217 5185 9229 5219 -rect 9263 5185 9275 5219 -rect 9490 5216 9496 5228 -rect 9451 5188 9496 5216 -rect 9217 5179 9275 5185 -rect 6196 5120 7880 5148 -rect 9232 5148 9260 5179 -rect 9490 5176 9496 5188 -rect 9548 5176 9554 5228 -rect 9232 5120 9904 5148 +rect 8386 5176 8392 5188 +rect 8444 5176 8450 5228 +rect 9125 5219 9183 5225 +rect 9125 5185 9137 5219 +rect 9171 5185 9183 5219 +rect 9125 5179 9183 5185 +rect 9309 5219 9367 5225 +rect 9309 5185 9321 5219 +rect 9355 5216 9367 5219 +rect 9355 5188 12434 5216 +rect 9355 5185 9367 5188 +rect 9309 5179 9367 5185 +rect 6178 5148 6184 5160 +rect 4356 5120 6184 5148 +rect 6178 5108 6184 5120 +rect 6236 5108 6242 5160 +rect 6362 5108 6368 5160 +rect 6420 5148 6426 5160 +rect 9140 5148 9168 5179 +rect 6420 5120 9536 5148 +rect 6420 5108 6426 5120 rect 4154 5080 4160 5092 rect 4115 5052 4160 5080 rect 4154 5040 4160 5052 rect 4212 5040 4218 5092 -rect 5166 5040 5172 5092 -rect 5224 5080 5230 5092 -rect 5902 5080 5908 5092 -rect 5224 5052 5908 5080 -rect 5224 5040 5230 5052 -rect 5902 5040 5908 5052 -rect 5960 5080 5966 5092 -rect 6196 5080 6224 5120 -rect 5960 5052 6224 5080 -rect 7469 5083 7527 5089 -rect 5960 5040 5966 5052 -rect 7469 5049 7481 5083 -rect 7515 5080 7527 5083 -rect 9214 5080 9220 5092 -rect 7515 5052 9220 5080 -rect 7515 5049 7527 5052 -rect 7469 5043 7527 5049 -rect 9214 5040 9220 5052 -rect 9272 5040 9278 5092 -rect 4338 4972 4344 5024 -rect 4396 5012 4402 5024 -rect 4617 5015 4675 5021 -rect 4617 5012 4629 5015 -rect 4396 4984 4629 5012 -rect 4396 4972 4402 4984 -rect 4617 4981 4629 4984 -rect 4663 4981 4675 5015 -rect 4617 4975 4675 4981 -rect 4706 4972 4712 5024 -rect 4764 5012 4770 5024 -rect 4801 5015 4859 5021 -rect 4801 5012 4813 5015 -rect 4764 4984 4813 5012 -rect 4764 4972 4770 4984 -rect 4801 4981 4813 4984 -rect 4847 4981 4859 5015 -rect 4801 4975 4859 4981 -rect 7285 5015 7343 5021 -rect 7285 4981 7297 5015 -rect 7331 5012 7343 5015 -rect 7374 5012 7380 5024 -rect 7331 4984 7380 5012 -rect 7331 4981 7343 4984 -rect 7285 4975 7343 4981 -rect 7374 4972 7380 4984 -rect 7432 4972 7438 5024 -rect 7926 5012 7932 5024 -rect 7887 4984 7932 5012 -rect 7926 4972 7932 4984 -rect 7984 4972 7990 5024 +rect 7377 5083 7435 5089 +rect 7377 5049 7389 5083 +rect 7423 5080 7435 5083 +rect 8202 5080 8208 5092 +rect 7423 5052 8208 5080 +rect 7423 5049 7435 5052 +rect 7377 5043 7435 5049 +rect 8202 5040 8208 5052 +rect 8260 5040 8266 5092 +rect 3970 5012 3976 5024 +rect 3931 4984 3976 5012 +rect 3970 4972 3976 4984 +rect 4028 4972 4034 5024 +rect 4614 5012 4620 5024 +rect 4575 4984 4620 5012 +rect 4614 4972 4620 4984 +rect 4672 4972 4678 5024 +rect 4798 5012 4804 5024 +rect 4759 4984 4804 5012 +rect 4798 4972 4804 4984 +rect 4856 4972 4862 5024 rect 8018 4972 8024 5024 rect 8076 5012 8082 5024 -rect 8573 5015 8631 5021 -rect 8573 5012 8585 5015 -rect 8076 4984 8585 5012 +rect 8389 5015 8447 5021 +rect 8389 5012 8401 5015 +rect 8076 4984 8401 5012 rect 8076 4972 8082 4984 -rect 8573 4981 8585 4984 -rect 8619 4981 8631 5015 -rect 9306 5012 9312 5024 -rect 9267 4984 9312 5012 -rect 8573 4975 8631 4981 -rect 9306 4972 9312 4984 -rect 9364 4972 9370 5024 +rect 8389 4981 8401 4984 +rect 8435 4981 8447 5015 +rect 8754 5012 8760 5024 +rect 8715 4984 8760 5012 +rect 8389 4975 8447 4981 +rect 8754 4972 8760 4984 +rect 8812 4972 8818 5024 +rect 9508 5021 9536 5120 +rect 9493 5015 9551 5021 +rect 9493 4981 9505 5015 +rect 9539 5012 9551 5015 +rect 9582 5012 9588 5024 +rect 9539 4984 9588 5012 +rect 9539 4981 9551 4984 +rect 9493 4975 9551 4981 +rect 9582 4972 9588 4984 +rect 9640 4972 9646 5024 rect 3036 4922 9844 4944 rect 3036 4870 7566 4922 rect 7618 4870 7630 4922 @@ -3299,12 +3249,14 @@ rect 7746 4870 7758 4922 rect 7810 4870 7822 4922 rect 7874 4870 9844 4922 rect 3036 4848 9844 4870 -rect 3418 4768 3424 4820 -rect 3476 4808 3482 4820 +rect 3326 4808 3332 4820 +rect 3287 4780 3332 4808 +rect 3326 4768 3332 4780 +rect 3384 4808 3390 4820 rect 3789 4811 3847 4817 rect 3789 4808 3801 4811 -rect 3476 4780 3801 4808 -rect 3476 4768 3482 4780 +rect 3384 4780 3801 4808 +rect 3384 4768 3390 4780 rect 3789 4777 3801 4780 rect 3835 4808 3847 4811 rect 4433 4811 4491 4817 @@ -3314,152 +3266,118 @@ rect 3835 4777 3847 4780 rect 3789 4771 3847 4777 rect 4433 4777 4445 4780 rect 4479 4808 4491 4811 -rect 4706 4808 4712 4820 -rect 4479 4780 4712 4808 +rect 4798 4808 4804 4820 +rect 4479 4780 4804 4808 rect 4479 4777 4491 4780 rect 4433 4771 4491 4777 -rect 4706 4768 4712 4780 -rect 4764 4768 4770 4820 -rect 4801 4811 4859 4817 -rect 4801 4777 4813 4811 -rect 4847 4808 4859 4811 -rect 7466 4808 7472 4820 -rect 4847 4780 7472 4808 -rect 4847 4777 4859 4780 -rect 4801 4771 4859 4777 -rect 7466 4768 7472 4780 -rect 7524 4768 7530 4820 +rect 4798 4768 4804 4780 +rect 4856 4768 4862 4820 +rect 4982 4768 4988 4820 +rect 5040 4808 5046 4820 +rect 6181 4811 6239 4817 +rect 6181 4808 6193 4811 +rect 5040 4780 6193 4808 +rect 5040 4768 5046 4780 +rect 6181 4777 6193 4780 +rect 6227 4777 6239 4811 +rect 7098 4808 7104 4820 +rect 6181 4771 6239 4777 +rect 6564 4780 7104 4808 +rect 6564 4740 6592 4780 +rect 7098 4768 7104 4780 +rect 7156 4808 7162 4820 +rect 8386 4808 8392 4820 +rect 7156 4780 8392 4808 +rect 7156 4768 7162 4780 +rect 8386 4768 8392 4780 +rect 8444 4768 8450 4820 rect 8861 4811 8919 4817 rect 8861 4777 8873 4811 rect 8907 4808 8919 4811 -rect 9876 4808 9904 5120 +rect 9876 4808 9904 5188 +rect 8907 4780 9904 4808 +rect 12406 4808 12434 5188 rect 13814 4808 13820 4820 -rect 8907 4780 13820 4808 +rect 12406 4780 13820 4808 rect 8907 4777 8919 4780 rect 8861 4771 8919 4777 rect 13814 4768 13820 4780 rect 13872 4768 13878 4820 -rect 3602 4700 3608 4752 -rect 3660 4740 3666 4752 -rect 3660 4712 4384 4740 -rect 3660 4700 3666 4712 -rect 4154 4672 4160 4684 -rect 3620 4644 4160 4672 -rect 3510 4564 3516 4616 +rect 9033 4743 9091 4749 +rect 9033 4740 9045 4743 +rect 6012 4712 6592 4740 +rect 8312 4712 9045 4740 +rect 4801 4675 4859 4681 +rect 4801 4641 4813 4675 +rect 4847 4672 4859 4675 +rect 5718 4672 5724 4684 +rect 4847 4644 5724 4672 +rect 4847 4641 4859 4644 +rect 4801 4635 4859 4641 +rect 5718 4632 5724 4644 +rect 5776 4632 5782 4684 +rect 3510 4604 3516 4616 +rect 3471 4576 3516 4604 +rect 3510 4564 3516 4576 rect 3568 4564 3574 4616 -rect 3620 4613 3648 4644 -rect 4154 4632 4160 4644 -rect 4212 4632 4218 4684 -rect 4356 4613 4384 4712 -rect 4890 4700 4896 4752 -rect 4948 4740 4954 4752 -rect 5537 4743 5595 4749 -rect 4948 4712 5396 4740 -rect 4948 4700 4954 4712 -rect 3605 4607 3663 4613 -rect 3605 4573 3617 4607 -rect 3651 4573 3663 4607 -rect 3605 4567 3663 4573 +rect 3602 4564 3608 4616 +rect 3660 4604 3666 4616 rect 3697 4607 3755 4613 -rect 3697 4573 3709 4607 +rect 3697 4604 3709 4607 +rect 3660 4576 3709 4604 +rect 3660 4564 3666 4576 +rect 3697 4573 3709 4576 rect 3743 4573 3755 4607 +rect 4338 4604 4344 4616 +rect 4299 4576 4344 4604 rect 3697 4567 3755 4573 -rect 4341 4607 4399 4613 -rect 4341 4573 4353 4607 -rect 4387 4604 4399 4607 -rect 4387 4576 5120 4604 -rect 4387 4573 4399 4576 -rect 4341 4567 4399 4573 -rect 3528 4536 3556 4564 rect 3712 4536 3740 4567 -rect 3528 4508 4476 4536 -rect 3513 4471 3571 4477 -rect 3513 4437 3525 4471 -rect 3559 4468 3571 4471 -rect 4062 4468 4068 4480 -rect 3559 4440 4068 4468 -rect 3559 4437 3571 4440 -rect 3513 4431 3571 4437 -rect 4062 4428 4068 4440 -rect 4120 4428 4126 4480 -rect 4157 4471 4215 4477 -rect 4157 4437 4169 4471 -rect 4203 4468 4215 4471 -rect 4246 4468 4252 4480 -rect 4203 4440 4252 4468 -rect 4203 4437 4215 4440 -rect 4157 4431 4215 4437 -rect 4246 4428 4252 4440 -rect 4304 4428 4310 4480 -rect 4448 4468 4476 4508 -rect 4522 4496 4528 4548 -rect 4580 4536 4586 4548 -rect 4985 4539 5043 4545 -rect 4985 4536 4997 4539 -rect 4580 4508 4997 4536 -rect 4580 4496 4586 4508 -rect 4985 4505 4997 4508 -rect 5031 4505 5043 4539 -rect 4985 4499 5043 4505 -rect 4890 4468 4896 4480 -rect 4448 4440 4896 4468 -rect 4890 4428 4896 4440 -rect 4948 4428 4954 4480 -rect 5092 4468 5120 4576 -rect 5166 4564 5172 4616 -rect 5224 4604 5230 4616 -rect 5368 4613 5396 4712 -rect 5537 4709 5549 4743 -rect 5583 4740 5595 4743 -rect 5718 4740 5724 4752 -rect 5583 4712 5724 4740 -rect 5583 4709 5595 4712 -rect 5537 4703 5595 4709 -rect 5718 4700 5724 4712 -rect 5776 4700 5782 4752 -rect 5994 4700 6000 4752 -rect 6052 4740 6058 4752 -rect 6181 4743 6239 4749 -rect 6181 4740 6193 4743 -rect 6052 4712 6193 4740 -rect 6052 4700 6058 4712 -rect 6181 4709 6193 4712 -rect 6227 4709 6239 4743 -rect 6181 4703 6239 4709 -rect 7926 4700 7932 4752 -rect 7984 4740 7990 4752 -rect 7984 4712 9444 4740 -rect 7984 4700 7990 4712 +rect 4338 4564 4344 4576 +rect 4396 4564 4402 4616 +rect 4522 4564 4528 4616 +rect 4580 4604 4586 4616 +rect 5353 4607 5411 4613 +rect 5353 4604 5365 4607 +rect 4580 4576 5365 4604 +rect 4580 4564 4586 4576 +rect 5353 4573 5365 4576 +rect 5399 4573 5411 4607 +rect 5353 4567 5411 4573 +rect 5537 4607 5595 4613 +rect 5537 4573 5549 4607 +rect 5583 4604 5595 4607 +rect 6012 4604 6040 4712 +rect 6086 4632 6092 4684 +rect 6144 4672 6150 4684 rect 6825 4675 6883 4681 +rect 6144 4644 6408 4672 +rect 6144 4632 6150 4644 +rect 6380 4613 6408 4644 rect 6825 4641 6837 4675 rect 6871 4672 6883 4675 -rect 7098 4672 7104 4684 -rect 6871 4644 7104 4672 +rect 7006 4672 7012 4684 +rect 6871 4644 7012 4672 rect 6871 4641 6883 4644 rect 6825 4635 6883 4641 -rect 7098 4632 7104 4644 -rect 7156 4632 7162 4684 -rect 9306 4672 9312 4684 -rect 8312 4644 9312 4672 -rect 5353 4607 5411 4613 -rect 5224 4576 5269 4604 -rect 5224 4564 5230 4576 -rect 5353 4573 5365 4607 -rect 5399 4573 5411 4607 -rect 5902 4604 5908 4616 -rect 5863 4576 5908 4604 -rect 5353 4567 5411 4573 -rect 5902 4564 5908 4576 -rect 5960 4564 5966 4616 -rect 6362 4604 6368 4616 -rect 6323 4576 6368 4604 -rect 6362 4564 6368 4576 -rect 6420 4564 6426 4616 +rect 7006 4632 7012 4644 +rect 7064 4632 7070 4684 +rect 5583 4576 6040 4604 +rect 6365 4607 6423 4613 +rect 5583 4573 5595 4576 +rect 5537 4567 5595 4573 +rect 6365 4573 6377 4607 +rect 6411 4573 6423 4607 +rect 6365 4567 6423 4573 rect 6454 4564 6460 4616 rect 6512 4604 6518 4616 -rect 8312 4613 8340 4644 -rect 9306 4632 9312 4644 -rect 9364 4632 9370 4684 +rect 8312 4613 8340 4712 +rect 9033 4709 9045 4712 +rect 9079 4709 9091 4743 +rect 9033 4703 9091 4709 +rect 8754 4632 8760 4684 +rect 8812 4672 8818 4684 +rect 8812 4644 9536 4672 +rect 8812 4632 8818 4644 rect 8297 4607 8355 4613 rect 6512 4576 6557 4604 rect 6512 4564 6518 4576 @@ -3470,18 +3388,50 @@ rect 9175 4576 9220 4604 rect 8297 4567 8355 4573 rect 9214 4564 9220 4576 rect 9272 4564 9278 4616 -rect 9416 4604 9444 4712 +rect 9508 4613 9536 4644 rect 9493 4607 9551 4613 -rect 9493 4604 9505 4607 -rect 9416 4576 9505 4604 -rect 9493 4573 9505 4576 +rect 9493 4573 9505 4607 rect 9539 4573 9551 4607 rect 9493 4567 9551 4573 +rect 4985 4539 5043 4545 +rect 4985 4536 4997 4539 +rect 3712 4508 4997 4536 +rect 4985 4505 4997 4508 +rect 5031 4505 5043 4539 +rect 4985 4499 5043 4505 +rect 5169 4539 5227 4545 +rect 5169 4505 5181 4539 +rect 5215 4536 5227 4539 +rect 5442 4536 5448 4548 +rect 5215 4508 5448 4536 +rect 5215 4505 5227 4508 +rect 5169 4499 5227 4505 +rect 5442 4496 5448 4508 +rect 5500 4496 5506 4548 rect 5721 4539 5779 4545 -rect 5721 4505 5733 4539 +rect 5721 4536 5733 4539 +rect 5644 4508 5733 4536 +rect 4157 4471 4215 4477 +rect 4157 4437 4169 4471 +rect 4203 4468 4215 4471 +rect 4246 4468 4252 4480 +rect 4203 4440 4252 4468 +rect 4203 4437 4215 4440 +rect 4157 4431 4215 4437 +rect 4246 4428 4252 4440 +rect 4304 4428 4310 4480 +rect 4338 4428 4344 4480 +rect 4396 4468 4402 4480 +rect 5644 4468 5672 4508 +rect 5721 4505 5733 4508 rect 5767 4505 5779 4539 rect 5721 4499 5779 4505 -rect 5736 4468 5764 4499 +rect 5905 4539 5963 4545 +rect 5905 4505 5917 4539 +rect 5951 4505 5963 4539 +rect 5905 4499 5963 4505 +rect 4396 4440 5672 4468 +rect 5920 4468 5948 4499 rect 5994 4496 6000 4548 rect 6052 4536 6058 4548 rect 6089 4539 6147 4545 @@ -3490,18 +3440,18 @@ rect 6052 4508 6101 4536 rect 6052 4496 6058 4508 rect 6089 4505 6101 4508 rect 6135 4505 6147 4539 -rect 7958 4508 9076 4536 +rect 7958 4508 9352 4536 rect 6089 4499 6147 4505 -rect 9048 4477 9076 4508 -rect 5092 4440 5764 4468 -rect 9033 4471 9091 4477 -rect 9033 4437 9045 4471 -rect 9079 4437 9091 4471 -rect 9306 4468 9312 4480 -rect 9267 4440 9312 4468 -rect 9033 4431 9091 4437 -rect 9306 4428 9312 4440 -rect 9364 4428 9370 4480 +rect 6270 4468 6276 4480 +rect 5920 4440 6276 4468 +rect 4396 4428 4402 4440 +rect 6270 4428 6276 4440 +rect 6328 4428 6334 4480 +rect 9324 4477 9352 4508 +rect 9309 4471 9367 4477 +rect 9309 4437 9321 4471 +rect 9355 4437 9367 4471 +rect 9309 4431 9367 4437 rect 3036 4378 9844 4400 rect 3036 4326 5066 4378 rect 5118 4326 5130 4378 @@ -3512,104 +3462,84 @@ rect 5374 4326 9844 4378 rect 3036 4304 9844 4326 rect 3510 4224 3516 4276 rect 3568 4264 3574 4276 -rect 3789 4267 3847 4273 -rect 3789 4264 3801 4267 -rect 3568 4236 3801 4264 +rect 3694 4264 3700 4276 +rect 3568 4236 3700 4264 rect 3568 4224 3574 4236 -rect 3789 4233 3801 4236 -rect 3835 4233 3847 4267 -rect 3789 4227 3847 4233 -rect 4246 4224 4252 4276 -rect 4304 4224 4310 4276 -rect 5442 4224 5448 4276 -rect 5500 4264 5506 4276 -rect 7469 4267 7527 4273 -rect 5500 4236 6960 4264 -rect 5500 4224 5506 4236 -rect 3142 4156 3148 4208 -rect 3200 4196 3206 4208 -rect 3605 4199 3663 4205 -rect 3605 4196 3617 4199 -rect 3200 4168 3617 4196 -rect 3200 4156 3206 4168 -rect 3605 4165 3617 4168 -rect 3651 4196 3663 4199 -rect 3878 4196 3884 4208 -rect 3651 4168 3884 4196 -rect 3651 4165 3663 4168 -rect 3605 4159 3663 4165 -rect 3878 4156 3884 4168 -rect 3936 4156 3942 4208 -rect 4264 4196 4292 4224 -rect 6932 4205 6960 4236 -rect 7469 4233 7481 4267 -rect 7515 4233 7527 4267 -rect 7469 4227 7527 4233 +rect 3694 4224 3700 4236 +rect 3752 4224 3758 4276 +rect 7466 4224 7472 4276 +rect 7524 4264 7530 4276 +rect 9125 4267 9183 4273 +rect 9125 4264 9137 4267 +rect 7524 4236 9137 4264 +rect 7524 4224 7530 4236 +rect 9125 4233 9137 4236 +rect 9171 4233 9183 4267 +rect 9125 4227 9183 4233 +rect 4154 4196 4160 4208 +rect 3896 4168 4160 4196 +rect 3605 4131 3663 4137 +rect 3605 4097 3617 4131 +rect 3651 4128 3663 4131 +rect 3896 4128 3924 4168 +rect 4154 4156 4160 4168 +rect 4212 4156 4218 4208 +rect 4246 4156 4252 4208 +rect 4304 4156 4310 4208 +rect 5350 4156 5356 4208 +rect 5408 4156 5414 4208 +rect 6546 4156 6552 4208 +rect 6604 4196 6610 4208 rect 6917 4199 6975 4205 -rect 3988 4168 4292 4196 -rect 5750 4168 6868 4196 -rect 2682 4088 2688 4140 -rect 2740 4128 2746 4140 -rect 3786 4128 3792 4140 -rect 2740 4100 3792 4128 -rect 2740 4088 2746 4100 -rect 3786 4088 3792 4100 -rect 3844 4088 3850 4140 -rect 3988 4137 4016 4168 +rect 6917 4196 6929 4199 +rect 6604 4168 6929 4196 +rect 6604 4156 6610 4168 +rect 6917 4165 6929 4168 +rect 6963 4165 6975 4199 +rect 6917 4159 6975 4165 +rect 3651 4100 3924 4128 rect 3973 4131 4031 4137 +rect 3651 4097 3663 4100 +rect 3605 4091 3663 4097 rect 3973 4097 3985 4131 -rect 4019 4097 4031 4131 -rect 3973 4091 4031 4097 -rect 4062 4088 4068 4140 -rect 4120 4128 4126 4140 -rect 4249 4131 4307 4137 -rect 4249 4128 4261 4131 -rect 4120 4100 4261 4128 -rect 4120 4088 4126 4100 -rect 4249 4097 4261 4100 -rect 4295 4097 4307 4131 +rect 4019 4128 4031 4131 +rect 4264 4128 4292 4156 rect 6086 4128 6092 4140 +rect 4019 4100 4292 4128 rect 6047 4100 6092 4128 -rect 4249 4091 4307 4097 +rect 4019 4097 4031 4100 +rect 3973 4091 4031 4097 rect 6086 4088 6092 4100 rect 6144 4088 6150 4140 -rect 6362 4088 6368 4140 -rect 6420 4128 6426 4140 -rect 6653 4131 6711 4137 -rect 6653 4128 6665 4131 -rect 6420 4100 6665 4128 -rect 6420 4088 6426 4100 -rect 6653 4097 6665 4100 -rect 6699 4097 6711 4131 -rect 6840 4128 6868 4168 -rect 6917 4165 6929 4199 -rect 6963 4165 6975 4199 -rect 7484 4196 7512 4227 -rect 6917 4159 6975 4165 -rect 7024 4168 7512 4196 -rect 7944 4168 9352 4196 -rect 7024 4128 7052 4168 -rect 6840 4100 7052 4128 rect 7193 4131 7251 4137 -rect 6653 4091 6711 4097 rect 7193 4097 7205 4131 rect 7239 4128 7251 4131 +rect 8113 4131 8171 4137 rect 7239 4100 7420 4128 rect 7239 4097 7251 4100 rect 7193 4091 7251 4097 -rect 3421 4063 3479 4069 -rect 3421 4029 3433 4063 -rect 3467 4060 3479 4063 -rect 3602 4060 3608 4072 -rect 3467 4032 3608 4060 -rect 3467 4029 3479 4032 -rect 3421 4023 3479 4029 -rect 3602 4020 3608 4032 -rect 3660 4020 3666 4072 -rect 4614 4060 4620 4072 -rect 4575 4032 4620 4060 -rect 4614 4020 4620 4032 -rect 4672 4020 4678 4072 +rect 3513 4063 3571 4069 +rect 3513 4029 3525 4063 +rect 3559 4060 3571 4063 +rect 4249 4063 4307 4069 +rect 4249 4060 4261 4063 +rect 3559 4032 4261 4060 +rect 3559 4029 3571 4032 +rect 3513 4023 3571 4029 +rect 4249 4029 4261 4032 +rect 4295 4029 4307 4063 +rect 4249 4023 4307 4029 +rect 4338 4020 4344 4072 +rect 4396 4020 4402 4072 +rect 4617 4063 4675 4069 +rect 4617 4029 4629 4063 +rect 4663 4060 4675 4063 +rect 4798 4060 4804 4072 +rect 4663 4032 4804 4060 +rect 4663 4029 4675 4032 +rect 4617 4023 4675 4029 +rect 4798 4020 4804 4032 +rect 4856 4020 4862 4072 rect 6454 4020 6460 4072 rect 6512 4060 6518 4072 rect 7285 4063 7343 4069 @@ -3619,10 +3549,6 @@ rect 6512 4020 6518 4032 rect 7285 4029 7297 4032 rect 7331 4029 7343 4063 rect 7285 4023 7343 4029 -rect 3510 3952 3516 4004 -rect 3568 3992 3574 4004 -rect 3568 3964 4384 3992 -rect 3568 3952 3574 3964 rect 4062 3884 4068 3936 rect 4120 3924 4126 3936 rect 4157 3927 4215 3933 @@ -3631,7 +3557,7 @@ rect 4120 3896 4169 3924 rect 4120 3884 4126 3896 rect 4157 3893 4169 3896 rect 4203 3893 4215 3927 -rect 4356 3924 4384 3964 +rect 4356 3924 4384 4020 rect 5534 3952 5540 4004 rect 5592 3992 5598 4004 rect 7101 3995 7159 4001 @@ -3641,93 +3567,63 @@ rect 5592 3952 5598 3964 rect 7101 3961 7113 3964 rect 7147 3992 7159 3995 rect 7392 3992 7420 4100 -rect 7466 4088 7472 4140 -rect 7524 4128 7530 4140 -rect 7653 4131 7711 4137 -rect 7653 4128 7665 4131 -rect 7524 4100 7665 4128 -rect 7524 4088 7530 4100 -rect 7653 4097 7665 4100 -rect 7699 4097 7711 4131 -rect 7653 4091 7711 4097 -rect 7742 4088 7748 4140 -rect 7800 4128 7806 4140 -rect 7944 4128 7972 4168 -rect 8110 4128 8116 4140 -rect 7800 4100 7972 4128 -rect 8071 4100 8116 4128 -rect 7800 4088 7806 4100 -rect 8110 4088 8116 4100 -rect 8168 4088 8174 4140 -rect 8294 4128 8300 4140 -rect 8255 4100 8300 4128 -rect 8294 4088 8300 4100 -rect 8352 4088 8358 4140 -rect 8478 4088 8484 4140 -rect 8536 4128 8542 4140 -rect 9125 4131 9183 4137 -rect 9125 4128 9137 4131 -rect 8536 4100 9137 4128 -rect 8536 4088 8542 4100 -rect 9125 4097 9137 4100 -rect 9171 4097 9183 4131 -rect 9125 4091 9183 4097 -rect 9217 4131 9275 4137 -rect 9217 4097 9229 4131 -rect 9263 4097 9275 4131 -rect 9324 4128 9352 4168 +rect 8113 4097 8125 4131 +rect 8159 4128 8171 4131 +rect 8297 4131 8355 4137 +rect 8297 4128 8309 4131 +rect 8159 4100 8309 4128 +rect 8159 4097 8171 4100 +rect 8113 4091 8171 4097 +rect 8297 4097 8309 4100 +rect 8343 4097 8355 4131 +rect 8297 4091 8355 4097 +rect 9214 4088 9220 4140 +rect 9272 4128 9278 4140 rect 9493 4131 9551 4137 -rect 9493 4128 9505 4131 -rect 9324 4100 9505 4128 -rect 9217 4091 9275 4097 -rect 9493 4097 9505 4100 +rect 9272 4100 9317 4128 +rect 9272 4088 9278 4100 +rect 9493 4097 9505 4131 rect 9539 4097 9551 4131 -rect 13722 4128 13728 4140 rect 9493 4091 9551 4097 -rect 12406 4100 13728 4128 -rect 8754 4060 8760 4072 +rect 8386 4020 8392 4072 +rect 8444 4060 8450 4072 +rect 8849 4063 8907 4069 +rect 8849 4060 8861 4063 +rect 8444 4032 8861 4060 +rect 8444 4020 8450 4032 +rect 8849 4029 8861 4032 +rect 8895 4029 8907 4063 +rect 8849 4023 8907 4029 rect 7147 3964 7420 3992 -rect 7760 4032 8760 4060 rect 7147 3961 7159 3964 rect 7101 3955 7159 3961 -rect 7760 3933 7788 4032 -rect 8754 4020 8760 4032 -rect 8812 4060 8818 4072 -rect 9232 4060 9260 4091 -rect 12406 4060 12434 4100 -rect 13722 4088 13728 4100 -rect 13780 4088 13786 4140 -rect 8812 4032 12434 4060 -rect 8812 4020 8818 4032 -rect 8386 3952 8392 4004 -rect 8444 3992 8450 4004 -rect 9309 3995 9367 4001 -rect 9309 3992 9321 3995 -rect 8444 3964 9321 3992 -rect 8444 3952 8450 3964 -rect 9309 3961 9321 3964 -rect 9355 3961 9367 3995 -rect 9309 3955 9367 3961 -rect 7745 3927 7803 3933 -rect 7745 3924 7757 3927 -rect 4356 3896 7757 3924 +rect 8202 3952 8208 4004 +rect 8260 3992 8266 4004 +rect 9508 3992 9536 4091 +rect 8260 3964 9536 3992 +rect 8260 3952 8266 3964 +rect 5994 3924 6000 3936 +rect 4356 3896 6000 3924 rect 4157 3887 4215 3893 -rect 7745 3893 7757 3896 -rect 7791 3893 7803 3927 -rect 7745 3887 7803 3893 -rect 7929 3927 7987 3933 -rect 7929 3893 7941 3927 -rect 7975 3924 7987 3927 -rect 8018 3924 8024 3936 -rect 7975 3896 8024 3924 -rect 7975 3893 7987 3896 -rect 7929 3887 7987 3893 -rect 8018 3884 8024 3896 -rect 8076 3884 8082 3936 -rect 8938 3924 8944 3936 -rect 8899 3896 8944 3924 -rect 8938 3884 8944 3896 -rect 8996 3884 9002 3936 +rect 5994 3884 6000 3896 +rect 6052 3884 6058 3936 +rect 6653 3927 6711 3933 +rect 6653 3893 6665 3927 +rect 6699 3924 6711 3927 +rect 6822 3924 6828 3936 +rect 6699 3896 6828 3924 +rect 6699 3893 6711 3896 +rect 6653 3887 6711 3893 +rect 6822 3884 6828 3896 +rect 6880 3884 6886 3936 +rect 7466 3924 7472 3936 +rect 7427 3896 7472 3924 +rect 7466 3884 7472 3896 +rect 7524 3884 7530 3936 +rect 9306 3924 9312 3936 +rect 9267 3896 9312 3924 +rect 9306 3884 9312 3896 +rect 9364 3884 9370 3936 rect 3036 3834 9844 3856 rect 3036 3782 7566 3834 rect 7618 3782 7630 3834 @@ -3736,65 +3632,95 @@ rect 7746 3782 7758 3834 rect 7810 3782 7822 3834 rect 7874 3782 9844 3834 rect 3036 3760 9844 3782 +rect 3329 3723 3387 3729 +rect 3329 3689 3341 3723 +rect 3375 3720 3387 3723 rect 3602 3720 3608 3732 -rect 3563 3692 3608 3720 +rect 3375 3692 3608 3720 +rect 3375 3689 3387 3692 +rect 3329 3683 3387 3689 rect 3602 3680 3608 3692 rect 3660 3680 3666 3732 +rect 4062 3680 4068 3732 +rect 4120 3720 4126 3732 rect 4338 3720 4344 3732 -rect 4172 3692 4344 3720 -rect 3421 3655 3479 3661 -rect 3421 3621 3433 3655 -rect 3467 3652 3479 3655 -rect 4172 3652 4200 3692 +rect 4120 3692 4344 3720 +rect 4120 3680 4126 3692 rect 4338 3680 4344 3692 rect 4396 3680 4402 3732 -rect 4614 3680 4620 3732 -rect 4672 3720 4678 3732 -rect 6365 3723 6423 3729 -rect 6365 3720 6377 3723 -rect 4672 3692 6377 3720 -rect 4672 3680 4678 3692 -rect 6365 3689 6377 3692 -rect 6411 3689 6423 3723 -rect 6365 3683 6423 3689 +rect 4433 3723 4491 3729 +rect 4433 3689 4445 3723 +rect 4479 3720 4491 3723 +rect 6086 3720 6092 3732 +rect 4479 3692 6092 3720 +rect 4479 3689 4491 3692 +rect 4433 3683 4491 3689 +rect 6086 3680 6092 3692 +rect 6144 3680 6150 3732 +rect 6270 3680 6276 3732 +rect 6328 3720 6334 3732 +rect 6457 3723 6515 3729 +rect 6457 3720 6469 3723 +rect 6328 3692 6469 3720 +rect 6328 3680 6334 3692 +rect 6457 3689 6469 3692 +rect 6503 3689 6515 3723 +rect 6457 3683 6515 3689 rect 9137 3723 9195 3729 rect 9137 3689 9149 3723 rect 9183 3720 9195 3723 -rect 9490 3720 9496 3732 -rect 9183 3692 9496 3720 +rect 9858 3720 9864 3732 +rect 9183 3692 9864 3720 rect 9183 3689 9195 3692 rect 9137 3683 9195 3689 -rect 9490 3680 9496 3692 -rect 9548 3680 9554 3732 -rect 3467 3624 4200 3652 -rect 3467 3621 3479 3624 -rect 3421 3615 3479 3621 -rect 4246 3612 4252 3664 -rect 4304 3612 4310 3664 -rect 4433 3655 4491 3661 -rect 4433 3621 4445 3655 -rect 4479 3621 4491 3655 -rect 4798 3652 4804 3664 -rect 4759 3624 4804 3652 -rect 4433 3615 4491 3621 -rect 4264 3584 4292 3612 -rect 3988 3556 4292 3584 -rect 4448 3584 4476 3615 -rect 4798 3612 4804 3624 -rect 4856 3652 4862 3664 -rect 4982 3652 4988 3664 -rect 4856 3624 4988 3652 -rect 4856 3612 4862 3624 -rect 4982 3612 4988 3624 -rect 5040 3612 5046 3664 -rect 6086 3652 6092 3664 -rect 5276 3624 6092 3652 -rect 5276 3584 5304 3624 -rect 6086 3612 6092 3624 -rect 6144 3612 6150 3664 -rect 4448 3556 5304 3584 +rect 9858 3680 9864 3692 +rect 9916 3680 9922 3732 +rect 3418 3612 3424 3664 +rect 3476 3652 3482 3664 +rect 4801 3655 4859 3661 +rect 4801 3652 4813 3655 +rect 3476 3624 4813 3652 +rect 3476 3612 3482 3624 +rect 4801 3621 4813 3624 +rect 4847 3621 4859 3655 +rect 5721 3655 5779 3661 +rect 5721 3652 5733 3655 +rect 4801 3615 4859 3621 +rect 5000 3624 5733 3652 +rect 4154 3584 4160 3596 +rect 3896 3556 4160 3584 +rect 3896 3525 3924 3556 +rect 4154 3544 4160 3556 +rect 4212 3584 4218 3596 +rect 4890 3584 4896 3596 +rect 4212 3556 4896 3584 +rect 4212 3544 4218 3556 +rect 4890 3544 4896 3556 +rect 4948 3544 4954 3596 +rect 3881 3519 3939 3525 +rect 3881 3485 3893 3519 +rect 3927 3485 3939 3519 +rect 3881 3479 3939 3485 +rect 3973 3519 4031 3525 +rect 3973 3485 3985 3519 +rect 4019 3485 4031 3519 +rect 4246 3516 4252 3528 +rect 4207 3488 4252 3516 +rect 3973 3479 4031 3485 +rect 3988 3448 4016 3479 +rect 4246 3476 4252 3488 +rect 4304 3476 4310 3528 +rect 4522 3516 4528 3528 +rect 4483 3488 4528 3516 +rect 4522 3476 4528 3488 +rect 4580 3476 4586 3528 +rect 4798 3476 4804 3528 +rect 4856 3516 4862 3528 +rect 5000 3516 5028 3624 +rect 5721 3621 5733 3624 +rect 5767 3621 5779 3655 +rect 5721 3615 5779 3621 rect 5445 3587 5503 3593 -rect 3988 3525 4016 3556 rect 5445 3553 5457 3587 rect 5491 3584 5503 3587 rect 6733 3587 6791 3593 @@ -3805,55 +3731,34 @@ rect 5445 3547 5503 3553 rect 6733 3553 6745 3556 rect 6779 3553 6791 3587 rect 6733 3547 6791 3553 -rect 3881 3519 3939 3525 -rect 3881 3485 3893 3519 -rect 3927 3485 3939 3519 -rect 3881 3479 3939 3485 -rect 3973 3519 4031 3525 -rect 3973 3485 3985 3519 -rect 4019 3485 4031 3519 -rect 3973 3479 4031 3485 -rect 3896 3448 3924 3479 -rect 4154 3476 4160 3528 -rect 4212 3476 4218 3528 -rect 4246 3476 4252 3528 -rect 4304 3516 4310 3528 -rect 4522 3516 4528 3528 -rect 4304 3488 4349 3516 -rect 4483 3488 4528 3516 -rect 4304 3476 4310 3488 -rect 4522 3476 4528 3488 -rect 4580 3476 4586 3528 -rect 4890 3476 4896 3528 -rect 4948 3516 4954 3528 -rect 5169 3519 5227 3525 -rect 5169 3516 5181 3519 -rect 4948 3488 5181 3516 -rect 4948 3476 4954 3488 -rect 5169 3485 5181 3488 -rect 5215 3485 5227 3519 +rect 7101 3587 7159 3593 +rect 7101 3553 7113 3587 +rect 7147 3584 7159 3587 +rect 7466 3584 7472 3596 +rect 7147 3556 7472 3584 +rect 7147 3553 7159 3556 +rect 7101 3547 7159 3553 +rect 7466 3544 7472 3556 +rect 7524 3544 7530 3596 rect 5534 3516 5540 3528 +rect 4856 3488 5028 3516 rect 5495 3488 5540 3516 -rect 5169 3479 5227 3485 +rect 4856 3476 4862 3488 rect 5534 3476 5540 3488 rect 5592 3476 5598 3528 rect 5626 3476 5632 3528 rect 5684 3516 5690 3528 -rect 5721 3519 5779 3525 -rect 5721 3516 5733 3519 -rect 5684 3488 5733 3516 +rect 6365 3519 6423 3525 +rect 6365 3516 6377 3519 +rect 5684 3488 6377 3516 rect 5684 3476 5690 3488 -rect 5721 3485 5733 3488 -rect 5767 3485 5779 3519 +rect 6365 3485 6377 3488 +rect 6411 3485 6423 3519 rect 6638 3516 6644 3528 rect 6599 3488 6644 3516 -rect 5721 3479 5779 3485 +rect 6365 3479 6423 3485 rect 6638 3476 6644 3488 rect 6696 3476 6702 3528 -rect 7098 3516 7104 3528 -rect 7059 3488 7104 3516 -rect 7098 3476 7104 3488 -rect 7156 3476 7162 3528 rect 8573 3519 8631 3525 rect 8573 3485 8585 3519 rect 8619 3516 8631 3519 @@ -3872,22 +3777,23 @@ rect 9456 3476 9462 3488 rect 9493 3485 9505 3488 rect 9539 3485 9551 3519 rect 9493 3479 9551 3485 -rect 4172 3448 4200 3476 -rect 4798 3448 4804 3460 -rect 3896 3420 4804 3448 -rect 4798 3408 4804 3420 -rect 4856 3408 4862 3460 +rect 4614 3448 4620 3460 +rect 3988 3420 4620 3448 +rect 4614 3408 4620 3420 +rect 4672 3408 4678 3460 rect 4985 3451 5043 3457 rect 4985 3417 4997 3451 rect 5031 3448 5043 3451 -rect 6178 3448 6184 3460 -rect 5031 3420 6184 3448 +rect 5810 3448 5816 3460 +rect 5031 3420 5816 3448 rect 5031 3417 5043 3420 rect 4985 3411 5043 3417 -rect 6178 3408 6184 3420 -rect 6236 3408 6242 3460 -rect 8018 3408 8024 3460 -rect 8076 3408 8082 3460 +rect 5810 3408 5816 3420 +rect 5868 3408 5874 3460 +rect 8294 3448 8300 3460 +rect 8234 3420 8300 3448 +rect 8294 3408 8300 3420 +rect 8352 3408 8358 3460 rect 3602 3340 3608 3392 rect 3660 3380 3666 3392 rect 3789 3383 3847 3389 @@ -3896,31 +3802,31 @@ rect 3660 3352 3801 3380 rect 3660 3340 3666 3352 rect 3789 3349 3801 3352 rect 3835 3349 3847 3383 -rect 4154 3380 4160 3392 -rect 4115 3352 4160 3380 rect 3789 3343 3847 3349 -rect 4154 3340 4160 3352 -rect 4212 3340 4218 3392 -rect 4709 3383 4767 3389 -rect 4709 3349 4721 3383 -rect 4755 3380 4767 3383 -rect 4890 3380 4896 3392 -rect 4755 3352 4896 3380 -rect 4755 3349 4767 3352 -rect 4709 3343 4767 3349 -rect 4890 3340 4896 3352 -rect 4948 3340 4954 3392 -rect 5902 3340 5908 3392 -rect 5960 3380 5966 3392 -rect 6457 3383 6515 3389 -rect 6457 3380 6469 3383 -rect 5960 3352 6469 3380 -rect 5960 3340 5966 3352 -rect 6457 3349 6469 3352 -rect 6503 3349 6515 3383 +rect 4157 3383 4215 3389 +rect 4157 3349 4169 3383 +rect 4203 3380 4215 3383 +rect 4246 3380 4252 3392 +rect 4203 3352 4252 3380 +rect 4203 3349 4215 3352 +rect 4157 3343 4215 3349 +rect 4246 3340 4252 3352 +rect 4304 3340 4310 3392 +rect 4706 3380 4712 3392 +rect 4667 3352 4712 3380 +rect 4706 3340 4712 3352 +rect 4764 3340 4770 3392 +rect 5261 3383 5319 3389 +rect 5261 3349 5273 3383 +rect 5307 3380 5319 3383 +rect 6914 3380 6920 3392 +rect 5307 3352 6920 3380 +rect 5307 3349 5319 3352 +rect 5261 3343 5319 3349 +rect 6914 3340 6920 3352 +rect 6972 3340 6978 3392 rect 9306 3380 9312 3392 rect 9267 3352 9312 3380 -rect 6457 3343 6515 3349 rect 9306 3340 9312 3352 rect 9364 3340 9370 3392 rect 3036 3290 9844 3312 @@ -3931,58 +3837,50 @@ rect 5246 3238 5258 3290 rect 5310 3238 5322 3290 rect 5374 3238 9844 3290 rect 3036 3216 9844 3238 -rect 3510 3176 3516 3188 -rect 3471 3148 3516 3176 -rect 3510 3136 3516 3148 -rect 3568 3136 3574 3188 -rect 4062 3136 4068 3188 -rect 4120 3176 4126 3188 -rect 4120 3148 4292 3176 -rect 4120 3136 4126 3148 -rect 4264 3108 4292 3148 -rect 4430 3136 4436 3188 -rect 4488 3176 4494 3188 -rect 5994 3176 6000 3188 -rect 4488 3148 6000 3176 -rect 4488 3136 4494 3148 -rect 5994 3136 6000 3148 -rect 6052 3136 6058 3188 -rect 6270 3136 6276 3188 -rect 6328 3176 6334 3188 -rect 8021 3179 8079 3185 -rect 6328 3148 6684 3176 -rect 6328 3136 6334 3148 -rect 4264 3080 4370 3108 -rect 5810 3068 5816 3120 -rect 5868 3108 5874 3120 -rect 6288 3108 6316 3136 -rect 6546 3108 6552 3120 -rect 5868 3080 6316 3108 -rect 6507 3080 6552 3108 -rect 5868 3068 5874 3080 -rect 6546 3068 6552 3080 -rect 6604 3068 6610 3120 -rect 6656 3108 6684 3148 -rect 8021 3145 8033 3179 -rect 8067 3176 8079 3179 +rect 3513 3179 3571 3185 +rect 3513 3145 3525 3179 +rect 3559 3176 3571 3179 +rect 4430 3176 4436 3188 +rect 3559 3148 4436 3176 +rect 3559 3145 3571 3148 +rect 3513 3139 3571 3145 +rect 4430 3136 4436 3148 +rect 4488 3136 4494 3188 +rect 4706 3136 4712 3188 +rect 4764 3176 4770 3188 +rect 4764 3148 5212 3176 +rect 4764 3136 4770 3148 +rect 4338 3068 4344 3120 +rect 4396 3068 4402 3120 +rect 3602 3040 3608 3052 +rect 3563 3012 3608 3040 +rect 3602 3000 3608 3012 +rect 3660 3000 3666 3052 +rect 5184 3040 5212 3148 +rect 5534 3136 5540 3188 +rect 5592 3176 5598 3188 +rect 5718 3176 5724 3188 +rect 5592 3148 5724 3176 +rect 5592 3136 5598 3148 +rect 5718 3136 5724 3148 +rect 5776 3136 5782 3188 rect 8294 3176 8300 3188 -rect 8067 3148 8300 3176 -rect 8067 3145 8079 3148 -rect 8021 3139 8079 3145 +rect 8255 3148 8300 3176 rect 8294 3136 8300 3148 rect 8352 3136 8358 3188 rect 9306 3136 9312 3188 rect 9364 3136 9370 3188 -rect 6822 3108 6828 3120 -rect 6656 3080 6828 3108 -rect 6822 3068 6828 3080 -rect 6880 3108 6886 3120 -rect 8754 3108 8760 3120 -rect 6880 3080 7038 3108 -rect 8715 3080 8760 3108 -rect 6880 3068 6886 3080 -rect 8754 3068 8760 3080 -rect 8812 3068 8818 3120 +rect 5902 3068 5908 3120 +rect 5960 3108 5966 3120 +rect 6549 3111 6607 3117 +rect 6549 3108 6561 3111 +rect 5960 3080 6561 3108 +rect 5960 3068 5966 3080 +rect 6549 3077 6561 3080 +rect 6595 3077 6607 3111 +rect 6549 3071 6607 3077 +rect 7282 3068 7288 3120 +rect 7340 3068 7346 3120 rect 8849 3111 8907 3117 rect 8849 3077 8861 3111 rect 8895 3108 8907 3111 @@ -3990,66 +3888,63 @@ rect 9324 3108 9352 3136 rect 8895 3080 9352 3108 rect 8895 3077 8907 3080 rect 8849 3071 8907 3077 -rect 3602 3040 3608 3052 -rect 3563 3012 3608 3040 -rect 3602 3000 3608 3012 -rect 3660 3000 3666 3052 -rect 4890 3000 4896 3052 -rect 4948 3040 4954 3052 rect 5445 3043 5503 3049 rect 5445 3040 5457 3043 -rect 4948 3012 5457 3040 -rect 4948 3000 4954 3012 +rect 5184 3012 5457 3040 rect 5445 3009 5457 3012 rect 5491 3009 5503 3043 +rect 8478 3040 8484 3052 +rect 8439 3012 8484 3040 rect 5445 3003 5503 3009 +rect 8478 3000 8484 3012 +rect 8536 3000 8542 3052 rect 3973 2975 4031 2981 rect 3973 2972 3985 2975 -rect 3712 2944 3985 2972 -rect 3712 2836 3740 2944 +rect 3620 2944 3985 2972 +rect 3620 2916 3648 2944 rect 3973 2941 3985 2944 rect 4019 2941 4031 2975 rect 3973 2935 4031 2941 +rect 6178 2932 6184 2984 +rect 6236 2972 6242 2984 rect 6273 2975 6331 2981 -rect 6273 2941 6285 2975 +rect 6273 2972 6285 2975 +rect 6236 2944 6285 2972 +rect 6236 2932 6242 2944 +rect 6273 2941 6285 2944 rect 6319 2941 6331 2975 rect 6273 2935 6331 2941 -rect 4982 2864 4988 2916 -rect 5040 2904 5046 2916 -rect 6288 2904 6316 2935 -rect 7006 2932 7012 2984 -rect 7064 2972 7070 2984 -rect 8297 2975 8355 2981 -rect 8297 2972 8309 2975 -rect 7064 2944 8309 2972 -rect 7064 2932 7070 2944 -rect 8297 2941 8309 2944 -rect 8343 2972 8355 2975 -rect 8481 2975 8539 2981 -rect 8481 2972 8493 2975 -rect 8343 2944 8493 2972 -rect 8343 2941 8355 2944 -rect 8297 2935 8355 2941 -rect 8481 2941 8493 2944 -rect 8527 2941 8539 2975 +rect 8021 2975 8079 2981 +rect 8021 2941 8033 2975 +rect 8067 2972 8079 2975 +rect 8386 2972 8392 2984 +rect 8067 2944 8392 2972 +rect 8067 2941 8079 2944 +rect 8021 2935 8079 2941 +rect 8386 2932 8392 2944 +rect 8444 2932 8450 2984 +rect 8754 2972 8760 2984 +rect 8667 2944 8760 2972 +rect 8754 2932 8760 2944 +rect 8812 2972 8818 2984 +rect 9214 2972 9220 2984 +rect 8812 2944 9220 2972 +rect 8812 2932 8818 2944 +rect 9214 2932 9220 2944 +rect 9272 2932 9278 2984 rect 9398 2972 9404 2984 rect 9359 2944 9404 2972 -rect 8481 2935 8539 2941 rect 9398 2932 9404 2944 rect 9456 2932 9462 2984 -rect 5040 2876 6316 2904 -rect 5040 2864 5046 2876 -rect 13814 2864 13820 2916 -rect 13872 2904 13878 2916 -rect 16666 2904 16672 2916 -rect 13872 2876 16672 2904 -rect 13872 2864 13878 2876 -rect 16666 2864 16672 2876 -rect 16724 2864 16730 2916 -rect 5902 2836 5908 2848 -rect 3712 2808 5908 2836 -rect 5902 2796 5908 2808 -rect 5960 2796 5966 2848 +rect 3602 2864 3608 2916 +rect 3660 2864 3666 2916 +rect 4430 2796 4436 2848 +rect 4488 2836 4494 2848 +rect 4706 2836 4712 2848 +rect 4488 2808 4712 2836 +rect 4488 2796 4494 2808 +rect 4706 2796 4712 2808 +rect 4764 2796 4770 2848 rect 6009 2839 6067 2845 rect 6009 2805 6021 2839 rect 6055 2836 6067 2839 @@ -4067,24 +3962,29 @@ rect 7746 2694 7758 2746 rect 7810 2694 7822 2746 rect 7874 2694 9844 2746 rect 3036 2672 9844 2694 -rect 3421 2635 3479 2641 -rect 3421 2601 3433 2635 -rect 3467 2632 3479 2635 +rect 5353 2635 5411 2641 +rect 5353 2601 5365 2635 +rect 5399 2632 5411 2635 rect 5442 2632 5448 2644 -rect 3467 2604 5448 2632 -rect 3467 2601 3479 2604 -rect 3421 2595 3479 2601 +rect 5399 2604 5448 2632 +rect 5399 2601 5411 2604 +rect 5353 2595 5411 2601 rect 5442 2592 5448 2604 rect 5500 2592 5506 2644 -rect 7282 2592 7288 2644 -rect 7340 2632 7346 2644 -rect 8297 2635 8355 2641 -rect 8297 2632 8309 2635 -rect 7340 2604 8309 2632 -rect 7340 2592 7346 2604 -rect 8297 2601 8309 2604 -rect 8343 2601 8355 2635 -rect 8297 2595 8355 2601 +rect 8121 2635 8179 2641 +rect 8121 2601 8133 2635 +rect 8167 2601 8179 2635 +rect 8121 2595 8179 2601 +rect 5077 2567 5135 2573 +rect 5077 2533 5089 2567 +rect 5123 2564 5135 2567 +rect 5626 2564 5632 2576 +rect 5123 2536 5632 2564 +rect 5123 2533 5135 2536 +rect 5077 2527 5135 2533 +rect 5626 2524 5632 2536 +rect 5684 2524 5690 2576 +rect 8128 2564 8156 2595 rect 9030 2592 9036 2644 rect 9088 2632 9094 2644 rect 9125 2635 9183 2641 @@ -4094,108 +3994,87 @@ rect 9088 2592 9094 2604 rect 9125 2601 9137 2604 rect 9171 2601 9183 2635 rect 9125 2595 9183 2601 -rect 4798 2456 4804 2508 -rect 4856 2496 4862 2508 -rect 4856 2468 5396 2496 -rect 4856 2456 4862 2468 -rect 3786 2388 3792 2440 -rect 3844 2388 3850 2440 -rect 5368 2437 5396 2468 -rect 9232 2468 16574 2496 -rect 9232 2440 9260 2468 -rect 16546 2440 16574 2468 -rect 5169 2431 5227 2437 -rect 5169 2397 5181 2431 -rect 5215 2397 5227 2431 -rect 5169 2391 5227 2397 -rect 5353 2431 5411 2437 -rect 5353 2397 5365 2431 -rect 5399 2397 5411 2431 -rect 5353 2391 5411 2397 -rect 5445 2431 5503 2437 -rect 5445 2397 5457 2431 -rect 5491 2428 5503 2431 -rect 5721 2431 5779 2437 -rect 5721 2428 5733 2431 -rect 5491 2400 5733 2428 -rect 5491 2397 5503 2400 -rect 5445 2391 5503 2397 -rect 5721 2397 5733 2400 -rect 5767 2397 5779 2431 +rect 8202 2564 8208 2576 +rect 8128 2536 8208 2564 +rect 8202 2524 8208 2536 +rect 8260 2524 8266 2576 +rect 3326 2496 3332 2508 +rect 3287 2468 3332 2496 +rect 3326 2456 3332 2468 +rect 3384 2456 3390 2508 +rect 5442 2456 5448 2508 +rect 5500 2496 5506 2508 +rect 5721 2499 5779 2505 +rect 5721 2496 5733 2499 +rect 5500 2468 5733 2496 +rect 5500 2456 5506 2468 +rect 5721 2465 5733 2468 +rect 5767 2465 5779 2499 +rect 5721 2459 5779 2465 +rect 8110 2456 8116 2508 +rect 8168 2496 8174 2508 +rect 8297 2499 8355 2505 +rect 8297 2496 8309 2499 +rect 8168 2468 8309 2496 +rect 8168 2456 8174 2468 +rect 8297 2465 8309 2468 +rect 8343 2465 8355 2499 +rect 8297 2459 8355 2465 +rect 5534 2428 5540 2440 +rect 5495 2400 5540 2428 +rect 5534 2388 5540 2400 +rect 5592 2388 5598 2440 rect 6086 2428 6092 2440 rect 6047 2400 6092 2428 -rect 5721 2391 5779 2397 -rect 4798 2320 4804 2372 -rect 4856 2360 4862 2372 -rect 4893 2363 4951 2369 -rect 4893 2360 4905 2363 -rect 4856 2332 4905 2360 -rect 4856 2320 4862 2332 -rect 4893 2329 4905 2332 -rect 4939 2329 4951 2363 -rect 4893 2323 4951 2329 -rect 4982 2320 4988 2372 -rect 5040 2360 5046 2372 -rect 5184 2360 5212 2391 rect 6086 2388 6092 2400 rect 6144 2388 6150 2440 rect 7561 2431 7619 2437 rect 7561 2397 7573 2431 -rect 7607 2428 7619 2431 -rect 8386 2428 8392 2440 -rect 7607 2400 8392 2428 -rect 7607 2397 7619 2400 +rect 7607 2397 7619 2431 rect 7561 2391 7619 2397 -rect 8386 2388 8392 2400 -rect 8444 2388 8450 2440 -rect 8754 2388 8760 2440 -rect 8812 2428 8818 2440 -rect 8941 2431 8999 2437 -rect 8941 2428 8953 2431 -rect 8812 2400 8953 2428 -rect 8812 2388 8818 2400 -rect 8941 2397 8953 2400 -rect 8987 2397 8999 2431 +rect 3602 2360 3608 2372 +rect 3563 2332 3608 2360 +rect 3602 2320 3608 2332 +rect 3660 2320 3666 2372 +rect 3694 2320 3700 2372 +rect 3752 2360 3758 2372 +rect 4062 2360 4068 2372 +rect 3752 2332 4068 2360 +rect 3752 2320 3758 2332 +rect 4062 2320 4068 2332 +rect 4120 2320 4126 2372 +rect 6380 2332 6486 2360 +rect 4246 2252 4252 2304 +rect 4304 2292 4310 2304 +rect 6380 2292 6408 2332 +rect 7466 2320 7472 2372 +rect 7524 2360 7530 2372 +rect 7576 2360 7604 2391 +rect 7926 2388 7932 2440 +rect 7984 2428 7990 2440 +rect 8849 2431 8907 2437 +rect 8849 2428 8861 2431 +rect 7984 2400 8861 2428 +rect 7984 2388 7990 2400 +rect 8849 2397 8861 2400 +rect 8895 2397 8907 2431 rect 9214 2428 9220 2440 -rect 9127 2400 9220 2428 -rect 8941 2391 8999 2397 +rect 9175 2400 9220 2428 +rect 8849 2391 8907 2397 rect 9214 2388 9220 2400 rect 9272 2388 9278 2440 rect 9490 2428 9496 2440 rect 9451 2400 9496 2428 rect 9490 2388 9496 2400 rect 9548 2388 9554 2440 -rect 16546 2400 16580 2440 -rect 16574 2388 16580 2400 -rect 16632 2388 16638 2440 -rect 8125 2363 8183 2369 -rect 5040 2332 5212 2360 -rect 6380 2332 6486 2360 -rect 5040 2320 5046 2332 -rect 4154 2252 4160 2304 -rect 4212 2292 4218 2304 -rect 6380 2292 6408 2332 -rect 8125 2329 8137 2363 -rect 8171 2360 8183 2363 -rect 8171 2332 16574 2360 -rect 8171 2329 8183 2332 -rect 8125 2323 8183 2329 +rect 7524 2332 7604 2360 +rect 7524 2320 7530 2332 rect 9306 2292 9312 2304 -rect 4212 2264 6408 2292 -rect 9219 2264 9312 2292 -rect 4212 2252 4218 2264 +rect 4304 2264 6408 2292 +rect 9267 2264 9312 2292 +rect 4304 2252 4310 2264 rect 9306 2252 9312 2264 -rect 9364 2292 9370 2304 -rect 13814 2292 13820 2304 -rect 9364 2264 13820 2292 -rect 9364 2252 9370 2264 -rect 13814 2252 13820 2264 -rect 13872 2252 13878 2304 -rect 16546 2292 16574 2332 -rect 16666 2292 16672 2304 -rect 16546 2264 16672 2292 -rect 16666 2252 16672 2264 -rect 16724 2252 16730 2304 +rect 9364 2252 9370 2304 rect 3036 2202 9844 2224 rect 3036 2150 5066 2202 rect 5118 2150 5130 2202 @@ -4204,98 +4083,94 @@ rect 5246 2150 5258 2202 rect 5310 2150 5322 2202 rect 5374 2150 9844 2202 rect 3036 2128 9844 2150 -rect 4890 2048 4896 2100 -rect 4948 2088 4954 2100 -rect 4948 2060 5948 2088 -rect 4948 2048 4954 2060 -rect 4908 2020 4936 2048 -rect 5810 2020 5816 2032 -rect 4264 1992 4936 2020 -rect 5750 1992 5816 2020 -rect 4264 1964 4292 1992 -rect 5810 1980 5816 1992 -rect 5868 1980 5874 2032 -rect 4246 1952 4252 1964 -rect 4159 1924 4252 1952 -rect 4246 1912 4252 1924 -rect 4304 1912 4310 1964 -rect 5920 1952 5948 2060 -rect 6822 2048 6828 2100 -rect 6880 2088 6886 2100 -rect 8665 2091 8723 2097 -rect 6880 2060 7788 2088 -rect 6880 2048 6886 2060 -rect 7760 2020 7788 2060 -rect 8665 2057 8677 2091 -rect 8711 2088 8723 2091 -rect 9214 2088 9220 2100 -rect 8711 2060 9220 2088 -rect 8711 2057 8723 2060 -rect 8665 2051 8723 2057 -rect 9214 2048 9220 2060 -rect 9272 2048 9278 2100 -rect 8297 2023 8355 2029 -rect 8297 2020 8309 2023 -rect 7682 1992 8309 2020 -rect 8297 1989 8309 1992 -rect 8343 1989 8355 2023 -rect 8297 1983 8355 1989 -rect 6181 1955 6239 1961 -rect 6181 1952 6193 1955 -rect 5920 1924 6193 1952 -rect 6181 1921 6193 1924 -rect 6227 1921 6239 1955 -rect 6181 1915 6239 1921 -rect 8938 1912 8944 1964 -rect 8996 1952 9002 1964 -rect 9401 1955 9459 1961 -rect 9401 1952 9413 1955 -rect 8996 1924 9413 1952 -rect 8996 1912 9002 1924 -rect 9401 1921 9413 1924 -rect 9447 1921 9459 1955 -rect 9401 1915 9459 1921 -rect 4525 1887 4583 1893 -rect 4525 1853 4537 1887 -rect 4571 1884 4583 1887 -rect 5258 1884 5264 1896 -rect 4571 1856 5264 1884 -rect 4571 1853 4583 1856 -rect 4525 1847 4583 1853 -rect 5258 1844 5264 1856 -rect 5316 1844 5322 1896 -rect 5997 1887 6055 1893 -rect 5997 1853 6009 1887 -rect 6043 1884 6055 1887 +rect 4062 2088 4068 2100 +rect 4023 2060 4068 2088 +rect 4062 2048 4068 2060 +rect 4120 2048 4126 2100 +rect 7926 2088 7932 2100 +rect 4264 2060 5856 2088 +rect 7887 2060 7932 2088 +rect 3326 1912 3332 1964 +rect 3384 1952 3390 1964 +rect 4264 1961 4292 2060 +rect 4525 2023 4583 2029 +rect 4525 1989 4537 2023 +rect 4571 2020 4583 2023 +rect 4614 2020 4620 2032 +rect 4571 1992 4620 2020 +rect 4571 1989 4583 1992 +rect 4525 1983 4583 1989 +rect 4614 1980 4620 1992 +rect 4672 1980 4678 2032 +rect 4249 1955 4307 1961 +rect 4249 1952 4261 1955 +rect 3384 1924 4261 1952 +rect 3384 1912 3390 1924 +rect 4249 1921 4261 1924 +rect 4295 1921 4307 1955 +rect 5828 1952 5856 2060 +rect 7926 2048 7932 2060 +rect 7984 2048 7990 2100 +rect 7190 1980 7196 2032 +rect 7248 1980 7254 2032 +rect 6178 1952 6184 1964 +rect 4249 1915 4307 1921 +rect 4062 1844 4068 1896 +rect 4120 1884 4126 1896 +rect 5644 1884 5672 1938 +rect 5828 1924 6184 1952 +rect 6178 1912 6184 1924 +rect 6236 1912 6242 1964 +rect 8297 1955 8355 1961 +rect 8297 1921 8309 1955 +rect 8343 1952 8355 1955 +rect 8386 1952 8392 1964 +rect 8343 1924 8392 1952 +rect 8343 1921 8355 1924 +rect 8297 1915 8355 1921 +rect 8386 1912 8392 1924 +rect 8444 1912 8450 1964 +rect 5902 1884 5908 1896 +rect 4120 1856 5908 1884 +rect 4120 1844 4126 1856 +rect 5902 1844 5908 1856 +rect 5960 1844 5966 1896 +rect 6086 1844 6092 1896 +rect 6144 1884 6150 1896 rect 6454 1884 6460 1896 -rect 6043 1856 6460 1884 -rect 6043 1853 6055 1856 -rect 5997 1847 6055 1853 +rect 6144 1856 6460 1884 +rect 6144 1844 6150 1856 rect 6454 1844 6460 1856 rect 6512 1844 6518 1896 -rect 7098 1844 7104 1896 -rect 7156 1884 7162 1896 -rect 8757 1887 8815 1893 -rect 8757 1884 8769 1887 -rect 7156 1856 8769 1884 -rect 7156 1844 7162 1856 -rect 8757 1853 8769 1856 -rect 8803 1853 8815 1887 -rect 8757 1847 8815 1853 -rect 6914 1708 6920 1760 -rect 6972 1748 6978 1760 -rect 7929 1751 7987 1757 -rect 7929 1748 7941 1751 -rect 6972 1720 7941 1748 -rect 6972 1708 6978 1720 -rect 7929 1717 7941 1720 -rect 7975 1748 7987 1751 -rect 8754 1748 8760 1760 -rect 7975 1720 8760 1748 -rect 7975 1717 7987 1720 -rect 7929 1711 7987 1717 -rect 8754 1708 8760 1720 -rect 8812 1708 8818 1760 +rect 8849 1887 8907 1893 +rect 8849 1853 8861 1887 +rect 8895 1884 8907 1887 +rect 8941 1887 8999 1893 +rect 8941 1884 8953 1887 +rect 8895 1856 8953 1884 +rect 8895 1853 8907 1856 +rect 8849 1847 8907 1853 +rect 8941 1853 8953 1856 +rect 8987 1853 8999 1887 +rect 8941 1847 8999 1853 +rect 5997 1751 6055 1757 +rect 5997 1717 6009 1751 +rect 6043 1748 6055 1751 +rect 6086 1748 6092 1760 +rect 6043 1720 6092 1748 +rect 6043 1717 6055 1720 +rect 5997 1711 6055 1717 +rect 6086 1708 6092 1720 +rect 6144 1708 6150 1760 +rect 9030 1708 9036 1760 +rect 9088 1748 9094 1760 +rect 9493 1751 9551 1757 +rect 9493 1748 9505 1751 +rect 9088 1720 9505 1748 +rect 9088 1708 9094 1720 +rect 9493 1717 9505 1720 +rect 9539 1717 9551 1751 +rect 9493 1711 9551 1717 rect 3036 1658 9844 1680 rect 3036 1606 7566 1658 rect 7618 1606 7630 1658 @@ -4306,131 +4181,163 @@ rect 7874 1606 9844 1658 rect 3036 1584 9844 1606 rect 4798 1504 4804 1556 rect 4856 1544 4862 1556 -rect 5074 1544 5080 1556 -rect 4856 1516 5080 1544 +rect 5721 1547 5779 1553 +rect 5721 1544 5733 1547 +rect 4856 1516 5733 1544 rect 4856 1504 4862 1516 -rect 5074 1504 5080 1516 -rect 5132 1504 5138 1556 -rect 6086 1544 6092 1556 -rect 6047 1516 6092 1544 -rect 6086 1504 6092 1516 -rect 6144 1504 6150 1556 +rect 5721 1513 5733 1516 +rect 5767 1513 5779 1547 +rect 5902 1544 5908 1556 +rect 5863 1516 5908 1544 +rect 5721 1507 5779 1513 +rect 5902 1504 5908 1516 +rect 5960 1504 5966 1556 +rect 6454 1504 6460 1556 +rect 6512 1544 6518 1556 +rect 6733 1547 6791 1553 +rect 6733 1544 6745 1547 +rect 6512 1516 6745 1544 +rect 6512 1504 6518 1516 +rect 6733 1513 6745 1516 +rect 6779 1513 6791 1547 +rect 6733 1507 6791 1513 +rect 7466 1504 7472 1556 +rect 7524 1544 7530 1556 +rect 7745 1547 7803 1553 +rect 7745 1544 7757 1547 +rect 7524 1516 7757 1544 +rect 7524 1504 7530 1516 +rect 7745 1513 7757 1516 +rect 7791 1513 7803 1547 +rect 7745 1507 7803 1513 +rect 9214 1504 9220 1556 +rect 9272 1544 9278 1556 +rect 9309 1547 9367 1553 +rect 9309 1544 9321 1547 +rect 9272 1516 9321 1544 +rect 9272 1504 9278 1516 +rect 9309 1513 9321 1516 +rect 9355 1513 9367 1547 +rect 9309 1507 9367 1513 +rect 7282 1436 7288 1488 +rect 7340 1476 7346 1488 +rect 7837 1479 7895 1485 +rect 7837 1476 7849 1479 +rect 7340 1448 7849 1476 +rect 7340 1436 7346 1448 +rect 7837 1445 7849 1448 +rect 7883 1476 7895 1479 +rect 8297 1479 8355 1485 +rect 8297 1476 8309 1479 +rect 7883 1448 8309 1476 +rect 7883 1445 7895 1448 +rect 7837 1439 7895 1445 +rect 8297 1445 8309 1448 +rect 8343 1476 8355 1479 +rect 8481 1479 8539 1485 +rect 8481 1476 8493 1479 +rect 8343 1448 8493 1476 +rect 8343 1445 8355 1448 +rect 8297 1439 8355 1445 +rect 8481 1445 8493 1448 +rect 8527 1445 8539 1479 +rect 8481 1439 8539 1445 +rect 3326 1408 3332 1420 +rect 3287 1380 3332 1408 +rect 3326 1368 3332 1380 +rect 3384 1368 3390 1420 rect 3605 1411 3663 1417 rect 3605 1377 3617 1411 rect 3651 1408 3663 1411 -rect 3694 1408 3700 1420 -rect 3651 1380 3700 1408 +rect 3970 1408 3976 1420 +rect 3651 1380 3976 1408 rect 3651 1377 3663 1380 rect 3605 1371 3663 1377 -rect 3694 1368 3700 1380 -rect 3752 1368 3758 1420 -rect 8113 1411 8171 1417 -rect 8113 1377 8125 1411 -rect 8159 1408 8171 1411 -rect 9306 1408 9312 1420 -rect 8159 1380 9312 1408 -rect 8159 1377 8171 1380 -rect 8113 1371 8171 1377 -rect 9306 1368 9312 1380 -rect 9364 1368 9370 1420 -rect 3329 1343 3387 1349 -rect 3329 1309 3341 1343 -rect 3375 1309 3387 1343 +rect 3970 1368 3976 1380 +rect 4028 1368 4034 1420 +rect 9217 1411 9275 1417 +rect 7404 1380 7604 1408 +rect 4890 1300 4896 1352 +rect 4948 1340 4954 1352 rect 5353 1343 5411 1349 rect 5353 1340 5365 1343 -rect 4738 1312 5365 1340 -rect 3329 1303 3387 1309 +rect 4948 1312 5365 1340 +rect 4948 1300 4954 1312 rect 5353 1309 5365 1312 -rect 5399 1340 5411 1343 -rect 5537 1343 5595 1349 -rect 5537 1340 5549 1343 -rect 5399 1312 5549 1340 -rect 5399 1309 5411 1312 +rect 5399 1309 5411 1343 rect 5353 1303 5411 1309 -rect 5537 1309 5549 1312 -rect 5583 1340 5595 1343 -rect 5810 1340 5816 1352 -rect 5583 1312 5816 1340 -rect 5583 1309 5595 1312 -rect 5537 1303 5595 1309 -rect 3344 1272 3372 1303 -rect 5810 1300 5816 1312 -rect 5868 1340 5874 1352 -rect 5905 1343 5963 1349 -rect 5905 1340 5917 1343 -rect 5868 1312 5917 1340 -rect 5868 1300 5874 1312 -rect 5905 1309 5917 1312 -rect 5951 1309 5963 1343 -rect 5905 1303 5963 1309 -rect 6454 1300 6460 1352 -rect 6512 1340 6518 1352 -rect 6733 1343 6791 1349 -rect 6733 1340 6745 1343 -rect 6512 1312 6745 1340 -rect 6512 1300 6518 1312 -rect 6733 1309 6745 1312 -rect 6779 1309 6791 1343 -rect 6733 1303 6791 1309 -rect 6825 1343 6883 1349 -rect 6825 1309 6837 1343 -rect 6871 1309 6883 1343 -rect 6825 1303 6883 1309 -rect 7929 1343 7987 1349 -rect 7929 1309 7941 1343 -rect 7975 1309 7987 1343 -rect 8294 1340 8300 1352 -rect 8255 1312 8300 1340 -rect 7929 1303 7987 1309 -rect 3344 1244 3464 1272 -rect 3436 1204 3464 1244 -rect 5074 1232 5080 1284 -rect 5132 1272 5138 1284 -rect 6840 1272 6868 1303 -rect 5132 1244 6868 1272 -rect 7944 1272 7972 1303 -rect 8294 1300 8300 1312 -rect 8352 1300 8358 1352 +rect 5442 1300 5448 1352 +rect 5500 1340 5506 1352 +rect 6086 1340 6092 1352 +rect 5500 1312 5545 1340 +rect 6047 1312 6092 1340 +rect 5500 1300 5506 1312 +rect 6086 1300 6092 1312 +rect 6144 1300 6150 1352 +rect 6730 1300 6736 1352 +rect 6788 1340 6794 1352 +rect 7404 1340 7432 1380 +rect 7576 1349 7604 1380 +rect 9217 1377 9229 1411 +rect 9263 1408 9275 1411 +rect 9306 1408 9312 1420 +rect 9263 1380 9312 1408 +rect 9263 1377 9275 1380 +rect 9217 1371 9275 1377 +rect 9306 1368 9312 1380 +rect 9364 1408 9370 1420 +rect 16574 1408 16580 1420 +rect 9364 1380 16580 1408 +rect 9364 1368 9370 1380 +rect 16574 1368 16580 1380 +rect 16632 1368 16638 1420 +rect 6788 1312 7432 1340 +rect 7469 1343 7527 1349 +rect 6788 1300 6794 1312 +rect 7469 1309 7481 1343 +rect 7515 1309 7527 1343 +rect 7469 1303 7527 1309 +rect 7561 1343 7619 1349 +rect 7561 1309 7573 1343 +rect 7607 1309 7619 1343 +rect 7561 1303 7619 1309 +rect 4062 1232 4068 1284 +rect 4120 1232 4126 1284 +rect 5092 1244 7144 1272 +rect 5092 1213 5120 1244 +rect 5077 1207 5135 1213 +rect 5077 1173 5089 1207 +rect 5123 1173 5135 1207 +rect 6822 1204 6828 1216 +rect 6783 1176 6828 1204 +rect 5077 1167 5135 1173 +rect 6822 1164 6828 1176 +rect 6880 1164 6886 1216 +rect 7116 1204 7144 1244 +rect 7484 1204 7512 1303 +rect 8662 1300 8668 1352 +rect 8720 1340 8726 1352 rect 8849 1343 8907 1349 -rect 8849 1309 8861 1343 -rect 8895 1340 8907 1343 -rect 9493 1343 9551 1349 -rect 9493 1340 9505 1343 -rect 8895 1312 9505 1340 -rect 8895 1309 8907 1312 +rect 8849 1340 8861 1343 +rect 8720 1312 8861 1340 +rect 8720 1300 8726 1312 +rect 8849 1309 8861 1312 +rect 8895 1309 8907 1343 +rect 9030 1340 9036 1352 +rect 8991 1312 9036 1340 rect 8849 1303 8907 1309 -rect 9493 1309 9505 1312 -rect 9539 1309 9551 1343 -rect 9493 1303 9551 1309 -rect 8941 1275 8999 1281 -rect 8941 1272 8953 1275 -rect 7944 1244 8953 1272 -rect 5132 1232 5138 1244 -rect 8941 1241 8953 1244 -rect 8987 1241 8999 1275 -rect 8941 1235 8999 1241 -rect 4246 1204 4252 1216 -rect 3436 1176 4252 1204 -rect 4246 1164 4252 1176 -rect 4304 1164 4310 1216 -rect 5994 1164 6000 1216 -rect 6052 1204 6058 1216 -rect 7469 1207 7527 1213 -rect 7469 1204 7481 1207 -rect 6052 1176 7481 1204 -rect 6052 1164 6058 1176 -rect 7469 1173 7481 1176 -rect 7515 1173 7527 1207 -rect 7469 1167 7527 1173 -rect 7745 1207 7803 1213 -rect 7745 1173 7757 1207 -rect 7791 1204 7803 1207 -rect 8202 1204 8208 1216 -rect 7791 1176 8208 1204 -rect 7791 1173 7803 1176 -rect 7745 1167 7803 1173 -rect 8202 1164 8208 1176 -rect 8260 1164 8266 1216 +rect 9030 1300 9036 1312 +rect 9088 1300 9094 1352 +rect 8113 1275 8171 1281 +rect 8113 1241 8125 1275 +rect 8159 1272 8171 1275 +rect 8754 1272 8760 1284 +rect 8159 1244 8760 1272 +rect 8159 1241 8171 1244 +rect 8113 1235 8171 1241 +rect 8754 1232 8760 1244 +rect 8812 1232 8818 1284 +rect 7116 1176 7512 1204 rect 3036 1114 9844 1136 rect 3036 1062 5066 1114 rect 5118 1062 5130 1114 @@ -4439,10 +4346,14 @@ rect 5246 1062 5258 1114 rect 5310 1062 5322 1114 rect 5374 1062 9844 1114 rect 3036 1040 9844 1062 +rect 3602 960 3608 1012 +rect 3660 1000 3666 1012 +rect 6822 1000 6828 1012 +rect 3660 972 6828 1000 +rect 3660 960 3666 972 +rect 6822 960 6828 972 +rect 6880 960 6886 1012 << via1 >> -rect 4160 11500 4212 11552 -rect 4896 11500 4948 11552 -rect 6368 11500 6420 11552 rect 2566 11398 2618 11450 rect 2630 11398 2682 11450 rect 2694 11398 2746 11450 @@ -4453,99 +4364,93 @@ rect 7630 11398 7682 11450 rect 7694 11398 7746 11450 rect 7758 11398 7810 11450 rect 7822 11398 7874 11450 -rect 2320 11296 2372 11348 +rect 1676 11339 1728 11348 +rect 1676 11305 1685 11339 +rect 1685 11305 1719 11339 +rect 1719 11305 1728 11339 +rect 1676 11296 1728 11305 +rect 2136 11296 2188 11348 +rect 2412 11296 2464 11348 rect 1860 11228 1912 11280 rect 3608 11228 3660 11280 -rect 1860 11135 1912 11144 -rect 1860 11101 1869 11135 -rect 1869 11101 1903 11135 -rect 1903 11101 1912 11135 -rect 1860 11092 1912 11101 -rect 2136 11135 2188 11144 -rect 2136 11101 2145 11135 -rect 2145 11101 2179 11135 -rect 2179 11101 2188 11135 -rect 2136 11092 2188 11101 -rect 2320 11092 2372 11144 -rect 3332 11160 3384 11212 -rect 5540 11296 5592 11348 -rect 6000 11296 6052 11348 -rect 6368 11339 6420 11348 -rect 6368 11305 6377 11339 -rect 6377 11305 6411 11339 -rect 6411 11305 6420 11339 -rect 6368 11296 6420 11305 -rect 8208 11296 8260 11348 +rect 2412 11160 2464 11212 +rect 1768 11092 1820 11144 +rect 2228 11135 2280 11144 +rect 2228 11101 2237 11135 +rect 2237 11101 2271 11135 +rect 2271 11101 2280 11135 +rect 2228 11092 2280 11101 +rect 1400 11024 1452 11076 +rect 2320 11024 2372 11076 +rect 4620 11296 4672 11348 +rect 6184 11339 6236 11348 +rect 6184 11305 6193 11339 +rect 6193 11305 6227 11339 +rect 6227 11305 6236 11339 +rect 6184 11296 6236 11305 rect 4436 11271 4488 11280 rect 4436 11237 4445 11271 rect 4445 11237 4479 11271 rect 4479 11237 4488 11271 rect 4436 11228 4488 11237 -rect 4528 11228 4580 11280 -rect 4344 11160 4396 11212 +rect 5080 11228 5132 11280 +rect 6920 11228 6972 11280 +rect 4528 11160 4580 11212 rect 4252 11135 4304 11144 rect 4252 11101 4261 11135 rect 4261 11101 4295 11135 rect 4295 11101 4304 11135 rect 4252 11092 4304 11101 -rect 4988 11160 5040 11212 -rect 5540 11160 5592 11212 -rect 7012 11160 7064 11212 -rect 7104 11092 7156 11144 -rect 7656 11092 7708 11144 -rect 13820 11228 13872 11280 -rect 9496 11092 9548 11144 -rect 1400 11024 1452 11076 -rect 4804 11024 4856 11076 +rect 8024 11228 8076 11280 +rect 8392 11228 8444 11280 +rect 8116 11092 8168 11144 +rect 4344 11024 4396 11076 +rect 5080 11024 5132 11076 rect 2044 10999 2096 11008 rect 2044 10965 2053 10999 rect 2053 10965 2087 10999 rect 2087 10965 2096 10999 rect 2044 10956 2096 10965 rect 2596 10956 2648 11008 +rect 3148 10956 3200 11008 rect 3516 10956 3568 11008 rect 3608 10999 3660 11008 rect 3608 10965 3617 10999 rect 3617 10965 3651 10999 rect 3651 10965 3660 10999 -rect 4988 11067 5040 11076 -rect 4988 11033 4997 11067 -rect 4997 11033 5031 11067 -rect 5031 11033 5040 11067 -rect 4988 11024 5040 11033 -rect 6552 11024 6604 11076 -rect 6828 11067 6880 11076 -rect 6828 11033 6837 11067 -rect 6837 11033 6871 11067 -rect 6871 11033 6880 11067 -rect 6828 11024 6880 11033 -rect 6920 11067 6972 11076 -rect 6920 11033 6929 11067 -rect 6929 11033 6963 11067 -rect 6963 11033 6972 11067 -rect 6920 11024 6972 11033 -rect 7196 11024 7248 11076 -rect 8300 11024 8352 11076 -rect 5448 10999 5500 11008 rect 3608 10956 3660 10965 +rect 4896 10956 4948 11008 +rect 5448 10999 5500 11008 rect 5448 10965 5457 10999 rect 5457 10965 5491 10999 rect 5491 10965 5500 10999 rect 5448 10956 5500 10965 -rect 5816 10956 5868 11008 -rect 6184 10999 6236 11008 -rect 6184 10965 6193 10999 -rect 6193 10965 6227 10999 -rect 6227 10965 6236 10999 -rect 6184 10956 6236 10965 -rect 6644 10956 6696 11008 -rect 7656 10956 7708 11008 -rect 8024 10956 8076 11008 -rect 9220 10999 9272 11008 -rect 9220 10965 9229 10999 -rect 9229 10965 9263 10999 -rect 9263 10965 9272 10999 -rect 9220 10956 9272 10965 +rect 5724 11024 5776 11076 +rect 6276 11024 6328 11076 +rect 7012 11067 7064 11076 +rect 7012 11033 7021 11067 +rect 7021 11033 7055 11067 +rect 7055 11033 7064 11067 +rect 7012 11024 7064 11033 +rect 7196 11024 7248 11076 +rect 13820 11296 13872 11348 +rect 8852 11067 8904 11076 +rect 6092 10956 6144 11008 +rect 7380 10956 7432 11008 +rect 8852 11033 8861 11067 +rect 8861 11033 8895 11067 +rect 8895 11033 8904 11067 +rect 8852 11024 8904 11033 +rect 9036 11067 9088 11076 +rect 9036 11033 9045 11067 +rect 9045 11033 9079 11067 +rect 9079 11033 9088 11067 +rect 9036 11024 9088 11033 +rect 8208 10999 8260 11008 +rect 8208 10965 8217 10999 +rect 8217 10965 8251 10999 +rect 8251 10965 8260 10999 +rect 8208 10956 8260 10965 rect 5066 10854 5118 10906 rect 5130 10854 5182 10906 rect 5194 10854 5246 10906 @@ -4556,25 +4461,10 @@ rect 1400 10761 1409 10795 rect 1409 10761 1443 10795 rect 1443 10761 1452 10795 rect 1400 10752 1452 10761 -rect 4252 10752 4304 10804 -rect 4988 10752 5040 10804 -rect 5816 10752 5868 10804 -rect 7472 10752 7524 10804 -rect 7656 10752 7708 10804 -rect 8116 10752 8168 10804 -rect 9496 10795 9548 10804 -rect 9496 10761 9505 10795 -rect 9505 10761 9539 10795 -rect 9539 10761 9548 10795 -rect 9496 10752 9548 10761 +rect 2136 10752 2188 10804 +rect 6092 10752 6144 10804 rect 2596 10684 2648 10736 -rect 5264 10684 5316 10736 -rect 7104 10727 7156 10736 -rect 7104 10693 7113 10727 -rect 7113 10693 7147 10727 -rect 7147 10693 7156 10727 -rect 7104 10684 7156 10693 -rect 7380 10684 7432 10736 +rect 5540 10684 5592 10736 rect 1584 10659 1636 10668 rect 1584 10625 1593 10659 rect 1593 10625 1627 10659 @@ -4583,52 +4473,53 @@ rect 1584 10616 1636 10625 rect 2044 10616 2096 10668 rect 3516 10616 3568 10668 rect 4344 10616 4396 10668 -rect 5632 10616 5684 10668 +rect 4988 10616 5040 10668 rect 6184 10659 6236 10668 -rect 6184 10625 6198 10659 -rect 6198 10625 6232 10659 -rect 6232 10625 6236 10659 -rect 6920 10659 6972 10668 +rect 6184 10625 6193 10659 +rect 6193 10625 6227 10659 +rect 6227 10625 6236 10659 rect 6184 10616 6236 10625 -rect 6920 10625 6929 10659 -rect 6929 10625 6963 10659 -rect 6963 10625 6972 10659 -rect 6920 10616 6972 10625 -rect 7288 10616 7340 10668 -rect 2412 10548 2464 10600 -rect 4620 10548 4672 10600 -rect 5264 10591 5316 10600 -rect 5264 10557 5273 10591 -rect 5273 10557 5307 10591 -rect 5307 10557 5316 10591 -rect 5264 10548 5316 10557 -rect 6552 10548 6604 10600 -rect 9312 10659 9364 10668 -rect 7472 10548 7524 10600 -rect 9312 10625 9321 10659 -rect 9321 10625 9355 10659 -rect 9355 10625 9364 10659 -rect 9312 10616 9364 10625 -rect 2228 10412 2280 10464 -rect 4712 10455 4764 10464 -rect 4712 10421 4721 10455 -rect 4721 10421 4755 10455 -rect 4755 10421 4764 10455 -rect 4712 10412 4764 10421 -rect 6736 10412 6788 10464 -rect 8392 10548 8444 10600 -rect 13820 10480 13872 10532 -rect 8024 10412 8076 10464 -rect 8484 10455 8536 10464 -rect 8484 10421 8493 10455 -rect 8493 10421 8527 10455 -rect 8527 10421 8536 10455 -rect 8484 10412 8536 10421 -rect 8668 10455 8720 10464 -rect 8668 10421 8677 10455 -rect 8677 10421 8711 10455 -rect 8711 10421 8720 10455 -rect 8668 10412 8720 10421 +rect 6368 10616 6420 10668 +rect 7104 10616 7156 10668 +rect 2136 10548 2188 10600 +rect 4252 10548 4304 10600 +rect 6828 10548 6880 10600 +rect 7012 10548 7064 10600 +rect 13912 10752 13964 10804 +rect 13820 10684 13872 10736 +rect 8208 10616 8260 10668 +rect 8760 10591 8812 10600 +rect 8760 10557 8769 10591 +rect 8769 10557 8803 10591 +rect 8803 10557 8812 10591 +rect 8760 10548 8812 10557 +rect 1768 10523 1820 10532 +rect 1768 10489 1777 10523 +rect 1777 10489 1811 10523 +rect 1811 10489 1820 10523 +rect 1768 10480 1820 10489 +rect 5632 10480 5684 10532 +rect 6736 10480 6788 10532 +rect 6920 10480 6972 10532 +rect 13728 10548 13780 10600 +rect 4620 10412 4672 10464 +rect 6644 10455 6696 10464 +rect 6644 10421 6653 10455 +rect 6653 10421 6687 10455 +rect 6687 10421 6696 10455 +rect 6644 10412 6696 10421 +rect 7012 10455 7064 10464 +rect 7012 10421 7021 10455 +rect 7021 10421 7055 10455 +rect 7055 10421 7064 10455 +rect 7012 10412 7064 10421 +rect 7380 10455 7432 10464 +rect 7380 10421 7389 10455 +rect 7389 10421 7423 10455 +rect 7423 10421 7432 10455 +rect 7380 10412 7432 10421 +rect 7472 10412 7524 10464 +rect 8484 10412 8536 10464 rect 2566 10310 2618 10362 rect 2630 10310 2682 10362 rect 2694 10310 2746 10362 @@ -4639,19 +4530,19 @@ rect 7630 10310 7682 10362 rect 7694 10310 7746 10362 rect 7758 10310 7810 10362 rect 7822 10310 7874 10362 -rect 2412 10208 2464 10260 +rect 2228 10208 2280 10260 rect 4160 10208 4212 10260 -rect 4712 10208 4764 10260 -rect 1584 10140 1636 10192 -rect 6920 10208 6972 10260 -rect 8484 10208 8536 10260 -rect 13820 10208 13872 10260 -rect 7564 10140 7616 10192 -rect 8668 10140 8720 10192 -rect 1400 10072 1452 10124 -rect 1768 10004 1820 10056 -rect 2320 10072 2372 10124 -rect 6828 10072 6880 10124 +rect 4620 10208 4672 10260 +rect 1584 10072 1636 10124 +rect 6368 10208 6420 10260 +rect 6736 10208 6788 10260 +rect 8760 10208 8812 10260 +rect 1308 10047 1360 10056 +rect 1308 10013 1317 10047 +rect 1317 10013 1351 10047 +rect 1351 10013 1360 10047 +rect 1308 10004 1360 10013 +rect 2320 10004 2372 10056 rect 3608 10047 3660 10056 rect 3608 10013 3617 10047 rect 3617 10013 3651 10047 @@ -4662,66 +4553,82 @@ rect 6092 10013 6101 10047 rect 6101 10013 6135 10047 rect 6135 10013 6144 10047 rect 6092 10004 6144 10013 -rect 8392 10072 8444 10124 +rect 6552 10004 6604 10056 +rect 7380 10004 7432 10056 rect 9404 10047 9456 10056 rect 9404 10013 9413 10047 rect 9413 10013 9447 10047 rect 9447 10013 9456 10047 rect 9404 10004 9456 10013 rect 2964 9936 3016 9988 -rect 4896 9936 4948 9988 -rect 6920 9936 6972 9988 -rect 8392 9936 8444 9988 +rect 6000 9979 6052 9988 +rect 6000 9945 6009 9979 +rect 6009 9945 6043 9979 +rect 6043 9945 6052 9979 +rect 6000 9936 6052 9945 +rect 7472 9936 7524 9988 +rect 13544 9936 13596 9988 +rect 1860 9868 1912 9920 +rect 2136 9868 2188 9920 rect 3792 9868 3844 9920 -rect 6552 9868 6604 9920 -rect 7288 9868 7340 9920 -rect 8668 9868 8720 9920 +rect 7840 9868 7892 9920 rect 5066 9766 5118 9818 rect 5130 9766 5182 9818 rect 5194 9766 5246 9818 rect 5258 9766 5310 9818 rect 5322 9766 5374 9818 +rect 1308 9707 1360 9716 +rect 1308 9673 1317 9707 +rect 1317 9673 1351 9707 +rect 1351 9673 1360 9707 +rect 1308 9664 1360 9673 rect 6092 9664 6144 9716 +rect 2320 9596 2372 9648 +rect 2780 9639 2832 9648 +rect 2780 9605 2789 9639 +rect 2789 9605 2823 9639 +rect 2823 9605 2832 9639 +rect 2780 9596 2832 9605 rect 4436 9596 4488 9648 rect 6184 9639 6236 9648 -rect 1676 9528 1728 9580 +rect 6184 9605 6193 9639 +rect 6193 9605 6227 9639 +rect 6227 9605 6236 9639 +rect 6184 9596 6236 9605 +rect 7104 9664 7156 9716 +rect 8024 9596 8076 9648 rect 3240 9571 3292 9580 rect 3240 9537 3249 9571 rect 3249 9537 3283 9571 rect 3283 9537 3292 9571 rect 3240 9528 3292 9537 -rect 4804 9528 4856 9580 rect 5448 9528 5500 9580 -rect 6184 9605 6193 9639 -rect 6193 9605 6227 9639 -rect 6227 9605 6236 9639 -rect 6184 9596 6236 9605 -rect 6552 9639 6604 9648 -rect 6552 9605 6561 9639 -rect 6561 9605 6595 9639 -rect 6595 9605 6604 9639 -rect 6552 9596 6604 9605 -rect 7104 9664 7156 9716 -rect 8116 9664 8168 9716 -rect 8208 9596 8260 9648 -rect 7196 9528 7248 9580 -rect 1768 9460 1820 9512 -rect 3148 9460 3200 9512 rect 3516 9503 3568 9512 rect 3516 9469 3525 9503 rect 3525 9469 3559 9503 rect 3559 9469 3568 9503 rect 3516 9460 3568 9469 rect 4068 9460 4120 9512 -rect 7564 9460 7616 9512 -rect 1400 9392 1452 9444 +rect 1676 9324 1728 9376 +rect 2320 9324 2372 9376 rect 3424 9367 3476 9376 rect 3424 9333 3433 9367 rect 3433 9333 3467 9367 rect 3467 9333 3476 9367 rect 3424 9324 3476 9333 -rect 5816 9324 5868 9376 -rect 9036 9324 9088 9376 +rect 4896 9392 4948 9444 +rect 5908 9460 5960 9512 +rect 6736 9460 6788 9512 +rect 6920 9571 6972 9580 +rect 6920 9537 6929 9571 +rect 6929 9537 6963 9571 +rect 6963 9537 6972 9571 +rect 6920 9528 6972 9537 +rect 8484 9528 8536 9580 +rect 7012 9460 7064 9512 +rect 7840 9460 7892 9512 +rect 4436 9324 4488 9376 +rect 8668 9324 8720 9376 rect 9588 9324 9640 9376 rect 2566 9222 2618 9274 rect 2630 9222 2682 9274 @@ -4745,44 +4652,46 @@ rect 4528 9129 4537 9163 rect 4537 9129 4571 9163 rect 4571 9129 4580 9163 rect 4528 9120 4580 9129 -rect 4896 9163 4948 9172 -rect 4896 9129 4905 9163 -rect 4905 9129 4939 9163 -rect 4939 9129 4948 9163 -rect 4896 9120 4948 9129 -rect 1584 9052 1636 9104 -rect 4712 9052 4764 9104 -rect 6644 9120 6696 9172 -rect 6828 9120 6880 9172 -rect 7196 9120 7248 9172 -rect 9404 9163 9456 9172 -rect 9404 9129 9413 9163 -rect 9413 9129 9447 9163 -rect 9447 9129 9456 9163 -rect 9404 9120 9456 9129 -rect 6184 9052 6236 9104 -rect 8760 9052 8812 9104 -rect 3056 8984 3108 9036 -rect 4252 8984 4304 9036 +rect 6000 9120 6052 9172 +rect 6184 9120 6236 9172 +rect 7104 9120 7156 9172 +rect 8208 9120 8260 9172 +rect 4436 9052 4488 9104 +rect 13728 9052 13780 9104 +rect 1768 8984 1820 9036 rect 1308 8959 1360 8968 rect 1308 8925 1317 8959 rect 1317 8925 1351 8959 rect 1351 8925 1360 8959 rect 1308 8916 1360 8925 -rect 2228 8916 2280 8968 rect 3792 8959 3844 8968 -rect 1492 8848 1544 8900 -rect 2412 8848 2464 8900 -rect 2872 8848 2924 8900 -rect 3240 8848 3292 8900 rect 3792 8925 3801 8959 rect 3801 8925 3835 8959 rect 3835 8925 3844 8959 rect 3792 8916 3844 8925 -rect 5540 8984 5592 9036 -rect 7472 8984 7524 9036 -rect 7656 8984 7708 9036 -rect 3976 8848 4028 8900 +rect 6092 8984 6144 9036 +rect 6184 9027 6236 9036 +rect 6184 8993 6193 9027 +rect 6193 8993 6227 9027 +rect 6227 8993 6236 9027 +rect 6184 8984 6236 8993 +rect 1768 8848 1820 8900 +rect 2872 8848 2924 8900 +rect 3240 8848 3292 8900 +rect 4712 8916 4764 8968 +rect 5080 8959 5132 8968 +rect 5080 8925 5089 8959 +rect 5089 8925 5123 8959 +rect 5123 8925 5132 8959 +rect 5080 8916 5132 8925 +rect 5540 8959 5592 8968 +rect 5540 8925 5549 8959 +rect 5549 8925 5583 8959 +rect 5583 8925 5592 8959 +rect 5540 8916 5592 8925 +rect 7564 8916 7616 8968 +rect 8760 8916 8812 8968 +rect 9128 8916 9180 8968 rect 2228 8780 2280 8832 rect 3056 8780 3108 8832 rect 3608 8823 3660 8832 @@ -4790,102 +4699,73 @@ rect 3608 8789 3617 8823 rect 3617 8789 3651 8823 rect 3651 8789 3660 8823 rect 3608 8780 3660 8789 -rect 4988 8848 5040 8900 -rect 5540 8848 5592 8900 -rect 6092 8848 6144 8900 -rect 5448 8780 5500 8832 -rect 5632 8780 5684 8832 -rect 7564 8916 7616 8968 -rect 13636 8984 13688 9036 -rect 8852 8916 8904 8968 +rect 3700 8780 3752 8832 +rect 4344 8780 4396 8832 +rect 5816 8848 5868 8900 rect 6552 8848 6604 8900 -rect 7380 8780 7432 8832 -rect 7472 8780 7524 8832 -rect 8208 8848 8260 8900 -rect 8944 8848 8996 8900 -rect 13728 8780 13780 8832 +rect 7840 8848 7892 8900 +rect 4988 8780 5040 8832 +rect 5540 8780 5592 8832 +rect 6184 8780 6236 8832 +rect 6368 8780 6420 8832 +rect 6828 8780 6880 8832 +rect 8024 8780 8076 8832 +rect 8392 8780 8444 8832 rect 5066 8678 5118 8730 rect 5130 8678 5182 8730 rect 5194 8678 5246 8730 rect 5258 8678 5310 8730 rect 5322 8678 5374 8730 -rect 1400 8619 1452 8628 -rect 1400 8585 1409 8619 -rect 1409 8585 1443 8619 -rect 1443 8585 1452 8619 -rect 1400 8576 1452 8585 -rect 1584 8619 1636 8628 -rect 1584 8585 1593 8619 -rect 1593 8585 1627 8619 -rect 1627 8585 1636 8619 -rect 1584 8576 1636 8585 +rect 1768 8619 1820 8628 +rect 1768 8585 1777 8619 +rect 1777 8585 1811 8619 +rect 1811 8585 1820 8619 +rect 1768 8576 1820 8585 rect 3056 8576 3108 8628 +rect 3148 8576 3200 8628 +rect 3700 8576 3752 8628 rect 3608 8508 3660 8560 rect 4252 8508 4304 8560 -rect 2228 8483 2280 8492 -rect 2228 8449 2237 8483 -rect 2237 8449 2271 8483 -rect 2271 8449 2280 8483 -rect 2228 8440 2280 8449 +rect 4988 8576 5040 8628 +rect 4896 8551 4948 8560 +rect 4896 8517 4905 8551 +rect 4905 8517 4939 8551 +rect 4939 8517 4948 8551 +rect 4896 8508 4948 8517 +rect 5264 8508 5316 8560 +rect 2228 8415 2280 8424 +rect 2228 8381 2237 8415 +rect 2237 8381 2271 8415 +rect 2271 8381 2280 8415 +rect 2228 8372 2280 8381 rect 3424 8440 3476 8492 -rect 4436 8483 4488 8492 -rect 4436 8449 4445 8483 -rect 4445 8449 4479 8483 -rect 4479 8449 4488 8483 -rect 4436 8440 4488 8449 -rect 4804 8508 4856 8560 -rect 6828 8576 6880 8628 -rect 9496 8619 9548 8628 -rect 9496 8585 9505 8619 -rect 9505 8585 9539 8619 -rect 9539 8585 9548 8619 -rect 9496 8576 9548 8585 -rect 5264 8440 5316 8492 -rect 5908 8440 5960 8492 -rect 6184 8483 6236 8492 -rect 6184 8449 6193 8483 -rect 6193 8449 6227 8483 -rect 6227 8449 6236 8483 -rect 6184 8440 6236 8449 -rect 6644 8483 6696 8492 -rect 6644 8449 6653 8483 -rect 6653 8449 6687 8483 -rect 6687 8449 6696 8483 -rect 6644 8440 6696 8449 rect 2872 8372 2924 8424 -rect 4804 8372 4856 8424 -rect 6828 8440 6880 8492 +rect 4620 8440 4672 8492 +rect 5448 8440 5500 8492 +rect 6368 8576 6420 8628 +rect 6920 8576 6972 8628 +rect 7472 8576 7524 8628 +rect 8484 8576 8536 8628 +rect 9128 8619 9180 8628 +rect 4804 8304 4856 8356 +rect 5448 8304 5500 8356 +rect 6000 8440 6052 8492 +rect 6276 8440 6328 8492 +rect 6644 8440 6696 8492 rect 7104 8440 7156 8492 -rect 7380 8483 7432 8492 -rect 7380 8449 7389 8483 -rect 7389 8449 7423 8483 -rect 7423 8449 7432 8483 -rect 7380 8440 7432 8449 rect 8760 8440 8812 8492 -rect 8944 8440 8996 8492 -rect 7288 8372 7340 8424 -rect 7656 8415 7708 8424 -rect 7656 8381 7665 8415 -rect 7665 8381 7699 8415 -rect 7699 8381 7708 8415 -rect 7656 8372 7708 8381 -rect 13544 8576 13596 8628 -rect 4896 8304 4948 8356 -rect 5540 8347 5592 8356 -rect 5540 8313 5549 8347 -rect 5549 8313 5583 8347 -rect 5583 8313 5592 8347 -rect 5540 8304 5592 8313 -rect 5816 8304 5868 8356 -rect 4620 8236 4672 8288 -rect 5908 8279 5960 8288 -rect 5908 8245 5917 8279 -rect 5917 8245 5951 8279 -rect 5951 8245 5960 8279 -rect 5908 8236 5960 8245 -rect 6552 8236 6604 8288 -rect 6736 8236 6788 8288 -rect 8760 8304 8812 8356 +rect 9128 8585 9137 8619 +rect 9137 8585 9171 8619 +rect 9171 8585 9180 8619 +rect 9128 8576 9180 8585 +rect 9404 8372 9456 8424 +rect 6736 8304 6788 8356 +rect 7380 8304 7432 8356 +rect 13636 8304 13688 8356 +rect 2412 8236 2464 8288 +rect 4896 8236 4948 8288 +rect 5172 8236 5224 8288 +rect 7288 8236 7340 8288 rect 2566 8134 2618 8186 rect 2630 8134 2682 8186 rect 2694 8134 2746 8186 @@ -4896,64 +4776,83 @@ rect 7630 8134 7682 8186 rect 7694 8134 7746 8186 rect 7758 8134 7810 8186 rect 7822 8134 7874 8186 -rect 4436 8032 4488 8084 -rect 3056 7964 3108 8016 -rect 5632 8007 5684 8016 -rect 5632 7973 5641 8007 -rect 5641 7973 5675 8007 -rect 5675 7973 5684 8007 -rect 5632 7964 5684 7973 -rect 1400 7896 1452 7948 +rect 1492 8032 1544 8084 +rect 1584 7939 1636 7948 +rect 1584 7905 1593 7939 +rect 1593 7905 1627 7939 +rect 1627 7905 1636 7939 +rect 1584 7896 1636 7905 +rect 1860 7939 1912 7948 +rect 1860 7905 1869 7939 +rect 1869 7905 1903 7939 +rect 1903 7905 1912 7939 +rect 1860 7896 1912 7905 +rect 2320 7896 2372 7948 +rect 4252 8032 4304 8084 +rect 5172 8075 5224 8084 +rect 5172 8041 5181 8075 +rect 5181 8041 5215 8075 +rect 5215 8041 5224 8075 +rect 5172 8032 5224 8041 +rect 5264 8032 5316 8084 +rect 6092 8032 6144 8084 +rect 6460 8032 6512 8084 +rect 8024 8032 8076 8084 +rect 9404 8075 9456 8084 +rect 6000 7964 6052 8016 +rect 7840 7964 7892 8016 +rect 8116 8007 8168 8016 +rect 8116 7973 8125 8007 +rect 8125 7973 8159 8007 +rect 8159 7973 8168 8007 +rect 8116 7964 8168 7973 rect 1492 7871 1544 7880 rect 1492 7837 1501 7871 rect 1501 7837 1535 7871 rect 1535 7837 1544 7871 rect 1492 7828 1544 7837 -rect 2872 7896 2924 7948 -rect 1768 7760 1820 7812 -rect 3148 7760 3200 7812 -rect 6184 7896 6236 7948 -rect 8576 8032 8628 8084 -rect 9312 8032 9364 8084 -rect 6552 7964 6604 8016 -rect 7104 7964 7156 8016 -rect 7748 7964 7800 8016 -rect 8024 7964 8076 8016 -rect 6736 7896 6788 7948 -rect 4804 7828 4856 7880 -rect 4988 7871 5040 7880 -rect 4988 7837 4997 7871 -rect 4997 7837 5031 7871 -rect 5031 7837 5040 7871 -rect 4988 7828 5040 7837 -rect 5264 7828 5316 7880 -rect 6000 7871 6052 7880 -rect 6000 7837 6009 7871 -rect 6009 7837 6043 7871 -rect 6043 7837 6052 7871 -rect 6644 7871 6696 7880 -rect 6000 7828 6052 7837 -rect 6184 7760 6236 7812 -rect 6644 7837 6653 7871 -rect 6653 7837 6687 7871 -rect 6687 7837 6696 7871 -rect 6644 7828 6696 7837 +rect 3148 7828 3200 7880 +rect 5356 7896 5408 7948 +rect 5724 7896 5776 7948 +rect 6276 7896 6328 7948 +rect 5632 7828 5684 7880 +rect 5908 7871 5960 7880 +rect 5908 7837 5917 7871 +rect 5917 7837 5951 7871 +rect 5951 7837 5960 7871 +rect 5908 7828 5960 7837 +rect 6000 7828 6052 7880 +rect 4712 7760 4764 7812 rect 7472 7828 7524 7880 -rect 7840 7828 7892 7880 -rect 7564 7803 7616 7812 -rect 3424 7692 3476 7744 -rect 4344 7692 4396 7744 -rect 6368 7692 6420 7744 -rect 7104 7692 7156 7744 -rect 7564 7769 7573 7803 -rect 7573 7769 7607 7803 -rect 7607 7769 7616 7803 -rect 7564 7760 7616 7769 -rect 8944 7896 8996 7948 -rect 8484 7828 8536 7880 +rect 8392 7828 8444 7880 +rect 9404 8041 9413 8075 +rect 9413 8041 9447 8075 +rect 9447 8041 9456 8075 +rect 9404 8032 9456 8041 +rect 6920 7760 6972 7812 +rect 8116 7760 8168 7812 +rect 9404 7760 9456 7812 +rect 3148 7692 3200 7744 +rect 3884 7692 3936 7744 +rect 5632 7692 5684 7744 +rect 6092 7735 6144 7744 +rect 6092 7701 6101 7735 +rect 6101 7701 6135 7735 +rect 6135 7701 6144 7735 +rect 6092 7692 6144 7701 +rect 6276 7735 6328 7744 +rect 6276 7701 6285 7735 +rect 6285 7701 6319 7735 +rect 6319 7701 6328 7735 +rect 6276 7692 6328 7701 +rect 6368 7735 6420 7744 +rect 6368 7701 6377 7735 +rect 6377 7701 6411 7735 +rect 6411 7701 6420 7735 +rect 6368 7692 6420 7701 +rect 7012 7692 7064 7744 rect 7840 7692 7892 7744 -rect 9220 7760 9272 7812 -rect 8484 7692 8536 7744 +rect 9312 7692 9364 7744 rect 5066 7590 5118 7642 rect 5130 7590 5182 7642 rect 5194 7590 5246 7642 @@ -4965,54 +4864,59 @@ rect 1317 7497 1351 7531 rect 1351 7497 1360 7531 rect 1308 7488 1360 7497 rect 1492 7488 1544 7540 -rect 2872 7420 2924 7472 -rect 1676 7352 1728 7404 -rect 3056 7395 3108 7404 -rect 3056 7361 3065 7395 -rect 3065 7361 3099 7395 -rect 3099 7361 3108 7395 -rect 3884 7488 3936 7540 -rect 4620 7488 4672 7540 -rect 6000 7420 6052 7472 -rect 7656 7420 7708 7472 -rect 8852 7488 8904 7540 -rect 8484 7420 8536 7472 -rect 3056 7352 3108 7361 -rect 1400 7148 1452 7200 -rect 3424 7352 3476 7404 -rect 5356 7395 5408 7404 -rect 3884 7327 3936 7336 -rect 3884 7293 3893 7327 -rect 3893 7293 3927 7327 -rect 3927 7293 3936 7327 -rect 3884 7284 3936 7293 -rect 5356 7361 5365 7395 -rect 5365 7361 5399 7395 -rect 5399 7361 5408 7395 -rect 5356 7352 5408 7361 -rect 5540 7352 5592 7404 -rect 7012 7352 7064 7404 -rect 8392 7395 8444 7404 -rect 8392 7361 8401 7395 -rect 8401 7361 8435 7395 -rect 8435 7361 8444 7395 -rect 8392 7352 8444 7361 +rect 2320 7420 2372 7472 +rect 2780 7463 2832 7472 +rect 2780 7429 2789 7463 +rect 2789 7429 2823 7463 +rect 2823 7429 2832 7463 +rect 2780 7420 2832 7429 +rect 4528 7488 4580 7540 +rect 3148 7420 3200 7472 +rect 4988 7420 5040 7472 +rect 3884 7395 3936 7404 +rect 3884 7361 3893 7395 +rect 3893 7361 3927 7395 +rect 3927 7361 3936 7395 +rect 3884 7352 3936 7361 +rect 4896 7352 4948 7404 +rect 5448 7352 5500 7404 +rect 7196 7488 7248 7540 +rect 7564 7488 7616 7540 +rect 9404 7531 9456 7540 +rect 9404 7497 9413 7531 +rect 9413 7497 9447 7531 +rect 9447 7497 9456 7531 +rect 9404 7488 9456 7497 +rect 8300 7420 8352 7472 +rect 6920 7395 6972 7404 +rect 6920 7361 6929 7395 +rect 6929 7361 6963 7395 +rect 6963 7361 6972 7395 +rect 6920 7352 6972 7361 +rect 8208 7352 8260 7404 rect 9312 7395 9364 7404 rect 9312 7361 9321 7395 rect 9321 7361 9355 7395 rect 9355 7361 9364 7395 rect 9312 7352 9364 7361 -rect 4528 7284 4580 7336 -rect 7196 7284 7248 7336 -rect 5540 7216 5592 7268 -rect 7840 7216 7892 7268 +rect 1492 7284 1544 7336 +rect 4436 7284 4488 7336 +rect 7288 7284 7340 7336 +rect 7564 7284 7616 7336 +rect 6368 7216 6420 7268 rect 3332 7191 3384 7200 rect 3332 7157 3341 7191 rect 3341 7157 3375 7191 rect 3375 7157 3384 7191 rect 3332 7148 3384 7157 +rect 6092 7148 6144 7200 +rect 8760 7216 8812 7268 rect 8852 7148 8904 7200 -rect 9128 7148 9180 7200 +rect 8944 7191 8996 7200 +rect 8944 7157 8961 7191 +rect 8961 7157 8995 7191 +rect 8995 7157 8996 7191 +rect 8944 7148 8996 7157 rect 2566 7046 2618 7098 rect 2630 7046 2682 7098 rect 2694 7046 2746 7098 @@ -5023,91 +4927,115 @@ rect 7630 7046 7682 7098 rect 7694 7046 7746 7098 rect 7758 7046 7810 7098 rect 7822 7046 7874 7098 -rect 1308 6944 1360 6996 -rect 8760 6944 8812 6996 -rect 9036 6987 9088 6996 -rect 9036 6953 9045 6987 -rect 9045 6953 9079 6987 -rect 9079 6953 9088 6987 -rect 9036 6944 9088 6953 -rect 1400 6808 1452 6860 -rect 1768 6808 1820 6860 -rect 1676 6672 1728 6724 +rect 2228 6944 2280 6996 +rect 5908 6944 5960 6996 +rect 6368 6944 6420 6996 +rect 6920 6944 6972 6996 +rect 8668 6944 8720 6996 +rect 9496 6944 9548 6996 +rect 1492 6851 1544 6860 +rect 1492 6817 1501 6851 +rect 1501 6817 1535 6851 +rect 1535 6817 1544 6851 +rect 1492 6808 1544 6817 rect 4068 6808 4120 6860 -rect 6644 6808 6696 6860 +rect 3148 6740 3200 6792 rect 7380 6808 7432 6860 -rect 3148 6672 3200 6724 -rect 3240 6647 3292 6656 -rect 3240 6613 3249 6647 -rect 3249 6613 3283 6647 -rect 3283 6613 3292 6647 -rect 3240 6604 3292 6613 -rect 6276 6740 6328 6792 -rect 7840 6783 7892 6792 -rect 7840 6749 7849 6783 -rect 7849 6749 7883 6783 -rect 7883 6749 7892 6783 -rect 7840 6740 7892 6749 -rect 8300 6876 8352 6928 rect 13728 6808 13780 6860 +rect 3056 6672 3108 6724 +rect 7472 6672 7524 6724 +rect 1492 6604 1544 6656 +rect 3516 6604 3568 6656 +rect 5908 6604 5960 6656 +rect 6644 6604 6696 6656 +rect 8300 6783 8352 6792 +rect 8300 6749 8309 6783 +rect 8309 6749 8343 6783 +rect 8343 6749 8352 6783 +rect 8300 6740 8352 6749 rect 8576 6783 8628 6792 -rect 8116 6672 8168 6724 rect 8576 6749 8585 6783 rect 8585 6749 8619 6783 rect 8619 6749 8628 6783 rect 8576 6740 8628 6749 -rect 8484 6672 8536 6724 -rect 6552 6604 6604 6656 -rect 6736 6604 6788 6656 -rect 7380 6604 7432 6656 +rect 8760 6783 8812 6792 +rect 8760 6749 8769 6783 +rect 8769 6749 8803 6783 +rect 8803 6749 8812 6783 +rect 8760 6740 8812 6749 +rect 9036 6672 9088 6724 +rect 8392 6604 8444 6656 rect 9312 6604 9364 6656 rect 5066 6502 5118 6554 rect 5130 6502 5182 6554 rect 5194 6502 5246 6554 rect 5258 6502 5310 6554 rect 5322 6502 5374 6554 -rect 1676 6400 1728 6452 -rect 2412 6400 2464 6452 -rect 4988 6400 5040 6452 -rect 7196 6400 7248 6452 -rect 7840 6400 7892 6452 -rect 4160 6332 4212 6384 +rect 1492 6443 1544 6452 +rect 1492 6409 1501 6443 +rect 1501 6409 1535 6443 +rect 1535 6409 1544 6443 +rect 1492 6400 1544 6409 +rect 2964 6264 3016 6316 +rect 5540 6400 5592 6452 +rect 5724 6400 5776 6452 +rect 6368 6400 6420 6452 +rect 6644 6400 6696 6452 +rect 4068 6332 4120 6384 rect 7932 6375 7984 6384 rect 7932 6341 7941 6375 rect 7941 6341 7975 6375 rect 7975 6341 7984 6375 rect 7932 6332 7984 6341 -rect 2320 6307 2372 6316 -rect 2320 6273 2329 6307 -rect 2329 6273 2363 6307 -rect 2363 6273 2372 6307 -rect 2320 6264 2372 6273 +rect 8116 6332 8168 6384 +rect 8300 6332 8352 6384 rect 3332 6307 3384 6316 rect 3332 6273 3341 6307 rect 3341 6273 3375 6307 rect 3375 6273 3384 6307 rect 3332 6264 3384 6273 -rect 5540 6264 5592 6316 -rect 5724 6264 5776 6316 -rect 8484 6307 8536 6316 -rect 8484 6273 8493 6307 -rect 8493 6273 8527 6307 -rect 8527 6273 8536 6307 +rect 6092 6264 6144 6316 +rect 7104 6264 7156 6316 +rect 1676 6239 1728 6248 +rect 1676 6205 1685 6239 +rect 1685 6205 1719 6239 +rect 1719 6205 1728 6239 +rect 1676 6196 1728 6205 +rect 2688 6239 2740 6248 +rect 2688 6205 2697 6239 +rect 2697 6205 2731 6239 +rect 2731 6205 2740 6239 +rect 2688 6196 2740 6205 +rect 3976 6196 4028 6248 +rect 6184 6239 6236 6248 +rect 6184 6205 6193 6239 +rect 6193 6205 6227 6239 +rect 6227 6205 6236 6239 +rect 6184 6196 6236 6205 +rect 8392 6264 8444 6316 rect 8760 6307 8812 6316 -rect 8484 6264 8536 6273 rect 8760 6273 8769 6307 rect 8769 6273 8803 6307 rect 8803 6273 8812 6307 rect 8760 6264 8812 6273 rect 8852 6264 8904 6316 -rect 9588 6264 9640 6316 -rect 6092 6196 6144 6248 -rect 8116 6196 8168 6248 +rect 9864 6264 9916 6316 +rect 2412 6103 2464 6112 +rect 2412 6069 2421 6103 +rect 2421 6069 2455 6103 +rect 2455 6069 2464 6103 +rect 2412 6060 2464 6069 +rect 3056 6060 3108 6112 +rect 3240 6103 3292 6112 +rect 3240 6069 3249 6103 +rect 3249 6069 3283 6103 +rect 3283 6069 3292 6103 +rect 3240 6060 3292 6069 rect 8208 6128 8260 6180 -rect 9496 6128 9548 6180 -rect 4804 6060 4856 6112 -rect 7012 6060 7064 6112 -rect 8116 6060 8168 6112 +rect 8668 6128 8720 6180 +rect 4068 6060 4120 6112 +rect 9220 6060 9272 6112 +rect 13452 6060 13504 6112 rect 2566 5958 2618 6010 rect 2630 5958 2682 6010 rect 2694 5958 2746 6010 @@ -5118,196 +5046,217 @@ rect 7630 5958 7682 6010 rect 7694 5958 7746 6010 rect 7758 5958 7810 6010 rect 7822 5958 7874 6010 -rect 1676 5856 1728 5908 -rect 2412 5856 2464 5908 -rect 7380 5856 7432 5908 +rect 1492 5899 1544 5908 +rect 1492 5865 1501 5899 +rect 1501 5865 1535 5899 +rect 1535 5865 1544 5899 +rect 1492 5856 1544 5865 +rect 1676 5899 1728 5908 +rect 1676 5865 1685 5899 +rect 1685 5865 1719 5899 +rect 1719 5865 1728 5899 +rect 1676 5856 1728 5865 +rect 2964 5856 3016 5908 +rect 4252 5899 4304 5908 +rect 4252 5865 4261 5899 +rect 4261 5865 4295 5899 +rect 4295 5865 4304 5899 +rect 4252 5856 4304 5865 +rect 7288 5856 7340 5908 +rect 8024 5856 8076 5908 rect 8576 5856 8628 5908 -rect 1676 5652 1728 5704 -rect 3240 5584 3292 5636 -rect 3700 5652 3752 5704 -rect 3792 5652 3844 5704 -rect 4804 5584 4856 5636 -rect 8484 5788 8536 5840 +rect 3700 5831 3752 5840 +rect 3700 5797 3709 5831 +rect 3709 5797 3743 5831 +rect 3743 5797 3752 5831 +rect 3700 5788 3752 5797 +rect 8668 5788 8720 5840 rect 8760 5788 8812 5840 -rect 13452 5720 13504 5772 -rect 6184 5627 6236 5636 -rect 6184 5593 6193 5627 -rect 6193 5593 6227 5627 -rect 6227 5593 6236 5627 -rect 6184 5584 6236 5593 -rect 8116 5652 8168 5704 +rect 9312 5788 9364 5840 +rect 9496 5831 9548 5840 +rect 9496 5797 9505 5831 +rect 9505 5797 9539 5831 +rect 9539 5797 9548 5831 +rect 9496 5788 9548 5797 +rect 3424 5695 3476 5704 +rect 3424 5661 3433 5695 +rect 3433 5661 3467 5695 +rect 3467 5661 3476 5695 +rect 3424 5652 3476 5661 +rect 3700 5652 3752 5704 +rect 5816 5720 5868 5772 +rect 6828 5720 6880 5772 +rect 8300 5720 8352 5772 +rect 13820 5720 13872 5772 +rect 1492 5584 1544 5636 +rect 3148 5627 3200 5636 +rect 3148 5593 3157 5627 +rect 3157 5593 3191 5627 +rect 3191 5593 3200 5627 +rect 3148 5584 3200 5593 +rect 2780 5516 2832 5568 +rect 7472 5584 7524 5636 rect 9036 5695 9088 5704 rect 9036 5661 9045 5695 rect 9045 5661 9079 5695 rect 9079 5661 9088 5695 rect 9036 5652 9088 5661 -rect 9588 5652 9640 5704 -rect 13820 5584 13872 5636 -rect 3700 5516 3752 5568 -rect 4896 5516 4948 5568 -rect 7840 5516 7892 5568 -rect 8116 5559 8168 5568 -rect 8116 5525 8125 5559 -rect 8125 5525 8159 5559 -rect 8159 5525 8168 5559 -rect 8116 5516 8168 5525 -rect 8852 5516 8904 5568 +rect 9864 5652 9916 5704 +rect 8300 5516 8352 5568 +rect 8484 5559 8536 5568 +rect 8484 5525 8493 5559 +rect 8493 5525 8527 5559 +rect 8527 5525 8536 5559 +rect 8484 5516 8536 5525 rect 5066 5414 5118 5466 rect 5130 5414 5182 5466 rect 5194 5414 5246 5466 rect 5258 5414 5310 5466 rect 5322 5414 5374 5466 -rect 3424 5312 3476 5364 -rect 4160 5244 4212 5296 -rect 5448 5312 5500 5364 -rect 7012 5312 7064 5364 -rect 8392 5312 8444 5364 -rect 8760 5312 8812 5364 -rect 3148 5176 3200 5228 -rect 3976 5176 4028 5228 -rect 4252 5108 4304 5160 -rect 4436 5176 4488 5228 -rect 5724 5176 5776 5228 -rect 7472 5244 7524 5296 -rect 6092 5108 6144 5160 -rect 7932 5176 7984 5228 -rect 8668 5176 8720 5228 -rect 8944 5219 8996 5228 -rect 8944 5185 8953 5219 -rect 8953 5185 8987 5219 -rect 8987 5185 8996 5219 -rect 8944 5176 8996 5185 -rect 9496 5219 9548 5228 -rect 9496 5185 9505 5219 -rect 9505 5185 9539 5219 -rect 9539 5185 9548 5219 -rect 9496 5176 9548 5185 +rect 2412 5312 2464 5364 +rect 4620 5312 4672 5364 +rect 6460 5355 6512 5364 +rect 3240 5244 3292 5296 +rect 3056 5176 3108 5228 +rect 6460 5321 6469 5355 +rect 6469 5321 6503 5355 +rect 6503 5321 6512 5355 +rect 6460 5312 6512 5321 +rect 6552 5312 6604 5364 +rect 8116 5312 8168 5364 +rect 5540 5244 5592 5296 +rect 6276 5244 6328 5296 +rect 8760 5244 8812 5296 +rect 5632 5176 5684 5228 +rect 6092 5176 6144 5228 +rect 6828 5176 6880 5228 +rect 8116 5219 8168 5228 +rect 8116 5185 8125 5219 +rect 8125 5185 8159 5219 +rect 8159 5185 8168 5219 +rect 8116 5176 8168 5185 +rect 8392 5176 8444 5228 +rect 6184 5108 6236 5160 +rect 6368 5108 6420 5160 rect 4160 5083 4212 5092 rect 4160 5049 4169 5083 rect 4169 5049 4203 5083 rect 4203 5049 4212 5083 rect 4160 5040 4212 5049 -rect 5172 5040 5224 5092 -rect 5908 5040 5960 5092 -rect 9220 5040 9272 5092 -rect 4344 4972 4396 5024 -rect 4712 4972 4764 5024 -rect 7380 4972 7432 5024 -rect 7932 5015 7984 5024 -rect 7932 4981 7941 5015 -rect 7941 4981 7975 5015 -rect 7975 4981 7984 5015 -rect 7932 4972 7984 4981 +rect 8208 5040 8260 5092 +rect 3976 5015 4028 5024 +rect 3976 4981 3985 5015 +rect 3985 4981 4019 5015 +rect 4019 4981 4028 5015 +rect 3976 4972 4028 4981 +rect 4620 5015 4672 5024 +rect 4620 4981 4629 5015 +rect 4629 4981 4663 5015 +rect 4663 4981 4672 5015 +rect 4620 4972 4672 4981 +rect 4804 5015 4856 5024 +rect 4804 4981 4813 5015 +rect 4813 4981 4847 5015 +rect 4847 4981 4856 5015 +rect 4804 4972 4856 4981 rect 8024 4972 8076 5024 -rect 9312 5015 9364 5024 -rect 9312 4981 9321 5015 -rect 9321 4981 9355 5015 -rect 9355 4981 9364 5015 -rect 9312 4972 9364 4981 +rect 8760 5015 8812 5024 +rect 8760 4981 8769 5015 +rect 8769 4981 8803 5015 +rect 8803 4981 8812 5015 +rect 8760 4972 8812 4981 +rect 9588 4972 9640 5024 rect 7566 4870 7618 4922 rect 7630 4870 7682 4922 rect 7694 4870 7746 4922 rect 7758 4870 7810 4922 rect 7822 4870 7874 4922 -rect 3424 4768 3476 4820 -rect 4712 4768 4764 4820 -rect 7472 4768 7524 4820 +rect 3332 4811 3384 4820 +rect 3332 4777 3341 4811 +rect 3341 4777 3375 4811 +rect 3375 4777 3384 4811 +rect 3332 4768 3384 4777 +rect 4804 4768 4856 4820 +rect 4988 4768 5040 4820 +rect 7104 4768 7156 4820 +rect 8392 4768 8444 4820 rect 13820 4768 13872 4820 -rect 3608 4700 3660 4752 -rect 3516 4564 3568 4616 -rect 4160 4632 4212 4684 -rect 4896 4700 4948 4752 -rect 4068 4428 4120 4480 -rect 4252 4428 4304 4480 -rect 4528 4496 4580 4548 -rect 4896 4428 4948 4480 -rect 5172 4607 5224 4616 -rect 5172 4573 5181 4607 -rect 5181 4573 5215 4607 -rect 5215 4573 5224 4607 -rect 5724 4700 5776 4752 -rect 6000 4700 6052 4752 -rect 7932 4700 7984 4752 -rect 7104 4632 7156 4684 -rect 5172 4564 5224 4573 -rect 5908 4607 5960 4616 -rect 5908 4573 5917 4607 -rect 5917 4573 5951 4607 -rect 5951 4573 5960 4607 -rect 5908 4564 5960 4573 -rect 6368 4607 6420 4616 -rect 6368 4573 6377 4607 -rect 6377 4573 6411 4607 -rect 6411 4573 6420 4607 -rect 6368 4564 6420 4573 +rect 5724 4632 5776 4684 +rect 3516 4607 3568 4616 +rect 3516 4573 3525 4607 +rect 3525 4573 3559 4607 +rect 3559 4573 3568 4607 +rect 3516 4564 3568 4573 +rect 3608 4564 3660 4616 +rect 4344 4607 4396 4616 +rect 4344 4573 4353 4607 +rect 4353 4573 4387 4607 +rect 4387 4573 4396 4607 +rect 4344 4564 4396 4573 +rect 4528 4564 4580 4616 +rect 6092 4632 6144 4684 +rect 7012 4632 7064 4684 rect 6460 4607 6512 4616 rect 6460 4573 6469 4607 rect 6469 4573 6503 4607 rect 6503 4573 6512 4607 -rect 9312 4632 9364 4684 +rect 8760 4632 8812 4684 rect 6460 4564 6512 4573 rect 9220 4607 9272 4616 rect 9220 4573 9229 4607 rect 9229 4573 9263 4607 rect 9263 4573 9272 4607 rect 9220 4564 9272 4573 +rect 5448 4496 5500 4548 +rect 4252 4428 4304 4480 +rect 4344 4428 4396 4480 rect 6000 4496 6052 4548 -rect 9312 4471 9364 4480 -rect 9312 4437 9321 4471 -rect 9321 4437 9355 4471 -rect 9355 4437 9364 4471 -rect 9312 4428 9364 4437 +rect 6276 4428 6328 4480 rect 5066 4326 5118 4378 rect 5130 4326 5182 4378 rect 5194 4326 5246 4378 rect 5258 4326 5310 4378 rect 5322 4326 5374 4378 rect 3516 4224 3568 4276 -rect 4252 4224 4304 4276 -rect 5448 4224 5500 4276 -rect 3148 4156 3200 4208 -rect 3884 4156 3936 4208 -rect 2688 4088 2740 4140 -rect 3792 4088 3844 4140 -rect 4068 4088 4120 4140 +rect 3700 4267 3752 4276 +rect 3700 4233 3709 4267 +rect 3709 4233 3743 4267 +rect 3743 4233 3752 4267 +rect 3700 4224 3752 4233 +rect 7472 4224 7524 4276 +rect 4160 4156 4212 4208 +rect 4252 4156 4304 4208 +rect 5356 4156 5408 4208 +rect 6552 4156 6604 4208 rect 6092 4131 6144 4140 rect 6092 4097 6101 4131 rect 6101 4097 6135 4131 rect 6135 4097 6144 4131 rect 6092 4088 6144 4097 -rect 6368 4088 6420 4140 -rect 3608 4020 3660 4072 -rect 4620 4063 4672 4072 -rect 4620 4029 4629 4063 -rect 4629 4029 4663 4063 -rect 4663 4029 4672 4063 -rect 4620 4020 4672 4029 +rect 4344 4020 4396 4072 +rect 4804 4020 4856 4072 rect 6460 4020 6512 4072 -rect 3516 3952 3568 4004 rect 4068 3884 4120 3936 rect 5540 3952 5592 4004 -rect 7472 4088 7524 4140 -rect 7748 4088 7800 4140 -rect 8116 4131 8168 4140 -rect 8116 4097 8125 4131 -rect 8125 4097 8159 4131 -rect 8159 4097 8168 4131 -rect 8116 4088 8168 4097 -rect 8300 4131 8352 4140 -rect 8300 4097 8309 4131 -rect 8309 4097 8343 4131 -rect 8343 4097 8352 4131 -rect 8300 4088 8352 4097 -rect 8484 4088 8536 4140 -rect 8760 4020 8812 4072 -rect 13728 4088 13780 4140 -rect 8392 3952 8444 4004 -rect 8024 3884 8076 3936 -rect 8944 3927 8996 3936 -rect 8944 3893 8953 3927 -rect 8953 3893 8987 3927 -rect 8987 3893 8996 3927 -rect 8944 3884 8996 3893 +rect 9220 4131 9272 4140 +rect 9220 4097 9229 4131 +rect 9229 4097 9263 4131 +rect 9263 4097 9272 4131 +rect 9220 4088 9272 4097 +rect 8392 4020 8444 4072 +rect 8208 3952 8260 4004 +rect 6000 3884 6052 3936 +rect 6828 3884 6880 3936 +rect 7472 3927 7524 3936 +rect 7472 3893 7481 3927 +rect 7481 3893 7515 3927 +rect 7515 3893 7524 3927 +rect 7472 3884 7524 3893 +rect 9312 3927 9364 3936 +rect 9312 3893 9321 3927 +rect 9321 3893 9355 3927 +rect 9355 3893 9364 3927 +rect 9312 3884 9364 3893 rect 7566 3782 7618 3834 rect 7630 3782 7682 3834 rect 7694 3782 7746 3834 @@ -5318,29 +5267,26 @@ rect 3608 3689 3617 3723 rect 3617 3689 3651 3723 rect 3651 3689 3660 3723 rect 3608 3680 3660 3689 +rect 4068 3680 4120 3732 rect 4344 3680 4396 3732 -rect 4620 3680 4672 3732 -rect 9496 3680 9548 3732 -rect 4252 3612 4304 3664 -rect 4804 3655 4856 3664 -rect 4804 3621 4813 3655 -rect 4813 3621 4847 3655 -rect 4847 3621 4856 3655 -rect 4804 3612 4856 3621 -rect 4988 3612 5040 3664 -rect 6092 3612 6144 3664 -rect 4160 3476 4212 3528 +rect 6092 3680 6144 3732 +rect 6276 3680 6328 3732 +rect 9864 3680 9916 3732 +rect 3424 3612 3476 3664 +rect 4160 3544 4212 3596 +rect 4896 3544 4948 3596 rect 4252 3519 4304 3528 rect 4252 3485 4261 3519 rect 4261 3485 4295 3519 rect 4295 3485 4304 3519 -rect 4528 3519 4580 3528 rect 4252 3476 4304 3485 +rect 4528 3519 4580 3528 rect 4528 3485 4537 3519 rect 4537 3485 4571 3519 rect 4571 3485 4580 3519 rect 4528 3476 4580 3485 -rect 4896 3476 4948 3528 +rect 4804 3476 4856 3528 +rect 7472 3544 7524 3596 rect 5540 3519 5592 3528 rect 5540 3485 5549 3519 rect 5549 3485 5583 3519 @@ -5352,24 +5298,19 @@ rect 6644 3485 6653 3519 rect 6653 3485 6687 3519 rect 6687 3485 6696 3519 rect 6644 3476 6696 3485 -rect 7104 3519 7156 3528 -rect 7104 3485 7113 3519 -rect 7113 3485 7147 3519 -rect 7147 3485 7156 3519 -rect 7104 3476 7156 3485 rect 9312 3476 9364 3528 rect 9404 3476 9456 3528 -rect 4804 3408 4856 3460 -rect 6184 3408 6236 3460 -rect 8024 3408 8076 3460 +rect 4620 3408 4672 3460 +rect 5816 3408 5868 3460 +rect 8300 3408 8352 3460 rect 3608 3340 3660 3392 -rect 4160 3383 4212 3392 -rect 4160 3349 4169 3383 -rect 4169 3349 4203 3383 -rect 4203 3349 4212 3383 -rect 4160 3340 4212 3349 -rect 4896 3340 4948 3392 -rect 5908 3340 5960 3392 +rect 4252 3340 4304 3392 +rect 4712 3383 4764 3392 +rect 4712 3349 4721 3383 +rect 4721 3349 4755 3383 +rect 4755 3349 4764 3383 +rect 4712 3340 4764 3349 +rect 6920 3340 6972 3392 rect 9312 3383 9364 3392 rect 9312 3349 9321 3383 rect 9321 3349 9355 3383 @@ -5380,45 +5321,45 @@ rect 5130 3238 5182 3290 rect 5194 3238 5246 3290 rect 5258 3238 5310 3290 rect 5322 3238 5374 3290 -rect 3516 3179 3568 3188 -rect 3516 3145 3525 3179 -rect 3525 3145 3559 3179 -rect 3559 3145 3568 3179 -rect 3516 3136 3568 3145 -rect 4068 3136 4120 3188 rect 4436 3136 4488 3188 -rect 6000 3136 6052 3188 -rect 6276 3136 6328 3188 -rect 5816 3068 5868 3120 -rect 6552 3111 6604 3120 -rect 6552 3077 6561 3111 -rect 6561 3077 6595 3111 -rect 6595 3077 6604 3111 -rect 6552 3068 6604 3077 -rect 8300 3136 8352 3188 -rect 9312 3136 9364 3188 -rect 6828 3068 6880 3120 -rect 8760 3111 8812 3120 -rect 8760 3077 8769 3111 -rect 8769 3077 8803 3111 -rect 8803 3077 8812 3111 -rect 8760 3068 8812 3077 +rect 4712 3136 4764 3188 +rect 4344 3068 4396 3120 rect 3608 3043 3660 3052 rect 3608 3009 3617 3043 rect 3617 3009 3651 3043 rect 3651 3009 3660 3043 rect 3608 3000 3660 3009 -rect 4896 3000 4948 3052 -rect 4988 2864 5040 2916 -rect 7012 2932 7064 2984 +rect 5540 3136 5592 3188 +rect 5724 3136 5776 3188 +rect 8300 3179 8352 3188 +rect 8300 3145 8309 3179 +rect 8309 3145 8343 3179 +rect 8343 3145 8352 3179 +rect 8300 3136 8352 3145 +rect 9312 3136 9364 3188 +rect 5908 3068 5960 3120 +rect 7288 3068 7340 3120 +rect 8484 3043 8536 3052 +rect 8484 3009 8493 3043 +rect 8493 3009 8527 3043 +rect 8527 3009 8536 3043 +rect 8484 3000 8536 3009 +rect 6184 2932 6236 2984 +rect 8392 2932 8444 2984 +rect 8760 2975 8812 2984 +rect 8760 2941 8769 2975 +rect 8769 2941 8803 2975 +rect 8803 2941 8812 2975 +rect 8760 2932 8812 2941 +rect 9220 2932 9272 2984 rect 9404 2975 9456 2984 rect 9404 2941 9413 2975 rect 9413 2941 9447 2975 rect 9447 2941 9456 2975 rect 9404 2932 9456 2941 -rect 13820 2864 13872 2916 -rect 16672 2864 16724 2916 -rect 5908 2796 5960 2848 +rect 3608 2864 3660 2916 +rect 4436 2796 4488 2848 +rect 4712 2796 4764 2848 rect 6368 2796 6420 2848 rect 7566 2694 7618 2746 rect 7630 2694 7682 2746 @@ -5426,19 +5367,36 @@ rect 7694 2694 7746 2746 rect 7758 2694 7810 2746 rect 7822 2694 7874 2746 rect 5448 2592 5500 2644 -rect 7288 2592 7340 2644 +rect 5632 2524 5684 2576 rect 9036 2592 9088 2644 -rect 4804 2456 4856 2508 -rect 3792 2388 3844 2440 +rect 8208 2524 8260 2576 +rect 3332 2499 3384 2508 +rect 3332 2465 3341 2499 +rect 3341 2465 3375 2499 +rect 3375 2465 3384 2499 +rect 3332 2456 3384 2465 +rect 5448 2456 5500 2508 +rect 8116 2456 8168 2508 +rect 5540 2431 5592 2440 +rect 5540 2397 5549 2431 +rect 5549 2397 5583 2431 +rect 5583 2397 5592 2431 +rect 5540 2388 5592 2397 rect 6092 2431 6144 2440 -rect 4804 2320 4856 2372 -rect 4988 2320 5040 2372 rect 6092 2397 6101 2431 rect 6101 2397 6135 2431 rect 6135 2397 6144 2431 rect 6092 2388 6144 2397 -rect 8392 2388 8444 2440 -rect 8760 2388 8812 2440 +rect 3608 2363 3660 2372 +rect 3608 2329 3617 2363 +rect 3617 2329 3651 2363 +rect 3651 2329 3660 2363 +rect 3608 2320 3660 2329 +rect 3700 2320 3752 2372 +rect 4068 2320 4120 2372 +rect 4252 2252 4304 2304 +rect 7472 2320 7524 2372 +rect 7932 2388 7984 2440 rect 9220 2431 9272 2440 rect 9220 2397 9229 2431 rect 9229 2397 9263 2431 @@ -5449,77 +5407,100 @@ rect 9496 2397 9505 2431 rect 9505 2397 9539 2431 rect 9539 2397 9548 2431 rect 9496 2388 9548 2397 -rect 16580 2388 16632 2440 -rect 4160 2252 4212 2304 rect 9312 2295 9364 2304 rect 9312 2261 9321 2295 rect 9321 2261 9355 2295 rect 9355 2261 9364 2295 rect 9312 2252 9364 2261 -rect 13820 2252 13872 2304 -rect 16672 2252 16724 2304 rect 5066 2150 5118 2202 rect 5130 2150 5182 2202 rect 5194 2150 5246 2202 rect 5258 2150 5310 2202 rect 5322 2150 5374 2202 -rect 4896 2048 4948 2100 -rect 5816 1980 5868 2032 -rect 4252 1955 4304 1964 -rect 4252 1921 4261 1955 -rect 4261 1921 4295 1955 -rect 4295 1921 4304 1955 -rect 4252 1912 4304 1921 -rect 6828 2048 6880 2100 -rect 9220 2048 9272 2100 -rect 8944 1912 8996 1964 -rect 5264 1844 5316 1896 +rect 4068 2091 4120 2100 +rect 4068 2057 4077 2091 +rect 4077 2057 4111 2091 +rect 4111 2057 4120 2091 +rect 4068 2048 4120 2057 +rect 7932 2091 7984 2100 +rect 3332 1912 3384 1964 +rect 4620 1980 4672 2032 +rect 7932 2057 7941 2091 +rect 7941 2057 7975 2091 +rect 7975 2057 7984 2091 +rect 7932 2048 7984 2057 +rect 7196 1980 7248 2032 +rect 6184 1955 6236 1964 +rect 4068 1844 4120 1896 +rect 6184 1921 6193 1955 +rect 6193 1921 6227 1955 +rect 6227 1921 6236 1955 +rect 6184 1912 6236 1921 +rect 8392 1912 8444 1964 +rect 5908 1844 5960 1896 +rect 6092 1844 6144 1896 rect 6460 1887 6512 1896 rect 6460 1853 6469 1887 rect 6469 1853 6503 1887 rect 6503 1853 6512 1887 rect 6460 1844 6512 1853 -rect 7104 1844 7156 1896 -rect 6920 1708 6972 1760 -rect 8760 1708 8812 1760 +rect 6092 1708 6144 1760 +rect 9036 1708 9088 1760 rect 7566 1606 7618 1658 rect 7630 1606 7682 1658 rect 7694 1606 7746 1658 rect 7758 1606 7810 1658 rect 7822 1606 7874 1658 rect 4804 1504 4856 1556 -rect 5080 1547 5132 1556 -rect 5080 1513 5089 1547 -rect 5089 1513 5123 1547 -rect 5123 1513 5132 1547 -rect 5080 1504 5132 1513 -rect 6092 1547 6144 1556 -rect 6092 1513 6101 1547 -rect 6101 1513 6135 1547 -rect 6135 1513 6144 1547 -rect 6092 1504 6144 1513 -rect 3700 1368 3752 1420 +rect 5908 1547 5960 1556 +rect 5908 1513 5917 1547 +rect 5917 1513 5951 1547 +rect 5951 1513 5960 1547 +rect 5908 1504 5960 1513 +rect 6460 1504 6512 1556 +rect 7472 1504 7524 1556 +rect 9220 1504 9272 1556 +rect 7288 1436 7340 1488 +rect 3332 1411 3384 1420 +rect 3332 1377 3341 1411 +rect 3341 1377 3375 1411 +rect 3375 1377 3384 1411 +rect 3332 1368 3384 1377 +rect 3976 1368 4028 1420 +rect 4896 1300 4948 1352 +rect 5448 1343 5500 1352 +rect 5448 1309 5457 1343 +rect 5457 1309 5491 1343 +rect 5491 1309 5500 1343 +rect 6092 1343 6144 1352 +rect 5448 1300 5500 1309 +rect 6092 1309 6101 1343 +rect 6101 1309 6135 1343 +rect 6135 1309 6144 1343 +rect 6092 1300 6144 1309 +rect 6736 1300 6788 1352 rect 9312 1368 9364 1420 -rect 5816 1343 5868 1352 -rect 5816 1309 5825 1343 -rect 5825 1309 5859 1343 -rect 5859 1309 5868 1343 -rect 5816 1300 5868 1309 -rect 6460 1300 6512 1352 -rect 8300 1343 8352 1352 -rect 5080 1232 5132 1284 -rect 8300 1309 8309 1343 -rect 8309 1309 8343 1343 -rect 8343 1309 8352 1343 -rect 8300 1300 8352 1309 -rect 4252 1164 4304 1216 -rect 6000 1164 6052 1216 -rect 8208 1164 8260 1216 +rect 16580 1368 16632 1420 +rect 4068 1232 4120 1284 +rect 6828 1207 6880 1216 +rect 6828 1173 6837 1207 +rect 6837 1173 6871 1207 +rect 6871 1173 6880 1207 +rect 6828 1164 6880 1173 +rect 8668 1300 8720 1352 +rect 9036 1343 9088 1352 +rect 9036 1309 9045 1343 +rect 9045 1309 9079 1343 +rect 9079 1309 9088 1343 +rect 9036 1300 9088 1309 +rect 8760 1232 8812 1284 rect 5066 1062 5118 1114 rect 5130 1062 5182 1114 rect 5194 1062 5246 1114 rect 5258 1062 5310 1114 rect 5322 1062 5374 1114 +rect 3608 960 3660 1012 +rect 6828 960 6880 1012 << metal2 >> rect 938 12322 994 13000 rect 1398 12322 1454 13000 @@ -5535,31 +5516,32 @@ rect 1320 10934 1440 10962 rect 1412 10810 1440 10934 rect 1400 10804 1452 10810 rect 1400 10746 1452 10752 -rect 1412 10130 1440 10746 -rect 1400 10124 1452 10130 -rect 1400 10066 1452 10072 -rect 1400 9444 1452 9450 -rect 1400 9386 1452 9392 +rect 1308 10056 1360 10062 +rect 1308 9998 1360 10004 +rect 1320 9722 1348 9998 +rect 1308 9716 1360 9722 +rect 1308 9658 1360 9664 rect 1308 8968 1360 8974 rect 1308 8910 1360 8916 rect 1320 7546 1348 8910 -rect 1412 8634 1440 9386 -rect 1504 8906 1532 12294 +rect 1504 8090 1532 12294 rect 1858 12200 1914 13000 -rect 2318 12200 2374 13000 +rect 2318 12322 2374 13000 rect 2778 12322 2834 13000 -rect 2700 12294 2834 12322 +rect 2318 12294 2452 12322 +rect 2318 12200 2374 12294 +rect 1676 11348 1728 11354 +rect 1676 11290 1728 11296 +rect 1584 10668 1636 10674 +rect 1584 10610 1636 10616 +rect 1596 10130 1624 10610 +rect 1584 10124 1636 10130 +rect 1584 10066 1636 10072 +rect 1688 9382 1716 11290 rect 1872 11286 1900 12200 -rect 2332 11354 2360 12200 -rect 2700 11540 2728 12294 +rect 2424 11354 2452 12294 +rect 2778 12294 3096 12322 rect 2778 12200 2834 12294 -rect 3238 12200 3294 13000 -rect 3698 12200 3754 13000 -rect 4158 12200 4214 13000 -rect 4618 12200 4674 13000 -rect 5078 12322 5134 13000 -rect 5000 12294 5134 12322 -rect 2700 11512 3096 11540 rect 2566 11452 2874 11472 rect 2566 11450 2572 11452 rect 2628 11450 2652 11452 @@ -5574,72 +5556,47 @@ rect 2708 11396 2732 11398 rect 2788 11396 2812 11398 rect 2868 11396 2874 11398 rect 2566 11376 2874 11396 -rect 2320 11348 2372 11354 -rect 2320 11290 2372 11296 +rect 2136 11348 2188 11354 +rect 2136 11290 2188 11296 +rect 2412 11348 2464 11354 +rect 2412 11290 2464 11296 rect 1860 11280 1912 11286 rect 1860 11222 1912 11228 -rect 1860 11144 1912 11150 -rect 1858 11112 1860 11121 -rect 2136 11144 2188 11150 -rect 1912 11112 1914 11121 -rect 2136 11086 2188 11092 -rect 2320 11144 2372 11150 -rect 2320 11086 2372 11092 -rect 1858 11047 1914 11056 +rect 1768 11144 1820 11150 +rect 1768 11086 1820 11092 +rect 1780 10538 1808 11086 rect 2044 11008 2096 11014 rect 2044 10950 2096 10956 rect 2056 10674 2084 10950 -rect 1584 10668 1636 10674 -rect 1584 10610 1636 10616 +rect 2148 10810 2176 11290 +rect 2412 11212 2464 11218 +rect 2412 11154 2464 11160 +rect 2228 11144 2280 11150 +rect 2228 11086 2280 11092 +rect 2136 10804 2188 10810 +rect 2136 10746 2188 10752 rect 2044 10668 2096 10674 rect 2044 10610 2096 10616 -rect 1596 10198 1624 10610 -rect 2148 10554 2176 11086 -rect 2148 10526 2268 10554 -rect 2240 10470 2268 10526 -rect 2228 10464 2280 10470 -rect 2228 10406 2280 10412 -rect 1584 10192 1636 10198 -rect 1584 10134 1636 10140 -rect 1768 10056 1820 10062 -rect 1768 9998 1820 10004 -rect 1676 9580 1728 9586 -rect 1676 9522 1728 9528 -rect 1584 9104 1636 9110 -rect 1584 9046 1636 9052 -rect 1492 8900 1544 8906 -rect 1492 8842 1544 8848 -rect 1596 8634 1624 9046 -rect 1400 8628 1452 8634 -rect 1400 8570 1452 8576 -rect 1584 8628 1636 8634 -rect 1584 8570 1636 8576 -rect 1400 7948 1452 7954 -rect 1400 7890 1452 7896 -rect 1308 7540 1360 7546 -rect 1308 7482 1360 7488 -rect 1320 7002 1348 7482 -rect 1412 7206 1440 7890 -rect 1492 7880 1544 7886 -rect 1492 7822 1544 7828 -rect 1504 7546 1532 7822 -rect 1492 7540 1544 7546 -rect 1492 7482 1544 7488 -rect 1688 7410 1716 9522 -rect 1780 9518 1808 9998 -rect 1768 9512 1820 9518 -rect 1768 9454 1820 9460 -rect 1780 7818 1808 9454 -rect 2240 8974 2268 10406 -rect 2332 10130 2360 11086 +rect 2136 10600 2188 10606 +rect 2136 10542 2188 10548 +rect 1768 10532 1820 10538 +rect 1768 10474 1820 10480 +rect 1676 9376 1728 9382 +rect 1676 9318 1728 9324 +rect 1780 9042 1808 10474 +rect 2148 9926 2176 10542 +rect 2240 10266 2268 11086 +rect 2320 11076 2372 11082 +rect 2320 11018 2372 11024 +rect 2228 10260 2280 10266 +rect 2228 10202 2280 10208 +rect 2332 10062 2360 11018 +rect 2424 10146 2452 11154 rect 2596 11008 2648 11014 rect 2596 10950 2648 10956 rect 2608 10742 2636 10950 rect 2596 10736 2648 10742 rect 2596 10678 2648 10684 -rect 2412 10600 2464 10606 -rect 2412 10542 2464 10548 -rect 2424 10266 2452 10542 rect 2566 10364 2874 10384 rect 2566 10362 2572 10364 rect 2628 10362 2652 10364 @@ -5654,12 +5611,59 @@ rect 2708 10308 2732 10310 rect 2788 10308 2812 10310 rect 2868 10308 2874 10310 rect 2566 10288 2874 10308 -rect 2412 10260 2464 10266 -rect 2412 10202 2464 10208 -rect 2320 10124 2372 10130 -rect 2320 10066 2372 10072 +rect 2424 10118 2820 10146 +rect 2320 10056 2372 10062 +rect 2792 10033 2820 10118 +rect 2320 9998 2372 10004 +rect 2778 10024 2834 10033 +rect 2778 9959 2834 9968 rect 2964 9988 3016 9994 +rect 1860 9920 1912 9926 +rect 1860 9862 1912 9868 +rect 2136 9920 2188 9926 +rect 2136 9862 2188 9868 +rect 1768 9036 1820 9042 +rect 1768 8978 1820 8984 +rect 1768 8900 1820 8906 +rect 1768 8842 1820 8848 +rect 1780 8634 1808 8842 +rect 1768 8628 1820 8634 +rect 1768 8570 1820 8576 +rect 1492 8084 1544 8090 +rect 1492 8026 1544 8032 +rect 1872 7954 1900 9862 +rect 2792 9654 2820 9959 rect 2964 9930 3016 9936 +rect 2320 9648 2372 9654 +rect 2320 9590 2372 9596 +rect 2780 9648 2832 9654 +rect 2780 9590 2832 9596 +rect 2332 9382 2360 9590 +rect 2320 9376 2372 9382 +rect 2320 9318 2372 9324 +rect 2228 8832 2280 8838 +rect 2228 8774 2280 8780 +rect 2240 8430 2268 8774 +rect 2228 8424 2280 8430 +rect 2228 8366 2280 8372 +rect 1584 7948 1636 7954 +rect 1584 7890 1636 7896 +rect 1860 7948 1912 7954 +rect 1860 7890 1912 7896 +rect 1492 7880 1544 7886 +rect 1492 7822 1544 7828 +rect 1504 7546 1532 7822 +rect 1308 7540 1360 7546 +rect 1308 7482 1360 7488 +rect 1492 7540 1544 7546 +rect 1492 7482 1544 7488 +rect 1492 7336 1544 7342 +rect 1596 7324 1624 7890 +rect 1544 7296 1624 7324 +rect 1492 7278 1544 7284 +rect 1504 6866 1532 7278 +rect 2240 7002 2268 8366 +rect 2332 7954 2360 9318 rect 2566 9276 2874 9296 rect 2566 9274 2572 9276 rect 2628 9274 2652 9276 @@ -5674,64 +5678,24 @@ rect 2708 9220 2732 9222 rect 2788 9220 2812 9222 rect 2868 9220 2874 9222 rect 2566 9200 2874 9220 -rect 2228 8968 2280 8974 -rect 2228 8910 2280 8916 -rect 2412 8900 2464 8906 -rect 2412 8842 2464 8848 -rect 2872 8900 2924 8906 -rect 2976 8888 3004 9930 -rect 3068 9042 3096 11512 -rect 3252 11336 3280 12200 -rect 3252 11308 3464 11336 -rect 3332 11212 3384 11218 -rect 3332 11154 3384 11160 -rect 3240 9580 3292 9586 -rect 3240 9522 3292 9528 -rect 3148 9512 3200 9518 -rect 3148 9454 3200 9460 -rect 3056 9036 3108 9042 -rect 3056 8978 3108 8984 -rect 2924 8860 3004 8888 -rect 2872 8842 2924 8848 -rect 2228 8832 2280 8838 -rect 2228 8774 2280 8780 -rect 2240 8498 2268 8774 -rect 2228 8492 2280 8498 -rect 2228 8434 2280 8440 -rect 1768 7812 1820 7818 -rect 1768 7754 1820 7760 -rect 1676 7404 1728 7410 -rect 1676 7346 1728 7352 -rect 1400 7200 1452 7206 -rect 1400 7142 1452 7148 -rect 1308 6996 1360 7002 -rect 1308 6938 1360 6944 -rect 1412 6866 1440 7142 -rect 1688 6882 1716 7346 -rect 1688 6866 1808 6882 -rect 1400 6860 1452 6866 -rect 1400 6802 1452 6808 -rect 1688 6860 1820 6866 -rect 1688 6854 1768 6860 -rect 1688 6730 1716 6854 -rect 1768 6802 1820 6808 -rect 1676 6724 1728 6730 -rect 1676 6666 1728 6672 -rect 1688 6458 1716 6666 -rect 2424 6458 2452 8842 -rect 2884 8430 2912 8842 -rect 3056 8832 3108 8838 -rect 3056 8774 3108 8780 -rect 3068 8634 3096 8774 -rect 3056 8628 3108 8634 -rect 3056 8570 3108 8576 -rect 3160 8514 3188 9454 -rect 3252 9178 3280 9522 -rect 3240 9172 3292 9178 -rect 3240 9114 3292 9120 -rect 3240 8900 3292 8906 -rect 3344 8888 3372 11154 -rect 3436 9674 3464 11308 +rect 2976 9058 3004 9930 +rect 2884 9030 3004 9058 +rect 2884 8906 2912 9030 +rect 3068 8922 3096 12294 +rect 3238 12200 3294 13000 +rect 3698 12200 3754 13000 +rect 4158 12200 4214 13000 +rect 4618 12200 4674 13000 +rect 5078 12200 5134 13000 +rect 5538 12200 5594 13000 +rect 5998 12200 6054 13000 +rect 6458 12200 6514 13000 +rect 13818 12336 13874 12345 +rect 13818 12271 13874 12280 +rect 3148 11008 3200 11014 +rect 3148 10950 3200 10956 +rect 3160 9058 3188 10950 +rect 3252 9738 3280 12200 rect 3608 11280 3660 11286 rect 3608 11222 3660 11228 rect 3620 11014 3648 11222 @@ -5745,16 +5709,53 @@ rect 3516 10610 3568 10616 rect 3620 10062 3648 10950 rect 3608 10056 3660 10062 rect 3608 9998 3660 10004 -rect 3436 9646 3648 9674 -rect 3516 9512 3568 9518 -rect 3516 9454 3568 9460 -rect 3424 9376 3476 9382 -rect 3424 9318 3476 9324 -rect 3292 8860 3372 8888 +rect 3252 9710 3372 9738 +rect 3240 9580 3292 9586 +rect 3240 9522 3292 9528 +rect 3252 9178 3280 9522 +rect 3240 9172 3292 9178 +rect 3240 9114 3292 9120 +rect 3160 9030 3280 9058 +rect 2872 8900 2924 8906 +rect 2872 8842 2924 8848 +rect 2976 8894 3188 8922 +rect 3252 8906 3280 9030 +rect 2976 8514 3004 8894 +rect 3056 8832 3108 8838 +rect 3056 8774 3108 8780 +rect 3068 8634 3096 8774 +rect 3160 8634 3188 8894 +rect 3240 8900 3292 8906 rect 3240 8842 3292 8848 -rect 3068 8486 3188 8514 +rect 3056 8628 3108 8634 +rect 3056 8570 3108 8576 +rect 3148 8628 3200 8634 +rect 3148 8570 3200 8576 +rect 2884 8486 3004 8514 +rect 2884 8430 2912 8486 rect 2872 8424 2924 8430 rect 2872 8366 2924 8372 +rect 2412 8288 2464 8294 +rect 2412 8230 2464 8236 +rect 2320 7948 2372 7954 +rect 2320 7890 2372 7896 +rect 2332 7478 2360 7890 +rect 2320 7472 2372 7478 +rect 2320 7414 2372 7420 +rect 2228 6996 2280 7002 +rect 2228 6938 2280 6944 +rect 1492 6860 1544 6866 +rect 1492 6802 1544 6808 +rect 1492 6656 1544 6662 +rect 1492 6598 1544 6604 +rect 1504 6458 1532 6598 +rect 1492 6452 1544 6458 +rect 1492 6394 1544 6400 +rect 1504 5914 1532 6394 +rect 1676 6248 1728 6254 +rect 1676 6190 1728 6196 +rect 1688 5914 1716 6190 +rect 2424 6118 2452 8230 rect 2566 8188 2874 8208 rect 2566 8186 2572 8188 rect 2628 8186 2652 8188 @@ -5769,19 +5770,12 @@ rect 2708 8132 2732 8134 rect 2788 8132 2812 8134 rect 2868 8132 2874 8134 rect 2566 8112 2874 8132 -rect 3068 8022 3096 8486 -rect 3056 8016 3108 8022 -rect 3056 7958 3108 7964 -rect 2872 7948 2924 7954 -rect 2872 7890 2924 7896 -rect 2884 7478 2912 7890 -rect 2872 7472 2924 7478 -rect 2872 7414 2924 7420 -rect 3068 7410 3096 7958 -rect 3148 7812 3200 7818 -rect 3148 7754 3200 7760 -rect 3056 7404 3108 7410 -rect 3056 7346 3108 7352 +rect 3148 7880 3200 7886 +rect 3068 7840 3148 7868 +rect 2780 7472 2832 7478 +rect 2778 7440 2780 7449 +rect 2832 7440 2834 7449 +rect 2778 7375 2834 7384 rect 2566 7100 2874 7120 rect 2566 7098 2572 7100 rect 2628 7098 2652 7100 @@ -5796,34 +5790,33 @@ rect 2708 7044 2732 7046 rect 2788 7044 2812 7046 rect 2868 7044 2874 7046 rect 2566 7024 2874 7044 -rect 3160 6730 3188 7754 -rect 3344 7290 3372 8860 -rect 3436 8498 3464 9318 -rect 3528 9178 3556 9454 -rect 3516 9172 3568 9178 -rect 3516 9114 3568 9120 -rect 3620 8922 3648 9646 -rect 3528 8894 3648 8922 -rect 3424 8492 3476 8498 -rect 3424 8434 3476 8440 -rect 3424 7744 3476 7750 -rect 3424 7686 3476 7692 -rect 3436 7410 3464 7686 -rect 3424 7404 3476 7410 -rect 3424 7346 3476 7352 -rect 3344 7262 3464 7290 -rect 3332 7200 3384 7206 -rect 3332 7142 3384 7148 -rect 3148 6724 3200 6730 -rect 3148 6666 3200 6672 -rect 1676 6452 1728 6458 -rect 1676 6394 1728 6400 -rect 2412 6452 2464 6458 -rect 2412 6394 2464 6400 -rect 1688 5914 1716 6394 -rect 2320 6316 2372 6322 -rect 2320 6258 2372 6264 -rect 2332 5930 2360 6258 +rect 3068 6730 3096 7840 +rect 3148 7822 3200 7828 +rect 3148 7744 3200 7750 +rect 3148 7686 3200 7692 +rect 3160 7478 3188 7686 +rect 3148 7472 3200 7478 +rect 3148 7414 3200 7420 +rect 3148 6792 3200 6798 +rect 3148 6734 3200 6740 +rect 3056 6724 3108 6730 +rect 3056 6666 3108 6672 +rect 2964 6316 3016 6322 +rect 2964 6258 3016 6264 +rect 2688 6248 2740 6254 +rect 2686 6216 2688 6225 +rect 2740 6216 2742 6225 +rect 2686 6151 2742 6160 +rect 2412 6112 2464 6118 +rect 2412 6054 2464 6060 +rect 1492 5908 1544 5914 +rect 1492 5850 1544 5856 +rect 1676 5908 1728 5914 +rect 1676 5850 1728 5856 +rect 1504 5642 1532 5850 +rect 1492 5636 1544 5642 +rect 1492 5578 1544 5584 +rect 2424 5370 2452 6054 rect 2566 6012 2874 6032 rect 2566 6010 2572 6012 rect 2628 6010 2652 6012 @@ -5838,57 +5831,49 @@ rect 2708 5956 2732 5958 rect 2788 5956 2812 5958 rect 2868 5956 2874 5958 rect 2566 5936 2874 5956 -rect 2332 5914 2452 5930 -rect 1676 5908 1728 5914 -rect 2332 5908 2464 5914 -rect 2332 5902 2412 5908 -rect 1676 5850 1728 5856 -rect 2412 5850 2464 5856 -rect 1688 5710 1716 5850 -rect 1676 5704 1728 5710 -rect 1676 5646 1728 5652 -rect 3160 5234 3188 6666 -rect 3240 6656 3292 6662 -rect 3240 6598 3292 6604 -rect 3252 5642 3280 6598 -rect 3344 6322 3372 7142 -rect 3332 6316 3384 6322 -rect 3332 6258 3384 6264 -rect 3240 5636 3292 5642 -rect 3240 5578 3292 5584 -rect 3436 5370 3464 7262 -rect 3424 5364 3476 5370 -rect 3424 5306 3476 5312 -rect 3148 5228 3200 5234 -rect 3148 5170 3200 5176 -rect 3160 4214 3188 5170 -rect 3436 4826 3464 5306 -rect 3424 4820 3476 4826 -rect 3424 4762 3476 4768 -rect 3528 4622 3556 8894 -rect 3608 8832 3660 8838 -rect 3608 8774 3660 8780 -rect 3620 8566 3648 8774 -rect 3608 8560 3660 8566 -rect 3608 8502 3660 8508 -rect 3712 5794 3740 12200 -rect 4172 11558 4200 12200 -rect 4160 11552 4212 11558 -rect 4160 11494 4212 11500 +rect 2976 5914 3004 6258 +rect 3056 6112 3108 6118 +rect 3056 6054 3108 6060 +rect 2964 5908 3016 5914 +rect 2964 5850 3016 5856 +rect 2780 5568 2832 5574 +rect 2780 5510 2832 5516 +rect 2412 5364 2464 5370 +rect 2412 5306 2464 5312 +rect 2792 3505 2820 5510 +rect 3068 5234 3096 6054 +rect 3160 5642 3188 6734 +rect 3252 6202 3280 8842 +rect 3344 7290 3372 9710 +rect 3516 9512 3568 9518 +rect 3516 9454 3568 9460 +rect 3424 9376 3476 9382 +rect 3424 9318 3476 9324 +rect 3436 8498 3464 9318 +rect 3528 9178 3556 9454 +rect 3516 9172 3568 9178 +rect 3516 9114 3568 9120 +rect 3712 8838 3740 12200 +rect 4172 10418 4200 12200 +rect 4632 11354 4660 12200 +rect 4620 11348 4672 11354 +rect 4620 11290 4672 11296 +rect 5092 11286 5120 12200 rect 4436 11280 4488 11286 rect 4436 11222 4488 11228 -rect 4528 11280 4580 11286 -rect 4528 11222 4580 11228 -rect 4344 11212 4396 11218 -rect 4344 11154 4396 11160 +rect 5080 11280 5132 11286 +rect 5080 11222 5132 11228 rect 4252 11144 4304 11150 rect 4252 11086 4304 11092 -rect 4264 10810 4292 11086 -rect 4252 10804 4304 10810 -rect 4252 10746 4304 10752 -rect 4356 10674 4384 11154 +rect 4264 10606 4292 11086 +rect 4344 11076 4396 11082 +rect 4344 11018 4396 11024 +rect 4356 10674 4384 11018 rect 4344 10668 4396 10674 rect 4344 10610 4396 10616 +rect 4252 10600 4304 10606 +rect 4252 10542 4304 10548 +rect 4172 10390 4292 10418 rect 4160 10260 4212 10266 rect 4160 10202 4212 10208 rect 3792 9920 3844 9926 @@ -5898,92 +5883,83 @@ rect 4068 9512 4120 9518 rect 4068 9454 4120 9460 rect 3792 8968 3844 8974 rect 3792 8910 3844 8916 -rect 3976 8900 4028 8906 -rect 3976 8842 4028 8848 -rect 3884 7540 3936 7546 -rect 3884 7482 3936 7488 -rect 3896 7342 3924 7482 -rect 3884 7336 3936 7342 -rect 3884 7278 3936 7284 -rect 3620 5766 3740 5794 -rect 3620 4758 3648 5766 -rect 3700 5704 3752 5710 -rect 3700 5646 3752 5652 -rect 3792 5704 3844 5710 -rect 3792 5646 3844 5652 -rect 3712 5574 3740 5646 -rect 3700 5568 3752 5574 -rect 3700 5510 3752 5516 -rect 3608 4752 3660 4758 -rect 3608 4694 3660 4700 -rect 3516 4616 3568 4622 -rect 3516 4558 3568 4564 -rect 3528 4282 3556 4558 -rect 3516 4276 3568 4282 -rect 3516 4218 3568 4224 -rect 3148 4208 3200 4214 -rect 3148 4150 3200 4156 -rect 2688 4140 2740 4146 -rect 2688 4082 2740 4088 -rect 2700 3445 2728 4082 -rect 3620 4078 3648 4694 -rect 3608 4072 3660 4078 -rect 3608 4014 3660 4020 -rect 3516 4004 3568 4010 -rect 3516 3946 3568 3952 -rect 2686 3436 2742 3445 -rect 2686 3371 2742 3380 -rect 3528 3194 3556 3946 -rect 3620 3738 3648 4014 -rect 3608 3732 3660 3738 -rect 3608 3674 3660 3680 -rect 3608 3392 3660 3398 -rect 3608 3334 3660 3340 -rect 3516 3188 3568 3194 -rect 3516 3130 3568 3136 -rect 3620 3058 3648 3334 -rect 3608 3052 3660 3058 -rect 3608 2994 3660 3000 -rect 3712 1426 3740 5510 -rect 3804 4146 3832 5646 -rect 3988 5234 4016 8842 +rect 3608 8832 3660 8838 +rect 3608 8774 3660 8780 +rect 3700 8832 3752 8838 +rect 3700 8774 3752 8780 +rect 3620 8566 3648 8774 +rect 3700 8628 3752 8634 +rect 3700 8570 3752 8576 +rect 3608 8560 3660 8566 +rect 3608 8502 3660 8508 +rect 3424 8492 3476 8498 +rect 3424 8434 3476 8440 +rect 3344 7262 3648 7290 +rect 3332 7200 3384 7206 +rect 3332 7142 3384 7148 +rect 3344 6322 3372 7142 +rect 3516 6656 3568 6662 +rect 3516 6598 3568 6604 +rect 3332 6316 3384 6322 +rect 3332 6258 3384 6264 +rect 3252 6174 3372 6202 +rect 3240 6112 3292 6118 +rect 3240 6054 3292 6060 +rect 3148 5636 3200 5642 +rect 3148 5578 3200 5584 +rect 3252 5302 3280 6054 +rect 3240 5296 3292 5302 +rect 3240 5238 3292 5244 +rect 3056 5228 3108 5234 +rect 3056 5170 3108 5176 +rect 3344 4826 3372 6174 +rect 3424 5704 3476 5710 +rect 3424 5646 3476 5652 +rect 3332 4820 3384 4826 +rect 3332 4762 3384 4768 +rect 3436 3670 3464 5646 +rect 3528 4622 3556 6598 +rect 3620 4622 3648 7262 +rect 3712 5846 3740 8570 +rect 3884 7744 3936 7750 +rect 3884 7686 3936 7692 +rect 3896 7449 3924 7686 +rect 3882 7440 3938 7449 +rect 3882 7375 3884 7384 +rect 3936 7375 3938 7384 +rect 3884 7346 3936 7352 +rect 3896 7315 3924 7346 rect 4080 6866 4108 9454 rect 4172 9178 4200 10202 +rect 4160 9172 4212 9178 +rect 4160 9114 4212 9120 +rect 4264 8566 4292 10390 rect 4448 9654 4476 11222 +rect 4528 11212 4580 11218 +rect 4528 11154 4580 11160 rect 4436 9648 4488 9654 rect 4436 9590 4488 9596 -rect 4540 9178 4568 11222 -rect 4632 10606 4660 12200 -rect 4896 11552 4948 11558 -rect 4896 11494 4948 11500 -rect 4804 11076 4856 11082 -rect 4804 11018 4856 11024 -rect 4620 10600 4672 10606 -rect 4620 10542 4672 10548 -rect 4712 10464 4764 10470 -rect 4712 10406 4764 10412 -rect 4724 10266 4752 10406 -rect 4712 10260 4764 10266 -rect 4712 10202 4764 10208 -rect 4816 9586 4844 11018 -rect 4908 10248 4936 11494 -rect 5000 11218 5028 12294 -rect 5078 12200 5134 12294 -rect 5538 12200 5594 13000 -rect 5998 12322 6054 13000 -rect 5736 12294 6054 12322 -rect 5552 11354 5580 12200 -rect 5540 11348 5592 11354 -rect 5540 11290 5592 11296 -rect 4988 11212 5040 11218 -rect 4988 11154 5040 11160 -rect 5540 11212 5592 11218 -rect 5592 11172 5672 11200 -rect 5540 11154 5592 11160 -rect 5000 11082 5028 11154 -rect 4988 11076 5040 11082 -rect 4988 11018 5040 11024 -rect 5000 10810 5028 11018 +rect 4436 9376 4488 9382 +rect 4436 9318 4488 9324 +rect 4448 9110 4476 9318 +rect 4540 9178 4568 11154 +rect 5092 11082 5120 11222 +rect 5080 11076 5132 11082 +rect 5000 11036 5080 11064 +rect 4896 11008 4948 11014 +rect 4896 10950 4948 10956 +rect 4620 10464 4672 10470 +rect 4620 10406 4672 10412 +rect 4632 10266 4660 10406 +rect 4620 10260 4672 10266 +rect 4620 10202 4672 10208 +rect 4908 9450 4936 10950 +rect 5000 10674 5028 11036 +rect 5552 11064 5580 12200 +rect 5724 11076 5776 11082 +rect 5552 11036 5724 11064 +rect 5080 11018 5132 11024 +rect 5724 11018 5776 11024 rect 5448 11008 5500 11014 rect 5448 10950 5500 10956 rect 5066 10908 5374 10928 @@ -6000,100 +5976,8 @@ rect 5208 10852 5232 10854 rect 5288 10852 5312 10854 rect 5368 10852 5374 10854 rect 5066 10832 5374 10852 -rect 4988 10804 5040 10810 -rect 4988 10746 5040 10752 -rect 5264 10736 5316 10742 -rect 5264 10678 5316 10684 -rect 5276 10606 5304 10678 -rect 5264 10600 5316 10606 -rect 5264 10542 5316 10548 -rect 4908 10220 5028 10248 -rect 4896 9988 4948 9994 -rect 4896 9930 4948 9936 -rect 4804 9580 4856 9586 -rect 4804 9522 4856 9528 -rect 4160 9172 4212 9178 -rect 4160 9114 4212 9120 -rect 4528 9172 4580 9178 -rect 4528 9114 4580 9120 -rect 4252 9036 4304 9042 -rect 4252 8978 4304 8984 -rect 4264 8566 4292 8978 -rect 4252 8560 4304 8566 -rect 4252 8502 4304 8508 -rect 4436 8492 4488 8498 -rect 4436 8434 4488 8440 -rect 4448 8090 4476 8434 -rect 4436 8084 4488 8090 -rect 4436 8026 4488 8032 -rect 4434 7984 4490 7993 -rect 4434 7919 4490 7928 -rect 4344 7744 4396 7750 -rect 4344 7686 4396 7692 -rect 4068 6860 4120 6866 -rect 4068 6802 4120 6808 -rect 4160 6384 4212 6390 -rect 4160 6326 4212 6332 -rect 4172 5302 4200 6326 -rect 4160 5296 4212 5302 -rect 4356 5250 4384 7686 -rect 4160 5238 4212 5244 -rect 3976 5228 4028 5234 -rect 3976 5170 4028 5176 -rect 4264 5222 4384 5250 -rect 4448 5234 4476 7919 -rect 4540 7342 4568 9114 -rect 4712 9104 4764 9110 -rect 4712 9046 4764 9052 -rect 4620 8288 4672 8294 -rect 4620 8230 4672 8236 -rect 4632 7546 4660 8230 -rect 4620 7540 4672 7546 -rect 4620 7482 4672 7488 -rect 4528 7336 4580 7342 -rect 4528 7278 4580 7284 -rect 4436 5228 4488 5234 -rect 4264 5166 4292 5222 -rect 4436 5170 4488 5176 -rect 4252 5160 4304 5166 -rect 4252 5102 4304 5108 -rect 4160 5092 4212 5098 -rect 4160 5034 4212 5040 -rect 4172 4690 4200 5034 -rect 4344 5024 4396 5030 -rect 4344 4966 4396 4972 -rect 4160 4684 4212 4690 -rect 4160 4626 4212 4632 -rect 4068 4480 4120 4486 -rect 4068 4422 4120 4428 -rect 3884 4208 3936 4214 -rect 3884 4150 3936 4156 -rect 3792 4140 3844 4146 -rect 3792 4082 3844 4088 -rect 3896 2774 3924 4150 -rect 4080 4146 4108 4422 -rect 4068 4140 4120 4146 -rect 4068 4082 4120 4088 -rect 4068 3936 4120 3942 -rect 4068 3878 4120 3884 -rect 4080 3194 4108 3878 -rect 4172 3534 4200 4626 -rect 4252 4480 4304 4486 -rect 4252 4422 4304 4428 -rect 4264 4282 4292 4422 -rect 4252 4276 4304 4282 -rect 4252 4218 4304 4224 -rect 4356 4026 4384 4966 -rect 4264 3998 4384 4026 -rect 4264 3670 4292 3998 -rect 4344 3732 4396 3738 -rect 4448 3720 4476 5170 -rect 4724 5114 4752 9046 -rect 4816 8566 4844 9522 -rect 4908 9178 4936 9930 -rect 4896 9172 4948 9178 -rect 4896 9114 4948 9120 -rect 5000 8906 5028 10220 +rect 4988 10668 5040 10674 +rect 4988 10610 5040 10616 rect 5066 9820 5374 9840 rect 5066 9818 5072 9820 rect 5128 9818 5152 9820 @@ -6109,58 +5993,116 @@ rect 5288 9764 5312 9766 rect 5368 9764 5374 9766 rect 5066 9744 5374 9764 rect 5460 9586 5488 10950 -rect 5644 10674 5672 11172 -rect 5632 10668 5684 10674 -rect 5632 10610 5684 10616 +rect 5540 10736 5592 10742 +rect 5540 10678 5592 10684 rect 5448 9580 5500 9586 rect 5448 9522 5500 9528 -rect 5540 9036 5592 9042 -rect 5540 8978 5592 8984 -rect 5552 8906 5580 8978 -rect 4988 8900 5040 8906 -rect 4988 8842 5040 8848 -rect 5540 8900 5592 8906 -rect 5540 8842 5592 8848 -rect 4804 8560 4856 8566 -rect 4804 8502 4856 8508 -rect 4804 8424 4856 8430 -rect 4804 8366 4856 8372 -rect 4816 7886 4844 8366 -rect 4896 8356 4948 8362 -rect 4896 8298 4948 8304 -rect 4804 7880 4856 7886 -rect 4804 7822 4856 7828 -rect 4816 6118 4844 7822 -rect 4804 6112 4856 6118 -rect 4804 6054 4856 6060 -rect 4804 5636 4856 5642 -rect 4804 5578 4856 5584 -rect 4632 5086 4752 5114 -rect 4528 4548 4580 4554 -rect 4528 4490 4580 4496 -rect 4396 3692 4476 3720 -rect 4344 3674 4396 3680 -rect 4252 3664 4304 3670 -rect 4252 3606 4304 3612 -rect 4540 3534 4568 4490 -rect 4632 4185 4660 5086 -rect 4712 5024 4764 5030 -rect 4712 4966 4764 4972 -rect 4724 4826 4752 4966 -rect 4712 4820 4764 4826 -rect 4712 4762 4764 4768 -rect 4618 4176 4674 4185 -rect 4618 4111 4674 4120 -rect 4620 4072 4672 4078 -rect 4620 4014 4672 4020 -rect 4632 3738 4660 4014 -rect 4620 3732 4672 3738 -rect 4620 3674 4672 3680 -rect 4816 3670 4844 5578 -rect 4908 5574 4936 8298 -rect 5000 7993 5028 8842 -rect 5448 8832 5500 8838 -rect 5448 8774 5500 8780 +rect 4896 9444 4948 9450 +rect 4896 9386 4948 9392 +rect 4528 9172 4580 9178 +rect 4528 9114 4580 9120 +rect 4436 9104 4488 9110 +rect 4436 9046 4488 9052 +rect 4344 8832 4396 8838 +rect 4344 8774 4396 8780 +rect 4252 8560 4304 8566 +rect 4252 8502 4304 8508 +rect 4252 8084 4304 8090 +rect 4252 8026 4304 8032 +rect 4068 6860 4120 6866 +rect 4068 6802 4120 6808 +rect 4068 6384 4120 6390 +rect 4068 6326 4120 6332 +rect 3976 6248 4028 6254 +rect 3976 6190 4028 6196 +rect 3700 5840 3752 5846 +rect 3700 5782 3752 5788 +rect 3712 5710 3740 5782 +rect 3700 5704 3752 5710 +rect 3700 5646 3752 5652 +rect 3988 5030 4016 6190 +rect 4080 6118 4108 6326 +rect 4068 6112 4120 6118 +rect 4068 6054 4120 6060 +rect 4264 5914 4292 8026 +rect 4252 5908 4304 5914 +rect 4252 5850 4304 5856 +rect 4160 5092 4212 5098 +rect 4160 5034 4212 5040 +rect 3976 5024 4028 5030 +rect 3976 4966 4028 4972 +rect 3516 4616 3568 4622 +rect 3516 4558 3568 4564 +rect 3608 4616 3660 4622 +rect 3608 4558 3660 4564 +rect 3528 4282 3556 4558 +rect 3516 4276 3568 4282 +rect 3516 4218 3568 4224 +rect 3620 3738 3648 4558 +rect 3700 4276 3752 4282 +rect 3700 4218 3752 4224 +rect 3608 3732 3660 3738 +rect 3608 3674 3660 3680 +rect 3424 3664 3476 3670 +rect 3424 3606 3476 3612 +rect 2778 3496 2834 3505 +rect 2778 3431 2834 3440 +rect 3436 2774 3464 3606 +rect 3608 3392 3660 3398 +rect 3608 3334 3660 3340 +rect 3620 3058 3648 3334 +rect 3608 3052 3660 3058 +rect 3608 2994 3660 3000 +rect 3608 2916 3660 2922 +rect 3608 2858 3660 2864 +rect 3344 2746 3464 2774 +rect 3344 2514 3372 2746 +rect 3332 2508 3384 2514 +rect 3332 2450 3384 2456 +rect 3344 1970 3372 2450 +rect 3620 2378 3648 2858 +rect 3712 2378 3740 4218 +rect 3608 2372 3660 2378 +rect 3608 2314 3660 2320 +rect 3700 2372 3752 2378 +rect 3700 2314 3752 2320 +rect 3332 1964 3384 1970 +rect 3332 1906 3384 1912 +rect 3344 1426 3372 1906 +rect 3332 1420 3384 1426 +rect 3332 1362 3384 1368 +rect 3620 1018 3648 2314 +rect 3988 1426 4016 4966 +rect 4172 4214 4200 5034 +rect 4356 4622 4384 8774 +rect 4448 7342 4476 9046 +rect 4540 7546 4568 9114 +rect 4712 8968 4764 8974 +rect 4712 8910 4764 8916 +rect 4620 8492 4672 8498 +rect 4620 8434 4672 8440 +rect 4528 7540 4580 7546 +rect 4528 7482 4580 7488 +rect 4436 7336 4488 7342 +rect 4436 7278 4488 7284 +rect 4632 5370 4660 8434 +rect 4724 7818 4752 8910 +rect 4908 8566 4936 9386 +rect 5552 8974 5580 10678 +rect 5632 10532 5684 10538 +rect 5632 10474 5684 10480 +rect 5080 8968 5132 8974 +rect 5078 8936 5080 8945 +rect 5540 8968 5592 8974 +rect 5132 8936 5134 8945 +rect 5540 8910 5592 8916 +rect 5078 8871 5134 8880 +rect 5552 8838 5580 8910 +rect 4988 8832 5040 8838 +rect 4988 8774 5040 8780 +rect 5540 8832 5592 8838 +rect 5540 8774 5592 8780 +rect 5000 8634 5028 8774 rect 5066 8732 5374 8752 rect 5066 8730 5072 8732 rect 5128 8730 5152 8732 @@ -6175,16 +6117,40 @@ rect 5208 8676 5232 8678 rect 5288 8676 5312 8678 rect 5368 8676 5374 8678 rect 5066 8656 5374 8676 -rect 5264 8492 5316 8498 -rect 5264 8434 5316 8440 -rect 4986 7984 5042 7993 -rect 4986 7919 5042 7928 -rect 5276 7886 5304 8434 -rect 4988 7880 5040 7886 -rect 4988 7822 5040 7828 -rect 5264 7880 5316 7886 -rect 5264 7822 5316 7828 -rect 5000 6458 5028 7822 +rect 4988 8628 5040 8634 +rect 4988 8570 5040 8576 +rect 4896 8560 4948 8566 +rect 4896 8502 4948 8508 +rect 5264 8560 5316 8566 +rect 5264 8502 5316 8508 +rect 5446 8528 5502 8537 +rect 4804 8356 4856 8362 +rect 4804 8298 4856 8304 +rect 4712 7812 4764 7818 +rect 4712 7754 4764 7760 +rect 4620 5364 4672 5370 +rect 4620 5306 4672 5312 +rect 4816 5137 4844 8298 +rect 4896 8288 4948 8294 +rect 4896 8230 4948 8236 +rect 5172 8288 5224 8294 +rect 5172 8230 5224 8236 +rect 4908 7410 4936 8230 +rect 5184 8090 5212 8230 +rect 5276 8090 5304 8502 +rect 5368 8472 5446 8480 +rect 5368 8452 5448 8472 +rect 5172 8084 5224 8090 +rect 5172 8026 5224 8032 +rect 5264 8084 5316 8090 +rect 5264 8026 5316 8032 +rect 5368 7954 5396 8452 +rect 5500 8463 5502 8472 +rect 5448 8434 5500 8440 +rect 5448 8356 5500 8362 +rect 5448 8298 5500 8304 +rect 5356 7948 5408 7954 +rect 5356 7890 5408 7896 rect 5066 7644 5374 7664 rect 5066 7642 5072 7644 rect 5128 7642 5152 7644 @@ -6199,10 +6165,66 @@ rect 5208 7588 5232 7590 rect 5288 7588 5312 7590 rect 5368 7588 5374 7590 rect 5066 7568 5374 7588 -rect 5354 7440 5410 7449 -rect 5354 7375 5356 7384 -rect 5408 7375 5410 7384 -rect 5356 7346 5408 7352 +rect 4988 7472 5040 7478 +rect 4988 7414 5040 7420 +rect 4896 7404 4948 7410 +rect 4896 7346 4948 7352 +rect 4802 5128 4858 5137 +rect 4802 5063 4858 5072 +rect 4620 5024 4672 5030 +rect 4620 4966 4672 4972 +rect 4804 5024 4856 5030 +rect 4804 4966 4856 4972 +rect 4344 4616 4396 4622 +rect 4344 4558 4396 4564 +rect 4528 4616 4580 4622 +rect 4528 4558 4580 4564 +rect 4356 4486 4384 4558 +rect 4252 4480 4304 4486 +rect 4252 4422 4304 4428 +rect 4344 4480 4396 4486 +rect 4344 4422 4396 4428 +rect 4264 4214 4292 4422 +rect 4160 4208 4212 4214 +rect 4160 4150 4212 4156 +rect 4252 4208 4304 4214 +rect 4252 4150 4304 4156 +rect 4356 4162 4384 4422 +rect 4068 3936 4120 3942 +rect 4068 3878 4120 3884 +rect 4080 3738 4108 3878 +rect 4068 3732 4120 3738 +rect 4068 3674 4120 3680 +rect 4172 3602 4200 4150 +rect 4356 4134 4476 4162 +rect 4344 4072 4396 4078 +rect 4264 4020 4344 4026 +rect 4264 4014 4396 4020 +rect 4264 3998 4384 4014 +rect 4160 3596 4212 3602 +rect 4160 3538 4212 3544 +rect 4264 3534 4292 3998 +rect 4344 3732 4396 3738 +rect 4344 3674 4396 3680 +rect 4252 3528 4304 3534 +rect 4252 3470 4304 3476 +rect 4252 3392 4304 3398 +rect 4252 3334 4304 3340 +rect 4068 2372 4120 2378 +rect 4068 2314 4120 2320 +rect 4080 2106 4108 2314 +rect 4264 2310 4292 3334 +rect 4356 3126 4384 3674 +rect 4448 3194 4476 4134 +rect 4540 3534 4568 4558 +rect 4528 3528 4580 3534 +rect 4528 3470 4580 3476 +rect 4632 3466 4660 4966 +rect 4816 4826 4844 4966 +rect 5000 4826 5028 7414 +rect 5460 7410 5488 8298 +rect 5448 7404 5500 7410 +rect 5448 7346 5500 7352 rect 5066 6556 5374 6576 rect 5066 6554 5072 6556 rect 5128 6554 5152 6556 @@ -6217,10 +6239,57 @@ rect 5208 6500 5232 6502 rect 5288 6500 5312 6502 rect 5368 6500 5374 6502 rect 5066 6480 5374 6500 -rect 4988 6452 5040 6458 -rect 4988 6394 5040 6400 -rect 4896 5568 4948 5574 -rect 4896 5510 4948 5516 +rect 5552 6458 5580 8774 +rect 5644 7886 5672 10474 +rect 6012 10146 6040 12200 +rect 6184 11348 6236 11354 +rect 6184 11290 6236 11296 +rect 6092 11008 6144 11014 +rect 6092 10950 6144 10956 +rect 6104 10810 6132 10950 +rect 6092 10804 6144 10810 +rect 6092 10746 6144 10752 +rect 6196 10674 6224 11290 +rect 6276 11076 6328 11082 +rect 6276 11018 6328 11024 +rect 6184 10668 6236 10674 +rect 6184 10610 6236 10616 +rect 5920 10118 6040 10146 +rect 5920 9518 5948 10118 +rect 6092 10056 6144 10062 +rect 6092 9998 6144 10004 +rect 6000 9988 6052 9994 +rect 6000 9930 6052 9936 +rect 5908 9512 5960 9518 +rect 5908 9454 5960 9460 +rect 6012 9178 6040 9930 +rect 6104 9722 6132 9998 +rect 6092 9716 6144 9722 +rect 6092 9658 6144 9664 +rect 6196 9654 6224 10610 +rect 6184 9648 6236 9654 +rect 6184 9590 6236 9596 +rect 6000 9172 6052 9178 +rect 6000 9114 6052 9120 +rect 6184 9172 6236 9178 +rect 6184 9114 6236 9120 +rect 6196 9042 6224 9114 +rect 6092 9036 6144 9042 +rect 6092 8978 6144 8984 +rect 6184 9036 6236 9042 +rect 6184 8978 6236 8984 +rect 5816 8900 5868 8906 +rect 5816 8842 5868 8848 +rect 5724 7948 5776 7954 +rect 5724 7890 5776 7896 +rect 5632 7880 5684 7886 +rect 5630 7848 5632 7857 +rect 5684 7848 5686 7857 +rect 5630 7783 5686 7792 +rect 5632 7744 5684 7750 +rect 5632 7686 5684 7692 +rect 5540 6452 5592 6458 +rect 5540 6394 5592 6400 rect 5066 5468 5374 5488 rect 5066 5466 5072 5468 rect 5128 5466 5152 5468 @@ -6235,154 +6304,59 @@ rect 5208 5412 5232 5414 rect 5288 5412 5312 5414 rect 5368 5412 5374 5414 rect 5066 5392 5374 5412 -rect 5460 5370 5488 8774 -rect 5552 8673 5580 8842 -rect 5632 8832 5684 8838 -rect 5632 8774 5684 8780 -rect 5538 8664 5594 8673 -rect 5538 8599 5594 8608 -rect 5540 8356 5592 8362 -rect 5540 8298 5592 8304 -rect 5552 7410 5580 8298 -rect 5644 8022 5672 8774 -rect 5632 8016 5684 8022 -rect 5632 7958 5684 7964 -rect 5540 7404 5592 7410 -rect 5540 7346 5592 7352 -rect 5540 7268 5592 7274 -rect 5540 7210 5592 7216 -rect 5552 6322 5580 7210 -rect 5736 6322 5764 12294 -rect 5998 12200 6054 12294 -rect 6458 12200 6514 13000 -rect 9494 12336 9550 12345 -rect 9494 12271 9550 12280 -rect 6368 11552 6420 11558 -rect 6368 11494 6420 11500 -rect 6380 11354 6408 11494 -rect 6000 11348 6052 11354 -rect 6000 11290 6052 11296 -rect 6368 11348 6420 11354 -rect 6368 11290 6420 11296 -rect 5816 11008 5868 11014 -rect 5816 10950 5868 10956 -rect 5828 10810 5856 10950 -rect 5816 10804 5868 10810 -rect 5816 10746 5868 10752 -rect 5816 9376 5868 9382 -rect 5816 9318 5868 9324 -rect 5828 8362 5856 9318 -rect 5906 8800 5962 8809 -rect 5906 8735 5962 8744 -rect 5920 8498 5948 8735 -rect 5908 8492 5960 8498 -rect 5908 8434 5960 8440 -rect 5816 8356 5868 8362 -rect 5816 8298 5868 8304 -rect 5908 8288 5960 8294 -rect 5908 8230 5960 8236 -rect 5920 7993 5948 8230 -rect 5906 7984 5962 7993 -rect 5906 7919 5962 7928 -rect 6012 7886 6040 11290 -rect 6184 11008 6236 11014 -rect 6184 10950 6236 10956 -rect 6196 10674 6224 10950 -rect 6184 10668 6236 10674 -rect 6184 10610 6236 10616 -rect 6092 10056 6144 10062 -rect 6092 9998 6144 10004 -rect 6104 9722 6132 9998 -rect 6092 9716 6144 9722 -rect 6092 9658 6144 9664 -rect 6196 9654 6224 10610 -rect 6184 9648 6236 9654 -rect 6184 9590 6236 9596 -rect 6184 9104 6236 9110 -rect 6472 9058 6500 12200 -rect 7566 11452 7874 11472 -rect 7566 11450 7572 11452 -rect 7628 11450 7652 11452 -rect 7708 11450 7732 11452 -rect 7788 11450 7812 11452 -rect 7868 11450 7874 11452 -rect 7628 11398 7630 11450 -rect 7810 11398 7812 11450 -rect 7566 11396 7572 11398 -rect 7628 11396 7652 11398 -rect 7708 11396 7732 11398 -rect 7788 11396 7812 11398 -rect 7868 11396 7874 11398 -rect 7566 11376 7874 11396 -rect 8208 11348 8260 11354 -rect 8208 11290 8260 11296 -rect 7012 11212 7064 11218 -rect 7012 11154 7064 11160 -rect 6918 11112 6974 11121 -rect 6552 11076 6604 11082 -rect 6552 11018 6604 11024 -rect 6828 11076 6880 11082 -rect 6918 11047 6920 11056 -rect 6828 11018 6880 11024 -rect 6972 11047 6974 11056 -rect 6920 11018 6972 11024 -rect 6564 10606 6592 11018 -rect 6644 11008 6696 11014 -rect 6644 10950 6696 10956 -rect 6552 10600 6604 10606 -rect 6552 10542 6604 10548 -rect 6552 9920 6604 9926 -rect 6552 9862 6604 9868 -rect 6564 9654 6592 9862 -rect 6552 9648 6604 9654 -rect 6552 9590 6604 9596 -rect 6656 9178 6684 10950 -rect 6840 10713 6868 11018 -rect 6826 10704 6882 10713 -rect 6826 10639 6882 10648 -rect 6920 10668 6972 10674 -rect 6920 10610 6972 10616 -rect 6736 10464 6788 10470 -rect 6736 10406 6788 10412 -rect 6644 9172 6696 9178 -rect 6644 9114 6696 9120 -rect 6748 9081 6776 10406 -rect 6932 10266 6960 10610 -rect 6920 10260 6972 10266 -rect 6920 10202 6972 10208 -rect 6828 10124 6880 10130 -rect 6828 10066 6880 10072 -rect 6840 9178 6868 10066 -rect 6920 9988 6972 9994 -rect 6920 9930 6972 9936 -rect 6828 9172 6880 9178 -rect 6828 9114 6880 9120 -rect 6184 9046 6236 9052 -rect 6092 8900 6144 8906 -rect 6092 8842 6144 8848 +rect 5540 5296 5592 5302 +rect 5540 5238 5592 5244 +rect 4804 4820 4856 4826 +rect 4804 4762 4856 4768 +rect 4988 4820 5040 4826 +rect 4988 4762 5040 4768 +rect 5552 4570 5580 5238 +rect 5644 5234 5672 7686 +rect 5736 6458 5764 7890 +rect 5724 6452 5776 6458 +rect 5724 6394 5776 6400 +rect 5828 5778 5856 8842 +rect 6000 8492 6052 8498 +rect 6000 8434 6052 8440 +rect 6012 8022 6040 8434 +rect 6104 8090 6132 8978 +rect 6184 8832 6236 8838 +rect 6184 8774 6236 8780 +rect 6092 8084 6144 8090 +rect 6092 8026 6144 8032 +rect 6000 8016 6052 8022 +rect 5920 7964 6000 7970 +rect 5920 7958 6052 7964 +rect 5920 7942 6040 7958 +rect 5920 7886 5948 7942 +rect 5908 7880 5960 7886 +rect 5908 7822 5960 7828 rect 6000 7880 6052 7886 rect 6000 7822 6052 7828 -rect 6000 7472 6052 7478 -rect 6000 7414 6052 7420 -rect 5540 6316 5592 6322 -rect 5540 6258 5592 6264 -rect 5724 6316 5776 6322 -rect 5724 6258 5776 6264 -rect 5448 5364 5500 5370 -rect 5448 5306 5500 5312 -rect 5172 5092 5224 5098 -rect 5172 5034 5224 5040 -rect 4896 4752 4948 4758 -rect 4896 4694 4948 4700 -rect 4908 4486 4936 4694 -rect 5184 4622 5212 5034 -rect 5172 4616 5224 4622 -rect 5172 4558 5224 4564 -rect 4896 4480 4948 4486 -rect 4896 4422 4948 4428 -rect 4804 3664 4856 3670 -rect 4804 3606 4856 3612 -rect 4908 3534 4936 4422 +rect 5920 7002 5948 7822 +rect 5908 6996 5960 7002 +rect 5908 6938 5960 6944 +rect 5908 6656 5960 6662 +rect 6012 6644 6040 7822 +rect 6092 7744 6144 7750 +rect 6092 7686 6144 7692 +rect 6104 7313 6132 7686 +rect 6090 7304 6146 7313 +rect 6090 7239 6146 7248 +rect 6092 7200 6144 7206 +rect 6092 7142 6144 7148 +rect 5960 6616 6040 6644 +rect 5908 6598 5960 6604 +rect 5816 5772 5868 5778 +rect 5816 5714 5868 5720 +rect 5632 5228 5684 5234 +rect 5632 5170 5684 5176 +rect 5724 4684 5776 4690 +rect 5724 4626 5776 4632 +rect 5460 4554 5580 4570 +rect 5448 4548 5580 4554 +rect 5500 4542 5580 4548 +rect 5448 4490 5500 4496 rect 5066 4380 5374 4400 rect 5066 4378 5072 4380 rect 5128 4378 5152 4380 @@ -6397,163 +6371,61 @@ rect 5208 4324 5232 4326 rect 5288 4324 5312 4326 rect 5368 4324 5374 4326 rect 5066 4304 5374 4324 -rect 5460 4282 5488 5306 -rect 5736 5234 5764 6258 -rect 5724 5228 5776 5234 -rect 5724 5170 5776 5176 -rect 5736 4758 5764 5170 -rect 5908 5092 5960 5098 -rect 5908 5034 5960 5040 -rect 5724 4752 5776 4758 -rect 5724 4694 5776 4700 -rect 5920 4622 5948 5034 -rect 6012 4758 6040 7414 -rect 6104 6254 6132 8842 -rect 6196 8537 6224 9046 -rect 6380 9030 6500 9058 -rect 6734 9072 6790 9081 -rect 6182 8528 6238 8537 -rect 6182 8463 6184 8472 -rect 6236 8463 6238 8472 -rect 6184 8434 6236 8440 -rect 6196 7970 6224 8434 -rect 6196 7954 6316 7970 -rect 6184 7948 6316 7954 -rect 6236 7942 6316 7948 -rect 6184 7890 6236 7896 -rect 6184 7812 6236 7818 -rect 6184 7754 6236 7760 -rect 6092 6248 6144 6254 -rect 6092 6190 6144 6196 -rect 6104 5166 6132 6190 -rect 6196 5642 6224 7754 -rect 6288 6798 6316 7942 -rect 6380 7834 6408 9030 -rect 6734 9007 6790 9016 -rect 6932 8956 6960 9930 -rect 6840 8928 6960 8956 -rect 6552 8900 6604 8906 -rect 6552 8842 6604 8848 -rect 6564 8378 6592 8842 -rect 6734 8664 6790 8673 -rect 6840 8634 6868 8928 -rect 7024 8888 7052 11154 -rect 7104 11144 7156 11150 -rect 7656 11144 7708 11150 -rect 7104 11086 7156 11092 -rect 7484 11104 7656 11132 -rect 7116 10742 7144 11086 -rect 7196 11076 7248 11082 -rect 7196 11018 7248 11024 -rect 7104 10736 7156 10742 -rect 7104 10678 7156 10684 -rect 7116 9722 7144 10678 -rect 7104 9716 7156 9722 -rect 7104 9658 7156 9664 -rect 7208 9586 7236 11018 -rect 7484 10810 7512 11104 -rect 7656 11086 7708 11092 -rect 7656 11008 7708 11014 -rect 7656 10950 7708 10956 -rect 8024 11008 8076 11014 -rect 8024 10950 8076 10956 -rect 7668 10810 7696 10950 -rect 7472 10804 7524 10810 -rect 7472 10746 7524 10752 -rect 7656 10804 7708 10810 -rect 7656 10746 7708 10752 -rect 7380 10736 7432 10742 -rect 7380 10678 7432 10684 -rect 7930 10704 7986 10713 -rect 7288 10668 7340 10674 -rect 7288 10610 7340 10616 -rect 7300 9926 7328 10610 -rect 7288 9920 7340 9926 -rect 7288 9862 7340 9868 -rect 7196 9580 7248 9586 -rect 7196 9522 7248 9528 -rect 7196 9172 7248 9178 -rect 7196 9114 7248 9120 -rect 6932 8860 7052 8888 -rect 6734 8599 6790 8608 -rect 6828 8628 6880 8634 -rect 6748 8514 6776 8599 -rect 6828 8570 6880 8576 -rect 6932 8514 6960 8860 -rect 6656 8498 6868 8514 -rect 6644 8492 6880 8498 -rect 6696 8486 6828 8492 -rect 6644 8434 6696 8440 -rect 6932 8486 7052 8514 -rect 6828 8434 6880 8440 -rect 6564 8350 6960 8378 -rect 6552 8288 6604 8294 -rect 6552 8230 6604 8236 -rect 6736 8288 6788 8294 -rect 6736 8230 6788 8236 -rect 6564 8022 6592 8230 -rect 6552 8016 6604 8022 -rect 6552 7958 6604 7964 -rect 6380 7806 6500 7834 -rect 6368 7744 6420 7750 -rect 6368 7686 6420 7692 -rect 6276 6792 6328 6798 -rect 6276 6734 6328 6740 -rect 6184 5636 6236 5642 -rect 6184 5578 6236 5584 -rect 6092 5160 6144 5166 -rect 6092 5102 6144 5108 -rect 6000 4752 6052 4758 -rect 6000 4694 6052 4700 -rect 5908 4616 5960 4622 -rect 5908 4558 5960 4564 -rect 5448 4276 5500 4282 -rect 5448 4218 5500 4224 -rect 5540 4004 5592 4010 -rect 5540 3946 5592 3952 -rect 4988 3664 5040 3670 -rect 4988 3606 5040 3612 -rect 4160 3528 4212 3534 -rect 4160 3470 4212 3476 -rect 4252 3528 4304 3534 -rect 4252 3470 4304 3476 -rect 4528 3528 4580 3534 -rect 4528 3470 4580 3476 -rect 4896 3528 4948 3534 -rect 4896 3470 4948 3476 -rect 4160 3392 4212 3398 -rect 4160 3334 4212 3340 -rect 4264 3346 4292 3470 -rect 4804 3460 4856 3466 -rect 4804 3402 4856 3408 -rect 4068 3188 4120 3194 -rect 4068 3130 4120 3136 -rect 3804 2746 3924 2774 -rect 3804 2446 3832 2746 -rect 3792 2440 3844 2446 -rect 3792 2382 3844 2388 -rect 4172 2310 4200 3334 -rect 4264 3318 4476 3346 -rect 4448 3194 4476 3318 +rect 5356 4208 5408 4214 +rect 5356 4150 5408 4156 +rect 4804 4072 4856 4078 +rect 4804 4014 4856 4020 +rect 4816 3534 4844 4014 +rect 4896 3596 4948 3602 +rect 4896 3538 4948 3544 +rect 4804 3528 4856 3534 +rect 4804 3470 4856 3476 +rect 4620 3460 4672 3466 +rect 4620 3402 4672 3408 +rect 4712 3392 4764 3398 +rect 4712 3334 4764 3340 +rect 4724 3194 4752 3334 rect 4436 3188 4488 3194 rect 4436 3130 4488 3136 -rect 4816 2514 4844 3402 -rect 4896 3392 4948 3398 -rect 4896 3334 4948 3340 -rect 4908 3058 4936 3334 -rect 4896 3052 4948 3058 -rect 4896 2994 4948 3000 -rect 5000 2922 5028 3606 +rect 4712 3188 4764 3194 +rect 4712 3130 4764 3136 +rect 4344 3120 4396 3126 +rect 4344 3062 4396 3068 +rect 4448 2854 4476 3130 +rect 4816 3074 4844 3470 +rect 4632 3046 4844 3074 +rect 4436 2848 4488 2854 +rect 4436 2790 4488 2796 +rect 4252 2304 4304 2310 +rect 4252 2246 4304 2252 +rect 4068 2100 4120 2106 +rect 4068 2042 4120 2048 +rect 4080 1902 4108 2042 +rect 4632 2038 4660 3046 +rect 4712 2848 4764 2854 +rect 4764 2796 4844 2802 +rect 4712 2790 4844 2796 +rect 4724 2774 4844 2790 +rect 4620 2032 4672 2038 +rect 4620 1974 4672 1980 +rect 4068 1896 4120 1902 +rect 4068 1838 4120 1844 +rect 3976 1420 4028 1426 +rect 3976 1362 4028 1368 +rect 4080 1290 4108 1838 +rect 4816 1562 4844 2774 +rect 4804 1556 4856 1562 +rect 4804 1498 4856 1504 +rect 4908 1358 4936 3538 +rect 5368 3482 5396 4150 +rect 5540 4004 5592 4010 +rect 5540 3946 5592 3952 rect 5552 3534 5580 3946 rect 5540 3528 5592 3534 +rect 5368 3454 5488 3482 rect 5540 3470 5592 3476 rect 5632 3528 5684 3534 rect 5632 3470 5684 3476 -rect 5644 3422 5672 3470 -rect 5460 3394 5672 3422 -rect 5920 3398 5948 4558 -rect 6000 4548 6052 4554 -rect 6000 4490 6052 4496 rect 5066 3292 5374 3312 rect 5066 3290 5072 3292 rect 5128 3290 5152 3292 @@ -6568,84 +6440,13 @@ rect 5208 3236 5232 3238 rect 5288 3236 5312 3238 rect 5368 3236 5374 3238 rect 5066 3216 5374 3236 -rect 4988 2916 5040 2922 -rect 4988 2858 5040 2864 -rect 4804 2508 4856 2514 -rect 4804 2450 4856 2456 -rect 5000 2378 5028 2858 -rect 5460 2650 5488 3394 -rect 5908 3392 5960 3398 -rect 5908 3334 5960 3340 -rect 6012 3194 6040 4490 -rect 6092 4140 6144 4146 -rect 6092 4082 6144 4088 -rect 6104 3670 6132 4082 -rect 6092 3664 6144 3670 -rect 6092 3606 6144 3612 -rect 6196 3466 6224 5578 -rect 6184 3460 6236 3466 -rect 6184 3402 6236 3408 -rect 6288 3194 6316 6734 -rect 6380 4622 6408 7686 -rect 6472 7313 6500 7806 -rect 6458 7304 6514 7313 -rect 6458 7239 6514 7248 -rect 6564 6746 6592 7958 -rect 6748 7954 6776 8230 -rect 6736 7948 6788 7954 -rect 6736 7890 6788 7896 -rect 6644 7880 6696 7886 -rect 6644 7822 6696 7828 -rect 6656 6866 6684 7822 -rect 6644 6860 6696 6866 -rect 6644 6802 6696 6808 -rect 6564 6718 6684 6746 -rect 6552 6656 6604 6662 -rect 6552 6598 6604 6604 -rect 6368 4616 6420 4622 -rect 6368 4558 6420 4564 -rect 6460 4616 6512 4622 -rect 6460 4558 6512 4564 -rect 6368 4140 6420 4146 -rect 6368 4082 6420 4088 -rect 6380 3369 6408 4082 -rect 6472 4078 6500 4558 -rect 6460 4072 6512 4078 -rect 6460 4014 6512 4020 -rect 6366 3360 6422 3369 -rect 6366 3295 6422 3304 -rect 6000 3188 6052 3194 -rect 6000 3130 6052 3136 -rect 6276 3188 6328 3194 -rect 6276 3130 6328 3136 -rect 6564 3126 6592 6598 -rect 6656 3534 6684 6718 -rect 6748 6662 6776 7890 -rect 6736 6656 6788 6662 -rect 6736 6598 6788 6604 -rect 6644 3528 6696 3534 -rect 6644 3470 6696 3476 -rect 5816 3120 5868 3126 -rect 5816 3062 5868 3068 -rect 6552 3120 6604 3126 -rect 6552 3062 6604 3068 -rect 6828 3120 6880 3126 -rect 6828 3062 6880 3068 +rect 5460 2650 5488 3454 +rect 5540 3188 5592 3194 +rect 5540 3130 5592 3136 rect 5448 2644 5500 2650 rect 5448 2586 5500 2592 -rect 4804 2372 4856 2378 -rect 4804 2314 4856 2320 -rect 4988 2372 5040 2378 -rect 4988 2314 5040 2320 -rect 4160 2304 4212 2310 -rect 4160 2246 4212 2252 -rect 4252 1964 4304 1970 -rect 4252 1906 4304 1912 -rect 3700 1420 3752 1426 -rect 3700 1362 3752 1368 -rect 4264 1222 4292 1906 -rect 4816 1562 4844 2314 -rect 5000 2122 5028 2314 +rect 5448 2508 5500 2514 +rect 5448 2450 5500 2456 rect 5066 2204 5374 2224 rect 5066 2202 5072 2204 rect 5128 2202 5152 2204 @@ -6660,58 +6461,276 @@ rect 5208 2148 5232 2150 rect 5288 2148 5312 2150 rect 5368 2148 5374 2150 rect 5066 2128 5374 2148 -rect 4908 2106 5028 2122 -rect 4896 2100 5028 2106 -rect 4948 2094 5028 2100 -rect 4896 2042 4948 2048 -rect 5264 1896 5316 1902 -rect 5460 1884 5488 2586 -rect 5828 2038 5856 3062 -rect 5908 2848 5960 2854 -rect 5908 2790 5960 2796 +rect 5460 1358 5488 2450 +rect 5552 2446 5580 3130 +rect 5644 2582 5672 3470 +rect 5736 3194 5764 4626 +rect 5828 3466 5856 5714 +rect 5816 3460 5868 3466 +rect 5816 3402 5868 3408 +rect 5724 3188 5776 3194 +rect 5724 3130 5776 3136 +rect 5920 3126 5948 6598 +rect 6104 6322 6132 7142 +rect 6092 6316 6144 6322 +rect 6092 6258 6144 6264 +rect 6196 6254 6224 8774 +rect 6288 8498 6316 11018 +rect 6368 10668 6420 10674 +rect 6368 10610 6420 10616 +rect 6380 10266 6408 10610 +rect 6368 10260 6420 10266 +rect 6368 10202 6420 10208 +rect 6472 9674 6500 12200 +rect 13726 11928 13782 11937 +rect 13726 11863 13782 11872 +rect 13450 11520 13506 11529 +rect 7566 11452 7874 11472 +rect 13450 11455 13506 11464 +rect 7566 11450 7572 11452 +rect 7628 11450 7652 11452 +rect 7708 11450 7732 11452 +rect 7788 11450 7812 11452 +rect 7868 11450 7874 11452 +rect 7628 11398 7630 11450 +rect 7810 11398 7812 11450 +rect 7566 11396 7572 11398 +rect 7628 11396 7652 11398 +rect 7708 11396 7732 11398 +rect 7788 11396 7812 11398 +rect 7868 11396 7874 11398 +rect 7566 11376 7874 11396 +rect 6920 11280 6972 11286 +rect 6920 11222 6972 11228 +rect 8024 11280 8076 11286 +rect 8024 11222 8076 11228 +rect 8392 11280 8444 11286 +rect 8392 11222 8444 11228 +rect 6932 10690 6960 11222 +rect 7012 11076 7064 11082 +rect 7012 11018 7064 11024 +rect 7196 11076 7248 11082 +rect 7196 11018 7248 11024 +rect 7024 10713 7052 11018 +rect 6840 10662 6960 10690 +rect 7010 10704 7066 10713 +rect 6840 10606 6868 10662 +rect 7010 10639 7066 10648 +rect 7104 10668 7156 10674 +rect 7104 10610 7156 10616 +rect 6828 10600 6880 10606 +rect 6828 10542 6880 10548 +rect 7012 10600 7064 10606 +rect 7012 10542 7064 10548 +rect 6736 10532 6788 10538 +rect 6736 10474 6788 10480 +rect 6920 10532 6972 10538 +rect 6920 10474 6972 10480 +rect 6644 10464 6696 10470 +rect 6644 10406 6696 10412 +rect 6552 10056 6604 10062 +rect 6552 9998 6604 10004 +rect 6380 9646 6500 9674 +rect 6380 8838 6408 9646 +rect 6564 8906 6592 9998 +rect 6552 8900 6604 8906 +rect 6552 8842 6604 8848 +rect 6368 8832 6420 8838 +rect 6368 8774 6420 8780 +rect 6368 8628 6420 8634 +rect 6368 8570 6420 8576 +rect 6276 8492 6328 8498 +rect 6276 8434 6328 8440 +rect 6288 7954 6316 8434 +rect 6276 7948 6328 7954 +rect 6276 7890 6328 7896 +rect 6380 7834 6408 8570 +rect 6460 8084 6512 8090 +rect 6460 8026 6512 8032 +rect 6288 7806 6408 7834 +rect 6288 7750 6316 7806 +rect 6276 7744 6328 7750 +rect 6276 7686 6328 7692 +rect 6368 7744 6420 7750 +rect 6368 7686 6420 7692 +rect 6184 6248 6236 6254 +rect 6184 6190 6236 6196 +rect 6092 5228 6144 5234 +rect 6092 5170 6144 5176 +rect 6104 4690 6132 5170 +rect 6196 5166 6224 6190 +rect 6288 5794 6316 7686 +rect 6380 7274 6408 7686 +rect 6368 7268 6420 7274 +rect 6368 7210 6420 7216 +rect 6368 6996 6420 7002 +rect 6368 6938 6420 6944 +rect 6380 6458 6408 6938 +rect 6368 6452 6420 6458 +rect 6368 6394 6420 6400 +rect 6288 5766 6408 5794 +rect 6276 5296 6328 5302 +rect 6276 5238 6328 5244 +rect 6184 5160 6236 5166 +rect 6184 5102 6236 5108 +rect 6092 4684 6144 4690 +rect 6092 4626 6144 4632 +rect 6000 4548 6052 4554 +rect 6000 4490 6052 4496 +rect 6012 3942 6040 4490 +rect 6288 4486 6316 5238 +rect 6380 5166 6408 5766 +rect 6472 5370 6500 8026 +rect 6564 5370 6592 8842 +rect 6656 8498 6684 10406 +rect 6748 10266 6776 10474 +rect 6736 10260 6788 10266 +rect 6736 10202 6788 10208 +rect 6932 9586 6960 10474 +rect 7024 10470 7052 10542 +rect 7012 10464 7064 10470 +rect 7012 10406 7064 10412 +rect 6920 9580 6972 9586 +rect 6920 9522 6972 9528 +rect 7024 9518 7052 10406 +rect 7116 9722 7144 10610 +rect 7104 9716 7156 9722 +rect 7104 9658 7156 9664 +rect 6736 9512 6788 9518 +rect 6736 9454 6788 9460 +rect 7012 9512 7064 9518 +rect 7012 9454 7064 9460 +rect 6748 8922 6776 9454 +rect 7104 9172 7156 9178 +rect 7104 9114 7156 9120 +rect 6748 8894 7052 8922 +rect 6828 8832 6880 8838 +rect 6828 8774 6880 8780 +rect 6644 8492 6696 8498 +rect 6644 8434 6696 8440 +rect 6736 8356 6788 8362 +rect 6736 8298 6788 8304 +rect 6642 7848 6698 7857 +rect 6642 7783 6698 7792 +rect 6656 6662 6684 7783 +rect 6644 6656 6696 6662 +rect 6644 6598 6696 6604 +rect 6644 6452 6696 6458 +rect 6644 6394 6696 6400 +rect 6460 5364 6512 5370 +rect 6460 5306 6512 5312 +rect 6552 5364 6604 5370 +rect 6552 5306 6604 5312 +rect 6368 5160 6420 5166 +rect 6368 5102 6420 5108 +rect 6472 4706 6500 5306 +rect 6472 4678 6592 4706 +rect 6460 4616 6512 4622 +rect 6460 4558 6512 4564 +rect 6276 4480 6328 4486 +rect 6276 4422 6328 4428 +rect 6092 4140 6144 4146 +rect 6092 4082 6144 4088 +rect 6000 3936 6052 3942 +rect 6000 3878 6052 3884 +rect 6104 3738 6132 4082 +rect 6288 3738 6316 4422 +rect 6472 4078 6500 4558 +rect 6564 4214 6592 4678 +rect 6552 4208 6604 4214 +rect 6552 4150 6604 4156 +rect 6460 4072 6512 4078 +rect 6460 4014 6512 4020 +rect 6092 3732 6144 3738 +rect 6092 3674 6144 3680 +rect 6276 3732 6328 3738 +rect 6276 3674 6328 3680 +rect 6656 3534 6684 6394 +rect 6644 3528 6696 3534 +rect 6644 3470 6696 3476 +rect 5908 3120 5960 3126 +rect 5908 3062 5960 3068 +rect 6184 2984 6236 2990 +rect 6184 2926 6236 2932 +rect 5632 2576 5684 2582 +rect 5632 2518 5684 2524 +rect 5540 2440 5592 2446 +rect 5540 2382 5592 2388 +rect 6092 2440 6144 2446 +rect 6092 2382 6144 2388 +rect 6104 1902 6132 2382 +rect 6196 1970 6224 2926 rect 6368 2848 6420 2854 rect 6368 2790 6420 2796 -rect 5816 2032 5868 2038 -rect 5816 1974 5868 1980 -rect 5316 1856 5488 1884 -rect 5264 1838 5316 1844 -rect 4804 1556 4856 1562 -rect 4804 1498 4856 1504 -rect 5080 1556 5132 1562 -rect 5080 1498 5132 1504 -rect 5092 1290 5120 1498 -rect 5828 1358 5856 1974 -rect 5816 1352 5868 1358 -rect 5816 1294 5868 1300 -rect 5920 1306 5948 2790 -rect 6092 2440 6144 2446 rect 6380 2417 6408 2790 -rect 6092 2382 6144 2388 rect 6366 2408 6422 2417 -rect 6104 1562 6132 2382 rect 6366 2343 6422 2352 -rect 6840 2106 6868 3062 -rect 6828 2100 6880 2106 -rect 6828 2042 6880 2048 +rect 6184 1964 6236 1970 +rect 6184 1906 6236 1912 +rect 5908 1896 5960 1902 +rect 5908 1838 5960 1844 +rect 6092 1896 6144 1902 +rect 6092 1838 6144 1844 rect 6460 1896 6512 1902 rect 6460 1838 6512 1844 -rect 6092 1556 6144 1562 -rect 6092 1498 6144 1504 -rect 6472 1358 6500 1838 -rect 6932 1766 6960 8350 -rect 7024 7410 7052 8486 +rect 5920 1562 5948 1838 +rect 6092 1760 6144 1766 +rect 6092 1702 6144 1708 +rect 5908 1556 5960 1562 +rect 5908 1498 5960 1504 +rect 6104 1358 6132 1702 +rect 6472 1562 6500 1838 +rect 6460 1556 6512 1562 +rect 6460 1498 6512 1504 +rect 6748 1358 6776 8298 +rect 6840 6225 6868 8774 +rect 6918 8664 6974 8673 +rect 6918 8599 6920 8608 +rect 6972 8599 6974 8608 +rect 6920 8570 6972 8576 +rect 7024 7834 7052 8894 +rect 7116 8498 7144 9114 rect 7104 8492 7156 8498 rect 7104 8434 7156 8440 -rect 7116 8022 7144 8434 -rect 7208 8106 7236 9114 -rect 7286 9072 7342 9081 -rect 7286 9007 7342 9016 -rect 7300 8430 7328 9007 -rect 7392 8838 7420 10678 +rect 6920 7812 6972 7818 +rect 7024 7806 7144 7834 +rect 6920 7754 6972 7760 +rect 6932 7410 6960 7754 +rect 7012 7744 7064 7750 +rect 7012 7686 7064 7692 +rect 6920 7404 6972 7410 +rect 6920 7346 6972 7352 +rect 6932 7002 6960 7346 +rect 6920 6996 6972 7002 +rect 6920 6938 6972 6944 +rect 6826 6216 6882 6225 +rect 6826 6151 6882 6160 +rect 6840 5778 6868 6151 +rect 6828 5772 6880 5778 +rect 6828 5714 6880 5720 +rect 6840 5234 6868 5714 +rect 6828 5228 6880 5234 +rect 6880 5188 6960 5216 +rect 6828 5170 6880 5176 +rect 6828 3936 6880 3942 +rect 6828 3878 6880 3884 +rect 6840 3369 6868 3878 +rect 6932 3398 6960 5188 +rect 7024 4690 7052 7686 +rect 7116 6322 7144 7806 +rect 7208 7546 7236 11018 +rect 7380 11008 7432 11014 +rect 7300 10968 7380 10996 +rect 7300 8673 7328 10968 +rect 7380 10950 7432 10956 +rect 7930 10704 7986 10713 rect 7930 10639 7986 10648 -rect 7472 10600 7524 10606 -rect 7472 10542 7524 10548 -rect 7484 9042 7512 10542 +rect 7380 10464 7432 10470 +rect 7380 10406 7432 10412 +rect 7472 10464 7524 10470 +rect 7472 10406 7524 10412 +rect 7392 10062 7420 10406 +rect 7484 10146 7512 10406 rect 7566 10364 7874 10384 rect 7566 10362 7572 10364 rect 7628 10362 7652 10364 @@ -6726,11 +6745,25 @@ rect 7708 10308 7732 10310 rect 7788 10308 7812 10310 rect 7868 10308 7874 10310 rect 7566 10288 7874 10308 -rect 7564 10192 7616 10198 -rect 7564 10134 7616 10140 -rect 7576 9518 7604 10134 -rect 7564 9512 7616 9518 -rect 7564 9454 7616 9460 +rect 7484 10118 7696 10146 +rect 7380 10056 7432 10062 +rect 7380 9998 7432 10004 +rect 7472 9988 7524 9994 +rect 7472 9930 7524 9936 +rect 7378 9480 7434 9489 +rect 7378 9415 7434 9424 +rect 7286 8664 7342 8673 +rect 7286 8599 7342 8608 +rect 7392 8514 7420 9415 +rect 7484 8634 7512 9930 +rect 7668 9489 7696 10118 +rect 7840 9920 7892 9926 +rect 7840 9862 7892 9868 +rect 7852 9518 7880 9862 +rect 7840 9512 7892 9518 +rect 7654 9480 7710 9489 +rect 7840 9454 7892 9460 +rect 7654 9415 7710 9424 rect 7566 9276 7874 9296 rect 7566 9274 7572 9276 rect 7628 9274 7652 9276 @@ -6745,67 +6778,33 @@ rect 7708 9220 7732 9222 rect 7788 9220 7812 9222 rect 7868 9220 7874 9222 rect 7566 9200 7874 9220 -rect 7472 9036 7524 9042 -rect 7472 8978 7524 8984 -rect 7656 9036 7708 9042 -rect 7656 8978 7708 8984 rect 7564 8968 7616 8974 -rect 7564 8910 7616 8916 -rect 7380 8832 7432 8838 -rect 7472 8832 7524 8838 -rect 7380 8774 7432 8780 -rect 7470 8800 7472 8809 -rect 7524 8800 7526 8809 -rect 7392 8498 7420 8774 -rect 7470 8735 7526 8744 -rect 7576 8537 7604 8910 -rect 7562 8528 7618 8537 -rect 7380 8492 7432 8498 -rect 7562 8463 7618 8472 -rect 7380 8434 7432 8440 -rect 7288 8424 7340 8430 -rect 7288 8366 7340 8372 -rect 7208 8078 7328 8106 -rect 7104 8016 7156 8022 -rect 7104 7958 7156 7964 -rect 7104 7744 7156 7750 -rect 7104 7686 7156 7692 -rect 7194 7712 7250 7721 -rect 7012 7404 7064 7410 -rect 7012 7346 7064 7352 -rect 7010 7304 7066 7313 -rect 7010 7239 7066 7248 -rect 7024 6118 7052 7239 -rect 7012 6112 7064 6118 -rect 7012 6054 7064 6060 -rect 7024 5370 7052 6054 -rect 7012 5364 7064 5370 -rect 7012 5306 7064 5312 -rect 7024 2990 7052 5306 -rect 7116 4690 7144 7686 -rect 7194 7647 7250 7656 -rect 7208 7342 7236 7647 -rect 7196 7336 7248 7342 -rect 7196 7278 7248 7284 -rect 7194 7168 7250 7177 -rect 7194 7103 7250 7112 -rect 7208 6458 7236 7103 -rect 7196 6452 7248 6458 -rect 7196 6394 7248 6400 -rect 7104 4684 7156 4690 -rect 7104 4626 7156 4632 -rect 7104 3528 7156 3534 -rect 7104 3470 7156 3476 -rect 7012 2984 7064 2990 -rect 7012 2926 7064 2932 -rect 7116 1902 7144 3470 -rect 7300 2650 7328 8078 -rect 7392 6866 7420 8434 -rect 7668 8430 7696 8978 -rect 7656 8424 7708 8430 -rect 7654 8392 7656 8401 -rect 7708 8392 7710 8401 -rect 7654 8327 7710 8336 +rect 7562 8936 7564 8945 +rect 7616 8936 7618 8945 +rect 7562 8871 7618 8880 +rect 7840 8900 7892 8906 +rect 7840 8842 7892 8848 +rect 7472 8628 7524 8634 +rect 7472 8570 7524 8576 +rect 7852 8537 7880 8842 +rect 7838 8528 7894 8537 +rect 7392 8486 7512 8514 +rect 7380 8356 7432 8362 +rect 7380 8298 7432 8304 +rect 7288 8288 7340 8294 +rect 7288 8230 7340 8236 +rect 7196 7540 7248 7546 +rect 7196 7482 7248 7488 +rect 7300 7342 7328 8230 +rect 7288 7336 7340 7342 +rect 7288 7278 7340 7284 +rect 7104 6316 7156 6322 +rect 7104 6258 7156 6264 +rect 7116 4826 7144 6258 +rect 7300 5914 7328 7278 +rect 7392 6866 7420 8298 +rect 7484 7886 7512 8486 +rect 7838 8463 7894 8472 rect 7566 8188 7874 8208 rect 7566 8186 7572 8188 rect 7628 8186 7652 8188 @@ -6820,41 +6819,23 @@ rect 7708 8132 7732 8134 rect 7788 8132 7812 8134 rect 7868 8132 7874 8134 rect 7566 8112 7874 8132 -rect 7748 8016 7800 8022 -rect 7748 7958 7800 7964 +rect 7840 8016 7892 8022 +rect 7840 7958 7892 7964 rect 7472 7880 7524 7886 rect 7472 7822 7524 7828 -rect 7380 6860 7432 6866 -rect 7380 6802 7432 6808 -rect 7380 6656 7432 6662 -rect 7380 6598 7432 6604 -rect 7392 5914 7420 6598 -rect 7380 5908 7432 5914 -rect 7380 5850 7432 5856 -rect 7392 5030 7420 5850 -rect 7484 5302 7512 7822 -rect 7564 7812 7616 7818 -rect 7564 7754 7616 7760 -rect 7576 7449 7604 7754 -rect 7656 7472 7708 7478 -rect 7562 7440 7618 7449 -rect 7760 7449 7788 7958 -rect 7840 7880 7892 7886 -rect 7838 7848 7840 7857 -rect 7892 7848 7894 7857 -rect 7838 7783 7894 7792 +rect 7852 7750 7880 7958 rect 7840 7744 7892 7750 rect 7840 7686 7892 7692 -rect 7656 7414 7708 7420 -rect 7746 7440 7802 7449 -rect 7562 7375 7618 7384 -rect 7668 7313 7696 7414 -rect 7746 7375 7802 7384 -rect 7654 7304 7710 7313 -rect 7852 7274 7880 7686 -rect 7654 7239 7710 7248 -rect 7840 7268 7892 7274 -rect 7840 7210 7892 7216 +rect 7564 7540 7616 7546 +rect 7564 7482 7616 7488 +rect 7470 7440 7526 7449 +rect 7470 7375 7526 7384 +rect 7380 6860 7432 6866 +rect 7380 6802 7432 6808 +rect 7484 6730 7512 7375 +rect 7576 7342 7604 7482 +rect 7564 7336 7616 7342 +rect 7564 7278 7616 7284 rect 7566 7100 7874 7120 rect 7566 7098 7572 7100 rect 7628 7098 7652 7100 @@ -6869,47 +6850,93 @@ rect 7708 7044 7732 7046 rect 7788 7044 7812 7046 rect 7868 7044 7874 7046 rect 7566 7024 7874 7044 -rect 7838 6896 7894 6905 -rect 7838 6831 7894 6840 -rect 7852 6798 7880 6831 -rect 7840 6792 7892 6798 -rect 7840 6734 7892 6740 -rect 7840 6452 7892 6458 -rect 7840 6394 7892 6400 -rect 7852 6100 7880 6394 +rect 7472 6724 7524 6730 +rect 7392 6684 7472 6712 +rect 7288 5908 7340 5914 +rect 7288 5850 7340 5856 +rect 7104 4820 7156 4826 +rect 7104 4762 7156 4768 +rect 7012 4684 7064 4690 +rect 7012 4626 7064 4632 +rect 6920 3392 6972 3398 +rect 6826 3360 6882 3369 +rect 6920 3334 6972 3340 +rect 6826 3295 6882 3304 +rect 7288 3120 7340 3126 +rect 7392 3108 7420 6684 +rect 7472 6666 7524 6672 rect 7944 6390 7972 10639 -rect 8036 10470 8064 10950 -rect 8116 10804 8168 10810 -rect 8116 10746 8168 10752 -rect 8024 10464 8076 10470 -rect 8024 10406 8076 10412 -rect 8036 8022 8064 10406 -rect 8128 9722 8156 10746 -rect 8116 9716 8168 9722 -rect 8116 9658 8168 9664 -rect 8220 9654 8248 11290 -rect 9508 11150 9536 12271 -rect 13818 11928 13874 11937 -rect 13818 11863 13874 11872 -rect 13450 11520 13506 11529 -rect 13450 11455 13506 11464 -rect 9496 11144 9548 11150 -rect 9496 11086 9548 11092 -rect 8300 11076 8352 11082 -rect 8300 11018 8352 11024 -rect 8208 9648 8260 9654 -rect 8208 9590 8260 9596 -rect 8208 8900 8260 8906 -rect 8208 8842 8260 8848 -rect 8024 8016 8076 8022 -rect 8024 7958 8076 7964 -rect 8114 7848 8170 7857 -rect 8114 7783 8170 7792 -rect 8022 7304 8078 7313 -rect 8022 7239 8078 7248 +rect 8036 9654 8064 11222 +rect 8116 11144 8168 11150 +rect 8116 11086 8168 11092 +rect 8024 9648 8076 9654 +rect 8024 9590 8076 9596 +rect 8024 8832 8076 8838 +rect 8024 8774 8076 8780 +rect 8036 8090 8064 8774 +rect 8024 8084 8076 8090 +rect 8024 8026 8076 8032 +rect 8128 8022 8156 11086 +rect 8208 11008 8260 11014 +rect 8208 10950 8260 10956 +rect 8220 10826 8248 10950 +rect 8220 10798 8340 10826 +rect 8208 10668 8260 10674 +rect 8208 10610 8260 10616 +rect 8220 9178 8248 10610 +rect 8208 9172 8260 9178 +rect 8208 9114 8260 9120 +rect 8312 9058 8340 10798 +rect 8220 9030 8340 9058 +rect 8116 8016 8168 8022 +rect 8116 7958 8168 7964 +rect 8116 7812 8168 7818 +rect 8116 7754 8168 7760 +rect 8128 6390 8156 7754 +rect 8220 7410 8248 9030 +rect 8404 8922 8432 11222 +rect 8852 11076 8904 11082 +rect 8852 11018 8904 11024 +rect 9036 11076 9088 11082 +rect 9036 11018 9088 11024 +rect 8760 10600 8812 10606 +rect 8760 10542 8812 10548 +rect 8484 10464 8536 10470 +rect 8484 10406 8536 10412 +rect 8496 9586 8524 10406 +rect 8772 10266 8800 10542 +rect 8760 10260 8812 10266 +rect 8760 10202 8812 10208 +rect 8864 9874 8892 11018 +rect 8772 9846 8892 9874 +rect 8484 9580 8536 9586 +rect 8484 9522 8536 9528 +rect 8668 9376 8720 9382 +rect 8668 9318 8720 9324 +rect 8312 8894 8432 8922 +rect 8312 7478 8340 8894 +rect 8392 8832 8444 8838 +rect 8392 8774 8444 8780 +rect 8404 7886 8432 8774 +rect 8484 8628 8536 8634 +rect 8484 8570 8536 8576 +rect 8392 7880 8444 7886 +rect 8392 7822 8444 7828 +rect 8300 7472 8352 7478 +rect 8300 7414 8352 7420 +rect 8208 7404 8260 7410 +rect 8208 7346 8260 7352 +rect 8300 6792 8352 6798 +rect 8300 6734 8352 6740 +rect 8312 6390 8340 6734 +rect 8392 6656 8444 6662 +rect 8392 6598 8444 6604 rect 7932 6384 7984 6390 rect 7932 6326 7984 6332 -rect 7852 6072 7972 6100 +rect 8116 6384 8168 6390 +rect 8116 6326 8168 6332 +rect 8300 6384 8352 6390 +rect 8300 6326 8352 6332 rect 7566 6012 7874 6032 rect 7566 6010 7572 6012 rect 7628 6010 7652 6012 @@ -6924,81 +6951,78 @@ rect 7708 5956 7732 5958 rect 7788 5956 7812 5958 rect 7868 5956 7874 5958 rect 7566 5936 7874 5956 -rect 7840 5568 7892 5574 -rect 7840 5510 7892 5516 -rect 7472 5296 7524 5302 -rect 7472 5238 7524 5244 -rect 7852 5137 7880 5510 -rect 7944 5234 7972 6072 -rect 7932 5228 7984 5234 -rect 7932 5170 7984 5176 -rect 7838 5128 7894 5137 -rect 7838 5063 7894 5072 -rect 8036 5030 8064 7239 -rect 8128 7041 8156 7783 -rect 8114 7032 8170 7041 -rect 8114 6967 8170 6976 -rect 8116 6724 8168 6730 -rect 8116 6666 8168 6672 -rect 8128 6254 8156 6666 -rect 8220 6304 8248 8842 -rect 8312 6934 8340 11018 -rect 9220 11008 9272 11014 -rect 9220 10950 9272 10956 -rect 8392 10600 8444 10606 -rect 8392 10542 8444 10548 -rect 8404 10130 8432 10542 -rect 8484 10464 8536 10470 -rect 8484 10406 8536 10412 -rect 8668 10464 8720 10470 -rect 8668 10406 8720 10412 -rect 8496 10266 8524 10406 -rect 8484 10260 8536 10266 -rect 8484 10202 8536 10208 -rect 8680 10198 8708 10406 -rect 8668 10192 8720 10198 -rect 8668 10134 8720 10140 -rect 8392 10124 8444 10130 -rect 8392 10066 8444 10072 -rect 8392 9988 8444 9994 -rect 8392 9930 8444 9936 -rect 8404 7721 8432 9930 -rect 8668 9920 8720 9926 -rect 8668 9862 8720 9868 -rect 8482 8392 8538 8401 -rect 8482 8327 8538 8336 -rect 8496 7886 8524 8327 -rect 8576 8084 8628 8090 -rect 8576 8026 8628 8032 -rect 8484 7880 8536 7886 -rect 8484 7822 8536 7828 -rect 8484 7744 8536 7750 -rect 8390 7712 8446 7721 -rect 8484 7686 8536 7692 -rect 8390 7647 8446 7656 -rect 8496 7478 8524 7686 -rect 8484 7472 8536 7478 -rect 8484 7414 8536 7420 -rect 8392 7404 8444 7410 -rect 8392 7346 8444 7352 -rect 8300 6928 8352 6934 -rect 8300 6870 8352 6876 -rect 8220 6276 8340 6304 -rect 8116 6248 8168 6254 -rect 8116 6190 8168 6196 +rect 8024 5908 8076 5914 +rect 8024 5850 8076 5856 +rect 7472 5636 7524 5642 +rect 7472 5578 7524 5584 +rect 7484 4282 7512 5578 +rect 8036 5030 8064 5850 +rect 8128 5370 8156 6326 +rect 8404 6322 8432 6598 +rect 8392 6316 8444 6322 +rect 8392 6258 8444 6264 rect 8208 6180 8260 6186 rect 8208 6122 8260 6128 -rect 8116 6112 8168 6118 -rect 8116 6054 8168 6060 -rect 8128 5710 8156 6054 -rect 8116 5704 8168 5710 -rect 8116 5646 8168 5652 -rect 8116 5568 8168 5574 -rect 8116 5510 8168 5516 -rect 7380 5024 7432 5030 -rect 7380 4966 7432 4972 -rect 7932 5024 7984 5030 -rect 7932 4966 7984 4972 +rect 8220 5409 8248 6122 +rect 8300 5772 8352 5778 +rect 8300 5714 8352 5720 +rect 8312 5574 8340 5714 +rect 8496 5658 8524 8570 +rect 8680 7002 8708 9318 +rect 8772 8974 8800 9846 +rect 8760 8968 8812 8974 +rect 8760 8910 8812 8916 +rect 8772 8498 8800 8910 +rect 8760 8492 8812 8498 +rect 8760 8434 8812 8440 +rect 8772 8265 8800 8434 +rect 8758 8256 8814 8265 +rect 8758 8191 8814 8200 +rect 8772 7449 8800 8191 +rect 8758 7440 8814 7449 +rect 8758 7375 8814 7384 +rect 8760 7268 8812 7274 +rect 8760 7210 8812 7216 +rect 8668 6996 8720 7002 +rect 8668 6938 8720 6944 +rect 8772 6798 8800 7210 +rect 8852 7200 8904 7206 +rect 8852 7142 8904 7148 +rect 8944 7200 8996 7206 +rect 8944 7142 8996 7148 +rect 8576 6792 8628 6798 +rect 8576 6734 8628 6740 +rect 8760 6792 8812 6798 +rect 8760 6734 8812 6740 +rect 8588 5914 8616 6734 +rect 8864 6322 8892 7142 +rect 8760 6316 8812 6322 +rect 8760 6258 8812 6264 +rect 8852 6316 8904 6322 +rect 8852 6258 8904 6264 +rect 8668 6180 8720 6186 +rect 8668 6122 8720 6128 +rect 8576 5908 8628 5914 +rect 8576 5850 8628 5856 +rect 8680 5846 8708 6122 +rect 8772 5846 8800 6258 +rect 8668 5840 8720 5846 +rect 8668 5782 8720 5788 +rect 8760 5840 8812 5846 +rect 8760 5782 8812 5788 +rect 8496 5630 8708 5658 +rect 8300 5568 8352 5574 +rect 8300 5510 8352 5516 +rect 8484 5568 8536 5574 +rect 8484 5510 8536 5516 +rect 8206 5400 8262 5409 +rect 8116 5364 8168 5370 +rect 8206 5335 8262 5344 +rect 8116 5306 8168 5312 +rect 8116 5228 8168 5234 +rect 8116 5170 8168 5176 +rect 8392 5228 8444 5234 +rect 8392 5170 8444 5176 rect 8024 5024 8076 5030 rect 8024 4966 8076 4972 rect 7566 4924 7874 4944 @@ -7015,81 +7039,11 @@ rect 7708 4868 7732 4870 rect 7788 4868 7812 4870 rect 7868 4868 7874 4870 rect 7566 4848 7874 4868 -rect 7472 4820 7524 4826 -rect 7472 4762 7524 4768 -rect 7484 4146 7512 4762 -rect 7944 4758 7972 4966 -rect 7932 4752 7984 4758 -rect 7932 4694 7984 4700 -rect 7746 4176 7802 4185 -rect 7472 4140 7524 4146 -rect 8128 4146 8156 5510 -rect 8220 5409 8248 6122 -rect 8206 5400 8262 5409 -rect 8206 5335 8262 5344 -rect 8312 5250 8340 6276 -rect 8404 5370 8432 7346 -rect 8496 6730 8524 7414 -rect 8588 6882 8616 8026 -rect 8680 7449 8708 9862 -rect 9036 9376 9088 9382 -rect 9036 9318 9088 9324 -rect 8760 9104 8812 9110 -rect 8760 9046 8812 9052 -rect 8772 8498 8800 9046 -rect 8852 8968 8904 8974 -rect 8852 8910 8904 8916 -rect 8760 8492 8812 8498 -rect 8760 8434 8812 8440 -rect 8864 8378 8892 8910 -rect 8944 8900 8996 8906 -rect 8944 8842 8996 8848 -rect 8956 8498 8984 8842 -rect 8944 8492 8996 8498 -rect 8944 8434 8996 8440 -rect 8772 8362 8892 8378 -rect 8760 8356 8892 8362 -rect 8812 8350 8892 8356 -rect 8760 8298 8812 8304 -rect 8666 7440 8722 7449 -rect 8666 7375 8722 7384 -rect 8772 7002 8800 8298 -rect 8944 7948 8996 7954 -rect 8944 7890 8996 7896 -rect 8850 7576 8906 7585 -rect 8850 7511 8852 7520 -rect 8904 7511 8906 7520 -rect 8852 7482 8904 7488 -rect 8852 7200 8904 7206 -rect 8852 7142 8904 7148 -rect 8760 6996 8812 7002 -rect 8760 6938 8812 6944 -rect 8588 6854 8708 6882 -rect 8576 6792 8628 6798 -rect 8576 6734 8628 6740 -rect 8484 6724 8536 6730 -rect 8484 6666 8536 6672 -rect 8482 6624 8538 6633 -rect 8482 6559 8538 6568 -rect 8496 6322 8524 6559 -rect 8484 6316 8536 6322 -rect 8484 6258 8536 6264 -rect 8588 5914 8616 6734 -rect 8576 5908 8628 5914 -rect 8576 5850 8628 5856 -rect 8484 5840 8536 5846 -rect 8484 5782 8536 5788 -rect 8392 5364 8444 5370 -rect 8392 5306 8444 5312 -rect 8220 5222 8340 5250 -rect 7746 4111 7748 4120 -rect 7472 4082 7524 4088 -rect 7800 4111 7802 4120 -rect 8116 4140 8168 4146 -rect 7748 4082 7800 4088 -rect 8116 4082 8168 4088 -rect 8024 3936 8076 3942 -rect 8024 3878 8076 3884 +rect 7472 4276 7524 4282 +rect 7472 4218 7524 4224 +rect 7472 3936 7524 3942 +rect 7472 3878 7524 3884 +rect 7484 3602 7512 3878 rect 7566 3836 7874 3856 rect 7566 3834 7572 3836 rect 7628 3834 7652 3836 @@ -7104,9 +7058,12 @@ rect 7708 3780 7732 3782 rect 7788 3780 7812 3782 rect 7868 3780 7874 3782 rect 7566 3760 7874 3780 -rect 8036 3466 8064 3878 -rect 8024 3460 8076 3466 -rect 8024 3402 8076 3408 +rect 7472 3596 7524 3602 +rect 7472 3538 7524 3544 +rect 7340 3080 7420 3108 +rect 7288 3062 7340 3068 +rect 7196 2032 7248 2038 +rect 7300 2020 7328 3062 rect 7566 2748 7874 2768 rect 7566 2746 7572 2748 rect 7628 2746 7652 2748 @@ -7121,12 +7078,49 @@ rect 7708 2692 7732 2694 rect 7788 2692 7812 2694 rect 7868 2692 7874 2694 rect 7566 2672 7874 2692 -rect 7288 2644 7340 2650 -rect 7288 2586 7340 2592 -rect 7104 1896 7156 1902 -rect 7104 1838 7156 1844 -rect 6920 1760 6972 1766 -rect 6920 1702 6972 1708 +rect 8128 2514 8156 5170 +rect 8208 5092 8260 5098 +rect 8208 5034 8260 5040 +rect 8220 4010 8248 5034 +rect 8404 4826 8432 5170 +rect 8392 4820 8444 4826 +rect 8392 4762 8444 4768 +rect 8392 4072 8444 4078 +rect 8392 4014 8444 4020 +rect 8208 4004 8260 4010 +rect 8208 3946 8260 3952 +rect 8300 3460 8352 3466 +rect 8300 3402 8352 3408 +rect 8312 3194 8340 3402 +rect 8300 3188 8352 3194 +rect 8300 3130 8352 3136 +rect 8404 2990 8432 4014 +rect 8496 3058 8524 5510 +rect 8484 3052 8536 3058 +rect 8484 2994 8536 3000 +rect 8392 2984 8444 2990 +rect 8206 2952 8262 2961 +rect 8392 2926 8444 2932 +rect 8206 2887 8262 2896 +rect 8220 2582 8248 2887 +rect 8208 2576 8260 2582 +rect 8208 2518 8260 2524 +rect 8116 2508 8168 2514 +rect 8116 2450 8168 2456 +rect 7932 2440 7984 2446 +rect 7932 2382 7984 2388 +rect 7472 2372 7524 2378 +rect 7472 2314 7524 2320 +rect 7248 1992 7328 2020 +rect 7196 1974 7248 1980 +rect 7300 1494 7328 1992 +rect 7484 1562 7512 2314 +rect 7944 2106 7972 2382 +rect 7932 2100 7984 2106 +rect 7932 2042 7984 2048 +rect 8404 1970 8432 2926 +rect 8392 1964 8444 1970 +rect 8392 1906 8444 1912 rect 7566 1660 7874 1680 rect 7566 1658 7572 1660 rect 7628 1658 7652 1660 @@ -7141,193 +7135,150 @@ rect 7708 1604 7732 1606 rect 7788 1604 7812 1606 rect 7868 1604 7874 1606 rect 7566 1584 7874 1604 -rect 6460 1352 6512 1358 -rect 5080 1284 5132 1290 -rect 5920 1278 6040 1306 -rect 6460 1294 6512 1300 -rect 5080 1226 5132 1232 -rect 6012 1222 6040 1278 -rect 8220 1222 8248 5222 -rect 8496 4146 8524 5782 -rect 8680 5234 8708 6854 -rect 8864 6322 8892 7142 -rect 8760 6316 8812 6322 -rect 8760 6258 8812 6264 -rect 8852 6316 8904 6322 -rect 8852 6258 8904 6264 -rect 8772 5846 8800 6258 -rect 8760 5840 8812 5846 -rect 8760 5782 8812 5788 -rect 8772 5370 8800 5782 -rect 8852 5568 8904 5574 -rect 8956 5556 8984 7890 -rect 9048 7002 9076 9318 -rect 9232 7818 9260 10950 -rect 9508 10810 9536 11086 -rect 9496 10804 9548 10810 -rect 9496 10746 9548 10752 -rect 9312 10668 9364 10674 -rect 9312 10610 9364 10616 -rect 9324 8090 9352 10610 -rect 9494 10296 9550 10305 -rect 9494 10231 9550 10240 +rect 7472 1556 7524 1562 +rect 7472 1498 7524 1504 +rect 7288 1488 7340 1494 +rect 7288 1430 7340 1436 +rect 8680 1358 8708 5630 +rect 8772 5302 8800 5782 +rect 8760 5296 8812 5302 +rect 8760 5238 8812 5244 +rect 8760 5024 8812 5030 +rect 8760 4966 8812 4972 +rect 8772 4690 8800 4966 +rect 8760 4684 8812 4690 +rect 8760 4626 8812 4632 +rect 8956 3777 8984 7142 +rect 9048 6730 9076 11018 rect 9404 10056 9456 10062 rect 9404 9998 9456 10004 -rect 9416 9178 9444 9998 -rect 9404 9172 9456 9178 -rect 9404 9114 9456 9120 -rect 9508 8634 9536 10231 +rect 9128 8968 9180 8974 +rect 9128 8910 9180 8916 +rect 9140 8634 9168 8910 +rect 9128 8628 9180 8634 +rect 9128 8570 9180 8576 +rect 9416 8430 9444 9998 rect 9588 9376 9640 9382 rect 9588 9318 9640 9324 -rect 9496 8628 9548 8634 -rect 9496 8570 9548 8576 -rect 9312 8084 9364 8090 -rect 9312 8026 9364 8032 -rect 9310 7984 9366 7993 -rect 9310 7919 9366 7928 -rect 9220 7812 9272 7818 -rect 9220 7754 9272 7760 -rect 9324 7410 9352 7919 +rect 9404 8424 9456 8430 +rect 9404 8366 9456 8372 +rect 9416 8090 9444 8366 +rect 9404 8084 9456 8090 +rect 9404 8026 9456 8032 rect 9600 7857 9628 9318 rect 9586 7848 9642 7857 +rect 9404 7812 9456 7818 rect 9586 7783 9642 7792 +rect 9404 7754 9456 7760 +rect 9312 7744 9364 7750 +rect 9312 7686 9364 7692 +rect 9324 7410 9352 7686 +rect 9416 7546 9444 7754 +rect 9404 7540 9456 7546 +rect 9404 7482 9456 7488 rect 9312 7404 9364 7410 rect 9312 7346 9364 7352 -rect 9128 7200 9180 7206 -rect 9128 7142 9180 7148 -rect 9036 6996 9088 7002 -rect 9036 6938 9088 6944 -rect 9048 6225 9076 6938 -rect 9034 6216 9090 6225 -rect 9034 6151 9090 6160 +rect 9496 6996 9548 7002 +rect 9496 6938 9548 6944 +rect 9036 6724 9088 6730 +rect 9036 6666 9088 6672 +rect 9312 6656 9364 6662 +rect 9364 6616 9444 6644 +rect 9312 6598 9364 6604 +rect 9220 6112 9272 6118 +rect 9220 6054 9272 6060 rect 9036 5704 9088 5710 rect 9036 5646 9088 5652 -rect 8904 5528 8984 5556 -rect 8852 5510 8904 5516 -rect 8760 5364 8812 5370 -rect 8760 5306 8812 5312 -rect 8668 5228 8720 5234 -rect 8668 5170 8720 5176 -rect 8864 5216 8892 5510 -rect 8944 5228 8996 5234 -rect 8864 5188 8944 5216 -rect 8300 4140 8352 4146 -rect 8300 4082 8352 4088 -rect 8484 4140 8536 4146 -rect 8484 4082 8536 4088 -rect 8312 3194 8340 4082 -rect 8760 4072 8812 4078 -rect 8760 4014 8812 4020 -rect 8392 4004 8444 4010 -rect 8392 3946 8444 3952 -rect 8300 3188 8352 3194 -rect 8300 3130 8352 3136 -rect 8312 1358 8340 3130 -rect 8404 2446 8432 3946 -rect 8772 3126 8800 4014 -rect 8760 3120 8812 3126 -rect 8760 3062 8812 3068 -rect 8392 2440 8444 2446 -rect 8392 2382 8444 2388 -rect 8760 2440 8812 2446 -rect 8760 2382 8812 2388 -rect 8772 1766 8800 2382 -rect 8760 1760 8812 1766 -rect 8864 1737 8892 5188 -rect 8944 5170 8996 5176 -rect 8944 3936 8996 3942 -rect 8944 3878 8996 3884 -rect 8956 1970 8984 3878 +rect 8942 3768 8998 3777 +rect 8942 3703 8998 3712 +rect 8760 2984 8812 2990 +rect 8760 2926 8812 2932 +rect 4896 1352 4948 1358 +rect 4896 1294 4948 1300 +rect 5448 1352 5500 1358 +rect 5448 1294 5500 1300 +rect 6092 1352 6144 1358 +rect 6092 1294 6144 1300 +rect 6736 1352 6788 1358 +rect 6736 1294 6788 1300 +rect 8668 1352 8720 1358 +rect 8668 1294 8720 1300 +rect 8772 1290 8800 2926 rect 9048 2650 9076 5646 -rect 9140 3777 9168 7142 -rect 9312 6656 9364 6662 -rect 9312 6598 9364 6604 -rect 9324 5114 9352 6598 -rect 9588 6316 9640 6322 -rect 9588 6258 9640 6264 -rect 9496 6180 9548 6186 -rect 9496 6122 9548 6128 -rect 9508 5234 9536 6122 -rect 9600 5710 9628 6258 -rect 13464 5778 13492 11455 -rect 13832 11286 13860 11863 -rect 13820 11280 13872 11286 -rect 13820 11222 13872 11228 +rect 9232 4622 9260 6054 +rect 9312 5840 9364 5846 +rect 9312 5782 9364 5788 +rect 9220 4616 9272 4622 +rect 9220 4558 9272 4564 +rect 9324 4298 9352 5782 +rect 9232 4270 9352 4298 +rect 9232 4146 9260 4270 +rect 9220 4140 9272 4146 +rect 9220 4082 9272 4088 +rect 9232 2990 9260 4082 +rect 9312 3936 9364 3942 +rect 9312 3878 9364 3884 +rect 9324 3534 9352 3878 +rect 9416 3534 9444 6616 +rect 9508 6225 9536 6938 +rect 9864 6316 9916 6322 +rect 9864 6258 9916 6264 +rect 9494 6216 9550 6225 +rect 9494 6151 9550 6160 +rect 9496 5840 9548 5846 +rect 9494 5808 9496 5817 +rect 9548 5808 9550 5817 +rect 9494 5743 9550 5752 +rect 9876 5710 9904 6258 +rect 13464 6118 13492 11455 +rect 13740 10606 13768 11863 +rect 13832 11354 13860 12271 +rect 13820 11348 13872 11354 +rect 13820 11290 13872 11296 rect 13818 11112 13874 11121 rect 13818 11047 13874 11056 -rect 13832 10538 13860 11047 -rect 13820 10532 13872 10538 -rect 13820 10474 13872 10480 -rect 13820 10260 13872 10266 -rect 13820 10202 13872 10208 -rect 13542 9888 13598 9897 -rect 13542 9823 13598 9832 -rect 13556 8634 13584 9823 -rect 13832 9489 13860 10202 +rect 13832 10742 13860 11047 +rect 13912 10804 13964 10810 +rect 13912 10746 13964 10752 +rect 13820 10736 13872 10742 +rect 13820 10678 13872 10684 +rect 13728 10600 13780 10606 +rect 13728 10542 13780 10548 +rect 13634 10296 13690 10305 +rect 13634 10231 13690 10240 +rect 13544 9988 13596 9994 +rect 13544 9930 13596 9936 +rect 13556 7449 13584 9930 +rect 13648 8362 13676 10231 rect 13818 9480 13874 9489 +rect 13924 9466 13952 10746 +rect 13874 9438 13952 9466 rect 13818 9415 13874 9424 +rect 13728 9104 13780 9110 +rect 13728 9046 13780 9052 rect 13818 9072 13874 9081 -rect 13636 9036 13688 9042 +rect 13740 8673 13768 9046 rect 13818 9007 13874 9016 -rect 13636 8978 13688 8984 -rect 13544 8628 13596 8634 -rect 13544 8570 13596 8576 -rect 13648 8265 13676 8978 -rect 13728 8832 13780 8838 -rect 13728 8774 13780 8780 -rect 13740 8673 13768 8774 rect 13726 8664 13782 8673 rect 13726 8599 13782 8608 -rect 13634 8256 13690 8265 -rect 13634 8191 13690 8200 +rect 13636 8356 13688 8362 +rect 13636 8298 13688 8304 +rect 13542 7440 13598 7449 +rect 13542 7375 13598 7384 rect 13728 6860 13780 6866 rect 13728 6802 13780 6808 rect 13740 6633 13768 6802 rect 13726 6624 13782 6633 rect 13726 6559 13782 6568 -rect 13726 5808 13782 5817 -rect 13452 5772 13504 5778 -rect 13726 5743 13782 5752 -rect 13452 5714 13504 5720 -rect 9588 5704 9640 5710 -rect 9588 5646 9640 5652 -rect 9496 5228 9548 5234 -rect 9496 5170 9548 5176 -rect 9220 5092 9272 5098 -rect 9324 5086 9444 5114 -rect 9220 5034 9272 5040 -rect 9232 4622 9260 5034 -rect 9312 5024 9364 5030 -rect 9312 4966 9364 4972 -rect 9324 4690 9352 4966 -rect 9312 4684 9364 4690 -rect 9312 4626 9364 4632 -rect 9220 4616 9272 4622 -rect 9220 4558 9272 4564 -rect 9312 4480 9364 4486 -rect 9312 4422 9364 4428 -rect 9126 3768 9182 3777 -rect 9126 3703 9182 3712 -rect 9324 3534 9352 4422 -rect 9416 3534 9444 5086 -rect 9494 4584 9550 4593 -rect 9600 4570 9628 5646 -rect 9550 4542 9628 4570 -rect 9494 4519 9550 4528 -rect 9508 3738 9536 4519 -rect 13740 4146 13768 5743 -rect 13832 5642 13860 9007 -rect 13820 5636 13872 5642 -rect 13820 5578 13872 5584 -rect 13820 4820 13872 4826 -rect 13820 4762 13872 4768 -rect 13832 4185 13860 4762 -rect 13818 4176 13874 4185 -rect 13728 4140 13780 4146 -rect 13818 4111 13874 4120 -rect 13728 4082 13780 4088 -rect 9496 3732 9548 3738 -rect 9496 3674 9548 3680 +rect 13452 6112 13504 6118 +rect 13452 6054 13504 6060 +rect 13832 5778 13860 9007 +rect 13820 5772 13872 5778 +rect 13820 5714 13872 5720 +rect 9864 5704 9916 5710 +rect 9864 5646 9916 5652 +rect 9588 5024 9640 5030 +rect 9588 4966 9640 4972 rect 9312 3528 9364 3534 rect 9312 3470 9364 3476 rect 9404 3528 9456 3534 @@ -7337,34 +7288,36 @@ rect 9312 3334 9364 3340 rect 9324 3194 9352 3334 rect 9312 3188 9364 3194 rect 9312 3130 9364 3136 +rect 9220 2984 9272 2990 +rect 9220 2926 9272 2932 rect 9404 2984 9456 2990 rect 9404 2926 9456 2932 -rect 13818 2952 13874 2961 rect 9036 2644 9088 2650 rect 9036 2586 9088 2592 rect 9220 2440 9272 2446 rect 9220 2382 9272 2388 -rect 9232 2106 9260 2382 +rect 9232 2145 9260 2382 rect 9312 2304 9364 2310 rect 9312 2246 9364 2252 -rect 9220 2100 9272 2106 -rect 9220 2042 9272 2048 -rect 8944 1964 8996 1970 -rect 8944 1906 8996 1912 -rect 8760 1702 8812 1708 -rect 8850 1728 8906 1737 -rect 8850 1663 8906 1672 +rect 9218 2136 9274 2145 +rect 9218 2071 9274 2080 +rect 9036 1760 9088 1766 +rect 9036 1702 9088 1708 +rect 9048 1358 9076 1702 +rect 9232 1562 9260 2071 +rect 9220 1556 9272 1562 +rect 9220 1498 9272 1504 rect 9324 1426 9352 2246 rect 9312 1420 9364 1426 rect 9312 1362 9364 1368 -rect 8300 1352 8352 1358 -rect 8300 1294 8352 1300 -rect 4252 1216 4304 1222 -rect 4252 1158 4304 1164 -rect 6000 1216 6052 1222 -rect 6000 1158 6052 1164 -rect 8208 1216 8260 1222 -rect 8208 1158 8260 1164 +rect 9036 1352 9088 1358 +rect 9036 1294 9088 1300 +rect 4068 1284 4120 1290 +rect 4068 1226 4120 1232 +rect 8760 1284 8812 1290 +rect 8760 1226 8812 1232 +rect 6828 1216 6880 1222 +rect 6828 1158 6880 1164 rect 5066 1116 5374 1136 rect 5066 1114 5072 1116 rect 5128 1114 5152 1116 @@ -7379,30 +7332,36 @@ rect 5208 1060 5232 1062 rect 5288 1060 5312 1062 rect 5368 1060 5374 1062 rect 5066 1040 5374 1060 +rect 6840 1018 6868 1158 +rect 3608 1012 3660 1018 +rect 3608 954 3660 960 +rect 6828 1012 6880 1018 +rect 6828 954 6880 960 rect 9416 921 9444 2926 -rect 13818 2887 13820 2896 -rect 13872 2887 13874 2896 -rect 16672 2916 16724 2922 -rect 13820 2858 13872 2864 -rect 16672 2858 16724 2864 rect 9496 2440 9548 2446 rect 9496 2382 9548 2388 -rect 16580 2440 16632 2446 -rect 16580 2382 16632 2388 rect 9402 912 9458 921 rect 9402 847 9458 856 rect 9508 513 9536 2382 -rect 13820 2304 13872 2310 -rect 13820 2246 13872 2252 -rect 13832 1329 13860 2246 -rect 16592 2145 16620 2382 -rect 16684 2310 16712 2858 -rect 16672 2304 16724 2310 -rect 16672 2246 16724 2252 -rect 16578 2136 16634 2145 -rect 16578 2071 16634 2080 -rect 13818 1320 13874 1329 -rect 13818 1255 13874 1264 +rect 9600 1737 9628 4966 +rect 9876 4593 9904 5646 +rect 13820 4820 13872 4826 +rect 13820 4762 13872 4768 +rect 9862 4584 9918 4593 +rect 9862 4519 9918 4528 +rect 9876 3738 9904 4519 +rect 13832 4185 13860 4762 +rect 13818 4176 13874 4185 +rect 13818 4111 13874 4120 +rect 9864 3732 9916 3738 +rect 9864 3674 9916 3680 +rect 9586 1728 9642 1737 +rect 9586 1663 9642 1672 +rect 16580 1420 16632 1426 +rect 16580 1362 16632 1368 +rect 16592 1329 16620 1362 +rect 16578 1320 16634 1329 +rect 16578 1255 16634 1264 rect 9494 504 9550 513 rect 9494 439 9550 448 << via2 >> @@ -7424,10 +7383,6 @@ rect 2572 11396 2628 11398 rect 2652 11396 2708 11398 rect 2732 11396 2788 11398 rect 2812 11396 2868 11398 -rect 1858 11092 1860 11112 -rect 1860 11092 1912 11112 -rect 1912 11092 1914 11112 -rect 1858 11056 1914 11092 rect 2572 10362 2628 10364 rect 2652 10362 2708 10364 rect 2732 10362 2788 10364 @@ -7446,6 +7401,7 @@ rect 2572 10308 2628 10310 rect 2652 10308 2708 10310 rect 2732 10308 2788 10310 rect 2812 10308 2868 10310 +rect 2778 9968 2834 10024 rect 2572 9274 2628 9276 rect 2652 9274 2708 9276 rect 2732 9274 2788 9276 @@ -7464,6 +7420,7 @@ rect 2572 9220 2628 9222 rect 2652 9220 2708 9222 rect 2732 9220 2788 9222 rect 2812 9220 2868 9222 +rect 13818 12280 13874 12336 rect 2572 8186 2628 8188 rect 2652 8186 2708 8188 rect 2732 8186 2788 8188 @@ -7482,6 +7439,10 @@ rect 2572 8132 2628 8134 rect 2652 8132 2708 8134 rect 2732 8132 2788 8134 rect 2812 8132 2868 8134 +rect 2778 7420 2780 7440 +rect 2780 7420 2832 7440 +rect 2832 7420 2834 7440 +rect 2778 7384 2834 7420 rect 2572 7098 2628 7100 rect 2652 7098 2708 7100 rect 2732 7098 2788 7100 @@ -7500,6 +7461,10 @@ rect 2572 7044 2628 7046 rect 2652 7044 2708 7046 rect 2732 7044 2788 7046 rect 2812 7044 2868 7046 +rect 2686 6196 2688 6216 +rect 2688 6196 2740 6216 +rect 2740 6196 2742 6216 +rect 2686 6160 2742 6196 rect 2572 6010 2628 6012 rect 2652 6010 2708 6012 rect 2732 6010 2788 6012 @@ -7518,7 +7483,10 @@ rect 2572 5956 2628 5958 rect 2652 5956 2708 5958 rect 2732 5956 2788 5958 rect 2812 5956 2868 5958 -rect 2686 3380 2742 3436 +rect 3882 7404 3938 7440 +rect 3882 7384 3884 7404 +rect 3884 7384 3936 7404 +rect 3936 7384 3938 7404 rect 5072 10906 5128 10908 rect 5152 10906 5208 10908 rect 5232 10906 5288 10908 @@ -7537,7 +7505,6 @@ rect 5072 10852 5128 10854 rect 5152 10852 5208 10854 rect 5232 10852 5288 10854 rect 5312 10852 5368 10854 -rect 4434 7928 4490 7984 rect 5072 9818 5128 9820 rect 5152 9818 5208 9820 rect 5232 9818 5288 9820 @@ -7556,7 +7523,11 @@ rect 5072 9764 5128 9766 rect 5152 9764 5208 9766 rect 5232 9764 5288 9766 rect 5312 9764 5368 9766 -rect 4618 4120 4674 4176 +rect 2778 3440 2834 3496 +rect 5078 8916 5080 8936 +rect 5080 8916 5132 8936 +rect 5132 8916 5134 8936 +rect 5078 8880 5134 8916 rect 5072 8730 5128 8732 rect 5152 8730 5208 8732 rect 5232 8730 5288 8732 @@ -7575,7 +7546,10 @@ rect 5072 8676 5128 8678 rect 5152 8676 5208 8678 rect 5232 8676 5288 8678 rect 5312 8676 5368 8678 -rect 4986 7928 5042 7984 +rect 5446 8492 5502 8528 +rect 5446 8472 5448 8492 +rect 5448 8472 5500 8492 +rect 5500 8472 5502 8492 rect 5072 7642 5128 7644 rect 5152 7642 5208 7644 rect 5232 7642 5288 7644 @@ -7594,10 +7568,7 @@ rect 5072 7588 5128 7590 rect 5152 7588 5208 7590 rect 5232 7588 5288 7590 rect 5312 7588 5368 7590 -rect 5354 7404 5410 7440 -rect 5354 7384 5356 7404 -rect 5356 7384 5408 7404 -rect 5408 7384 5410 7404 +rect 4802 5072 4858 5128 rect 5072 6554 5128 6556 rect 5152 6554 5208 6556 rect 5232 6554 5288 6556 @@ -7616,6 +7587,10 @@ rect 5072 6500 5128 6502 rect 5152 6500 5208 6502 rect 5232 6500 5288 6502 rect 5312 6500 5368 6502 +rect 5630 7828 5632 7848 +rect 5632 7828 5684 7848 +rect 5684 7828 5686 7848 +rect 5630 7792 5686 7828 rect 5072 5466 5128 5468 rect 5152 5466 5208 5468 rect 5232 5466 5288 5468 @@ -7634,33 +7609,7 @@ rect 5072 5412 5128 5414 rect 5152 5412 5208 5414 rect 5232 5412 5288 5414 rect 5312 5412 5368 5414 -rect 5538 8608 5594 8664 -rect 9494 12280 9550 12336 -rect 5906 8744 5962 8800 -rect 5906 7928 5962 7984 -rect 7572 11450 7628 11452 -rect 7652 11450 7708 11452 -rect 7732 11450 7788 11452 -rect 7812 11450 7868 11452 -rect 7572 11398 7618 11450 -rect 7618 11398 7628 11450 -rect 7652 11398 7682 11450 -rect 7682 11398 7694 11450 -rect 7694 11398 7708 11450 -rect 7732 11398 7746 11450 -rect 7746 11398 7758 11450 -rect 7758 11398 7788 11450 -rect 7812 11398 7822 11450 -rect 7822 11398 7868 11450 -rect 7572 11396 7628 11398 -rect 7652 11396 7708 11398 -rect 7732 11396 7788 11398 -rect 7812 11396 7868 11398 -rect 6918 11076 6974 11112 -rect 6918 11056 6920 11076 -rect 6920 11056 6972 11076 -rect 6972 11056 6974 11076 -rect 6826 10648 6882 10704 +rect 6090 7248 6146 7304 rect 5072 4378 5128 4380 rect 5152 4378 5208 4380 rect 5232 4378 5288 4380 @@ -7679,12 +7628,6 @@ rect 5072 4324 5128 4326 rect 5152 4324 5208 4326 rect 5232 4324 5288 4326 rect 5312 4324 5368 4326 -rect 6182 8492 6238 8528 -rect 6182 8472 6184 8492 -rect 6184 8472 6236 8492 -rect 6236 8472 6238 8492 -rect 6734 9016 6790 9072 -rect 6734 8608 6790 8664 rect 5072 3290 5128 3292 rect 5152 3290 5208 3292 rect 5232 3290 5288 3292 @@ -7703,8 +7646,6 @@ rect 5072 3236 5128 3238 rect 5152 3236 5208 3238 rect 5232 3236 5288 3238 rect 5312 3236 5368 3238 -rect 6458 7248 6514 7304 -rect 6366 3304 6422 3360 rect 5072 2202 5128 2204 rect 5152 2202 5208 2204 rect 5232 2202 5288 2204 @@ -7723,8 +7664,34 @@ rect 5072 2148 5128 2150 rect 5152 2148 5208 2150 rect 5232 2148 5288 2150 rect 5312 2148 5368 2150 +rect 13726 11872 13782 11928 +rect 13450 11464 13506 11520 +rect 7572 11450 7628 11452 +rect 7652 11450 7708 11452 +rect 7732 11450 7788 11452 +rect 7812 11450 7868 11452 +rect 7572 11398 7618 11450 +rect 7618 11398 7628 11450 +rect 7652 11398 7682 11450 +rect 7682 11398 7694 11450 +rect 7694 11398 7708 11450 +rect 7732 11398 7746 11450 +rect 7746 11398 7758 11450 +rect 7758 11398 7788 11450 +rect 7812 11398 7822 11450 +rect 7822 11398 7868 11450 +rect 7572 11396 7628 11398 +rect 7652 11396 7708 11398 +rect 7732 11396 7788 11398 +rect 7812 11396 7868 11398 +rect 7010 10648 7066 10704 +rect 6642 7792 6698 7848 rect 6366 2352 6422 2408 -rect 7286 9016 7342 9072 +rect 6918 8628 6974 8664 +rect 6918 8608 6920 8628 +rect 6920 8608 6972 8628 +rect 6972 8608 6974 8628 +rect 6826 6160 6882 6216 rect 7930 10648 7986 10704 rect 7572 10362 7628 10364 rect 7652 10362 7708 10364 @@ -7744,6 +7711,9 @@ rect 7572 10308 7628 10310 rect 7652 10308 7708 10310 rect 7732 10308 7788 10310 rect 7812 10308 7868 10310 +rect 7378 9424 7434 9480 +rect 7286 8608 7342 8664 +rect 7654 9424 7710 9480 rect 7572 9274 7628 9276 rect 7652 9274 7708 9276 rect 7732 9274 7788 9276 @@ -7762,18 +7732,11 @@ rect 7572 9220 7628 9222 rect 7652 9220 7708 9222 rect 7732 9220 7788 9222 rect 7812 9220 7868 9222 -rect 7470 8780 7472 8800 -rect 7472 8780 7524 8800 -rect 7524 8780 7526 8800 -rect 7470 8744 7526 8780 -rect 7562 8472 7618 8528 -rect 7010 7248 7066 7304 -rect 7194 7656 7250 7712 -rect 7194 7112 7250 7168 -rect 7654 8372 7656 8392 -rect 7656 8372 7708 8392 -rect 7708 8372 7710 8392 -rect 7654 8336 7710 8372 +rect 7562 8916 7564 8936 +rect 7564 8916 7616 8936 +rect 7616 8916 7618 8936 +rect 7562 8880 7618 8916 +rect 7838 8472 7894 8528 rect 7572 8186 7628 8188 rect 7652 8186 7708 8188 rect 7732 8186 7788 8188 @@ -7792,13 +7755,7 @@ rect 7572 8132 7628 8134 rect 7652 8132 7708 8134 rect 7732 8132 7788 8134 rect 7812 8132 7868 8134 -rect 7562 7384 7618 7440 -rect 7838 7828 7840 7848 -rect 7840 7828 7892 7848 -rect 7892 7828 7894 7848 -rect 7838 7792 7894 7828 -rect 7746 7384 7802 7440 -rect 7654 7248 7710 7304 +rect 7470 7384 7526 7440 rect 7572 7098 7628 7100 rect 7652 7098 7708 7100 rect 7732 7098 7788 7100 @@ -7817,11 +7774,7 @@ rect 7572 7044 7628 7046 rect 7652 7044 7708 7046 rect 7732 7044 7788 7046 rect 7812 7044 7868 7046 -rect 7838 6840 7894 6896 -rect 13818 11872 13874 11928 -rect 13450 11464 13506 11520 -rect 8114 7792 8170 7848 -rect 8022 7248 8078 7304 +rect 6826 3304 6882 3360 rect 7572 6010 7628 6012 rect 7652 6010 7708 6012 rect 7732 6010 7788 6012 @@ -7840,10 +7793,9 @@ rect 7572 5956 7628 5958 rect 7652 5956 7708 5958 rect 7732 5956 7788 5958 rect 7812 5956 7868 5958 -rect 7838 5072 7894 5128 -rect 8114 6976 8170 7032 -rect 8482 8336 8538 8392 -rect 8390 7656 8446 7712 +rect 8758 8200 8814 8256 +rect 8758 7384 8814 7440 +rect 8206 5344 8262 5400 rect 7572 4922 7628 4924 rect 7652 4922 7708 4924 rect 7732 4922 7788 4924 @@ -7862,17 +7814,6 @@ rect 7572 4868 7628 4870 rect 7652 4868 7708 4870 rect 7732 4868 7788 4870 rect 7812 4868 7868 4870 -rect 7746 4140 7802 4176 -rect 8206 5344 8262 5400 -rect 8666 7384 8722 7440 -rect 8850 7540 8906 7576 -rect 8850 7520 8852 7540 -rect 8852 7520 8904 7540 -rect 8904 7520 8906 7540 -rect 8482 6568 8538 6624 -rect 7746 4120 7748 4140 -rect 7748 4120 7800 4140 -rect 7800 4120 7802 4140 rect 7572 3834 7628 3836 rect 7652 3834 7708 3836 rect 7732 3834 7788 3836 @@ -7909,6 +7850,7 @@ rect 7572 2692 7628 2694 rect 7652 2692 7708 2694 rect 7732 2692 7788 2694 rect 7812 2692 7868 2694 +rect 8206 2896 8262 2952 rect 7572 1658 7628 1660 rect 7652 1658 7708 1660 rect 7732 1658 7788 1660 @@ -7927,22 +7869,21 @@ rect 7572 1604 7628 1606 rect 7652 1604 7708 1606 rect 7732 1604 7788 1606 rect 7812 1604 7868 1606 -rect 9494 10240 9550 10296 -rect 9310 7928 9366 7984 rect 9586 7792 9642 7848 -rect 9034 6160 9090 6216 +rect 8942 3712 8998 3768 +rect 9494 6160 9550 6216 +rect 9494 5788 9496 5808 +rect 9496 5788 9548 5808 +rect 9548 5788 9550 5808 +rect 9494 5752 9550 5788 rect 13818 11056 13874 11112 -rect 13542 9832 13598 9888 +rect 13634 10240 13690 10296 rect 13818 9424 13874 9480 rect 13818 9016 13874 9072 rect 13726 8608 13782 8664 -rect 13634 8200 13690 8256 +rect 13542 7384 13598 7440 rect 13726 6568 13782 6624 -rect 13726 5752 13782 5808 -rect 9126 3712 9182 3768 -rect 9494 4528 9550 4584 -rect 13818 4120 13874 4176 -rect 8850 1672 8906 1728 +rect 9218 2080 9274 2136 rect 5072 1114 5128 1116 rect 5152 1114 5208 1116 rect 5232 1114 5288 1116 @@ -7961,30 +7902,28 @@ rect 5072 1060 5128 1062 rect 5152 1060 5208 1062 rect 5232 1060 5288 1062 rect 5312 1060 5368 1062 -rect 13818 2916 13874 2952 -rect 13818 2896 13820 2916 -rect 13820 2896 13872 2916 -rect 13872 2896 13874 2916 rect 9402 856 9458 912 -rect 16578 2080 16634 2136 -rect 13818 1264 13874 1320 +rect 9862 4528 9918 4584 +rect 13818 4120 13874 4176 +rect 9586 1672 9642 1728 +rect 16578 1264 16634 1320 rect 9494 448 9550 504 << metal3 >> -rect 9489 12338 9555 12341 +rect 13813 12338 13879 12341 rect 14000 12338 34000 12368 -rect 9489 12336 34000 12338 -rect 9489 12280 9494 12336 -rect 9550 12280 34000 12336 -rect 9489 12278 34000 12280 -rect 9489 12275 9555 12278 +rect 13813 12336 34000 12338 +rect 13813 12280 13818 12336 +rect 13874 12280 34000 12336 +rect 13813 12278 34000 12280 +rect 13813 12275 13879 12278 rect 14000 12248 34000 12278 -rect 13813 11930 13879 11933 +rect 13721 11930 13787 11933 rect 14000 11930 34000 11960 -rect 13813 11928 34000 11930 -rect 13813 11872 13818 11928 -rect 13874 11872 34000 11928 -rect 13813 11870 34000 11872 -rect 13813 11867 13879 11870 +rect 13721 11928 34000 11930 +rect 13721 11872 13726 11928 +rect 13782 11872 34000 11928 +rect 13721 11870 34000 11872 +rect 13721 11867 13787 11870 rect 14000 11840 34000 11870 rect 13445 11522 13511 11525 rect 14000 11522 34000 11552 @@ -8008,15 +7947,6 @@ rect 7792 11392 7808 11456 rect 7872 11392 7880 11456 rect 14000 11432 34000 11462 rect 7560 11391 7880 11392 -rect 1853 11114 1919 11117 -rect 6913 11114 6979 11117 -rect 1853 11112 6979 11114 -rect 1853 11056 1858 11112 -rect 1914 11056 6918 11112 -rect 6974 11056 6979 11112 -rect 1853 11054 6979 11056 -rect 1853 11051 1919 11054 -rect 6913 11051 6979 11054 rect 13813 11114 13879 11117 rect 14000 11114 34000 11144 rect 13813 11112 34000 11114 @@ -8032,15 +7962,15 @@ rect 5212 10848 5228 10912 rect 5292 10848 5308 10912 rect 5372 10848 5380 10912 rect 5060 10847 5380 10848 -rect 6821 10706 6887 10709 +rect 7005 10706 7071 10709 rect 7925 10706 7991 10709 rect 14000 10706 34000 10736 -rect 6821 10704 34000 10706 -rect 6821 10648 6826 10704 -rect 6882 10648 7930 10704 +rect 7005 10704 34000 10706 +rect 7005 10648 7010 10704 +rect 7066 10648 7930 10704 rect 7986 10648 34000 10704 -rect 6821 10646 34000 10648 -rect 6821 10643 6887 10646 +rect 7005 10646 34000 10648 +rect 7005 10643 7071 10646 rect 7925 10643 7991 10646 rect 14000 10616 34000 10646 rect 2560 10368 2880 10369 @@ -8057,21 +7987,23 @@ rect 7712 10304 7728 10368 rect 7792 10304 7808 10368 rect 7872 10304 7880 10368 rect 7560 10303 7880 10304 -rect 9489 10298 9555 10301 +rect 13629 10298 13695 10301 rect 14000 10298 34000 10328 -rect 9489 10296 34000 10298 -rect 9489 10240 9494 10296 -rect 9550 10240 34000 10296 -rect 9489 10238 34000 10240 -rect 9489 10235 9555 10238 +rect 13629 10296 34000 10298 +rect 13629 10240 13634 10296 +rect 13690 10240 34000 10296 +rect 13629 10238 34000 10240 +rect 13629 10235 13695 10238 rect 14000 10208 34000 10238 -rect 13537 9890 13603 9893 +rect 2773 10026 2839 10029 +rect 2773 10024 12450 10026 +rect 2773 9968 2778 10024 +rect 2834 9968 12450 10024 +rect 2773 9966 12450 9968 +rect 2773 9963 2839 9966 +rect 12390 9890 12450 9966 rect 14000 9890 34000 9920 -rect 13537 9888 34000 9890 -rect 13537 9832 13542 9888 -rect 13598 9832 34000 9888 -rect 13537 9830 34000 9832 -rect 13537 9827 13603 9830 +rect 12390 9830 34000 9890 rect 5060 9824 5380 9825 rect 5060 9760 5068 9824 rect 5132 9760 5148 9824 @@ -8080,6 +8012,15 @@ rect 5292 9760 5308 9824 rect 5372 9760 5380 9824 rect 14000 9800 34000 9830 rect 5060 9759 5380 9760 +rect 7373 9482 7439 9485 +rect 7649 9482 7715 9485 +rect 7373 9480 7715 9482 +rect 7373 9424 7378 9480 +rect 7434 9424 7654 9480 +rect 7710 9424 7715 9480 +rect 7373 9422 7715 9424 +rect 7373 9419 7439 9422 +rect 7649 9419 7715 9422 rect 13813 9482 13879 9485 rect 14000 9482 34000 9512 rect 13813 9480 34000 9482 @@ -8102,15 +8043,6 @@ rect 7712 9216 7728 9280 rect 7792 9216 7808 9280 rect 7872 9216 7880 9280 rect 7560 9215 7880 9216 -rect 6729 9074 6795 9077 -rect 7281 9074 7347 9077 -rect 6729 9072 7347 9074 -rect 6729 9016 6734 9072 -rect 6790 9016 7286 9072 -rect 7342 9016 7347 9072 -rect 6729 9014 7347 9016 -rect 6729 9011 6795 9014 -rect 7281 9011 7347 9014 rect 13813 9074 13879 9077 rect 14000 9074 34000 9104 rect 13813 9072 34000 9074 @@ -8119,15 +8051,15 @@ rect 13874 9016 34000 9072 rect 13813 9014 34000 9016 rect 13813 9011 13879 9014 rect 14000 8984 34000 9014 -rect 5901 8802 5967 8805 -rect 7465 8802 7531 8805 -rect 5901 8800 7531 8802 -rect 5901 8744 5906 8800 -rect 5962 8744 7470 8800 -rect 7526 8744 7531 8800 -rect 5901 8742 7531 8744 -rect 5901 8739 5967 8742 -rect 7465 8739 7531 8742 +rect 5073 8938 5139 8941 +rect 7557 8938 7623 8941 +rect 5073 8936 7623 8938 +rect 5073 8880 5078 8936 +rect 5134 8880 7562 8936 +rect 7618 8880 7623 8936 +rect 5073 8878 7623 8880 +rect 5073 8875 5139 8878 +rect 7557 8875 7623 8878 rect 5060 8736 5380 8737 rect 5060 8672 5068 8736 rect 5132 8672 5148 8736 @@ -8135,15 +8067,15 @@ rect 5212 8672 5228 8736 rect 5292 8672 5308 8736 rect 5372 8672 5380 8736 rect 5060 8671 5380 8672 -rect 5533 8666 5599 8669 -rect 6729 8666 6795 8669 -rect 5533 8664 6795 8666 -rect 5533 8608 5538 8664 -rect 5594 8608 6734 8664 -rect 6790 8608 6795 8664 -rect 5533 8606 6795 8608 -rect 5533 8603 5599 8606 -rect 6729 8603 6795 8606 +rect 6913 8666 6979 8669 +rect 7281 8666 7347 8669 +rect 6913 8664 7347 8666 +rect 6913 8608 6918 8664 +rect 6974 8608 7286 8664 +rect 7342 8608 7347 8664 +rect 6913 8606 7347 8608 +rect 6913 8603 6979 8606 +rect 7281 8603 7347 8606 rect 13721 8666 13787 8669 rect 14000 8666 34000 8696 rect 13721 8664 34000 8666 @@ -8152,31 +8084,22 @@ rect 13782 8608 34000 8664 rect 13721 8606 34000 8608 rect 13721 8603 13787 8606 rect 14000 8576 34000 8606 -rect 6177 8530 6243 8533 -rect 7557 8530 7623 8533 -rect 6177 8528 7623 8530 -rect 6177 8472 6182 8528 -rect 6238 8472 7562 8528 -rect 7618 8472 7623 8528 -rect 6177 8470 7623 8472 -rect 6177 8467 6243 8470 -rect 7557 8467 7623 8470 -rect 7649 8394 7715 8397 -rect 8477 8394 8543 8397 -rect 7649 8392 8543 8394 -rect 7649 8336 7654 8392 -rect 7710 8336 8482 8392 -rect 8538 8336 8543 8392 -rect 7649 8334 8543 8336 -rect 7649 8331 7715 8334 -rect 8477 8331 8543 8334 -rect 13629 8258 13695 8261 +rect 5441 8530 5507 8533 +rect 7833 8530 7899 8533 +rect 5441 8528 7899 8530 +rect 5441 8472 5446 8528 +rect 5502 8472 7838 8528 +rect 7894 8472 7899 8528 +rect 5441 8470 7899 8472 +rect 5441 8467 5507 8470 +rect 7833 8467 7899 8470 +rect 8753 8258 8819 8261 rect 14000 8258 34000 8288 -rect 13629 8256 34000 8258 -rect 13629 8200 13634 8256 -rect 13690 8200 34000 8256 -rect 13629 8198 34000 8200 -rect 13629 8195 13695 8198 +rect 8753 8256 34000 8258 +rect 8753 8200 8758 8256 +rect 8814 8200 34000 8256 +rect 8753 8198 34000 8200 +rect 8753 8195 8819 8198 rect 2560 8192 2880 8193 rect 2560 8128 2568 8192 rect 2632 8128 2648 8192 @@ -8192,33 +8115,15 @@ rect 7792 8128 7808 8192 rect 7872 8128 7880 8192 rect 14000 8168 34000 8198 rect 7560 8127 7880 8128 -rect 4429 7986 4495 7989 -rect 4981 7986 5047 7989 -rect 4429 7984 5047 7986 -rect 4429 7928 4434 7984 -rect 4490 7928 4986 7984 -rect 5042 7928 5047 7984 -rect 4429 7926 5047 7928 -rect 4429 7923 4495 7926 -rect 4981 7923 5047 7926 -rect 5901 7986 5967 7989 -rect 9305 7986 9371 7989 -rect 5901 7984 9371 7986 -rect 5901 7928 5906 7984 -rect 5962 7928 9310 7984 -rect 9366 7928 9371 7984 -rect 5901 7926 9371 7928 -rect 5901 7923 5967 7926 -rect 9305 7923 9371 7926 -rect 7833 7850 7899 7853 -rect 8109 7850 8175 7853 -rect 7833 7848 8175 7850 -rect 7833 7792 7838 7848 -rect 7894 7792 8114 7848 -rect 8170 7792 8175 7848 -rect 7833 7790 8175 7792 -rect 7833 7787 7899 7790 -rect 8109 7787 8175 7790 +rect 5625 7850 5691 7853 +rect 6637 7850 6703 7853 +rect 5625 7848 6703 7850 +rect 5625 7792 5630 7848 +rect 5686 7792 6642 7848 +rect 6698 7792 6703 7848 +rect 5625 7790 6703 7792 +rect 5625 7787 5691 7790 +rect 6637 7787 6703 7790 rect 9581 7850 9647 7853 rect 14000 7850 34000 7880 rect 9581 7848 34000 7850 @@ -8227,15 +8132,6 @@ rect 9642 7792 34000 7848 rect 9581 7790 34000 7792 rect 9581 7787 9647 7790 rect 14000 7760 34000 7790 -rect 7189 7714 7255 7717 -rect 8385 7714 8451 7717 -rect 7189 7712 8451 7714 -rect 7189 7656 7194 7712 -rect 7250 7656 8390 7712 -rect 8446 7656 8451 7712 -rect 7189 7654 8451 7656 -rect 7189 7651 7255 7654 -rect 8385 7651 8451 7654 rect 5060 7648 5380 7649 rect 5060 7584 5068 7648 rect 5132 7584 5148 7648 @@ -8243,65 +8139,38 @@ rect 5212 7584 5228 7648 rect 5292 7584 5308 7648 rect 5372 7584 5380 7648 rect 5060 7583 5380 7584 -rect 8845 7578 8911 7581 -rect 5582 7576 8911 7578 -rect 5582 7520 8850 7576 -rect 8906 7520 8911 7576 -rect 5582 7518 8911 7520 -rect 5349 7442 5415 7445 -rect 5582 7442 5642 7518 -rect 8845 7515 8911 7518 -rect 7557 7442 7623 7445 -rect 5349 7440 5642 7442 -rect 5349 7384 5354 7440 -rect 5410 7384 5642 7440 -rect 5349 7382 5642 7384 -rect 7238 7440 7623 7442 -rect 7238 7384 7562 7440 -rect 7618 7384 7623 7440 -rect 7238 7382 7623 7384 -rect 5349 7379 5415 7382 -rect 6453 7306 6519 7309 -rect 7005 7306 7071 7309 -rect 6453 7304 7071 7306 -rect 6453 7248 6458 7304 -rect 6514 7248 7010 7304 -rect 7066 7248 7071 7304 -rect 6453 7246 7071 7248 -rect 6453 7243 6519 7246 -rect 7005 7243 7071 7246 -rect 7238 7173 7298 7382 -rect 7557 7379 7623 7382 -rect 7741 7442 7807 7445 -rect 8661 7442 8727 7445 +rect 2773 7442 2839 7445 +rect 3877 7442 3943 7445 +rect 2773 7440 3943 7442 +rect 2773 7384 2778 7440 +rect 2834 7384 3882 7440 +rect 3938 7384 3943 7440 +rect 2773 7382 3943 7384 +rect 2773 7379 2839 7382 +rect 3877 7379 3943 7382 +rect 7465 7442 7531 7445 +rect 8753 7442 8819 7445 +rect 7465 7440 8819 7442 +rect 7465 7384 7470 7440 +rect 7526 7384 8758 7440 +rect 8814 7384 8819 7440 +rect 7465 7382 8819 7384 +rect 7465 7379 7531 7382 +rect 8753 7379 8819 7382 +rect 13537 7442 13603 7445 rect 14000 7442 34000 7472 -rect 7741 7440 8218 7442 -rect 7741 7384 7746 7440 -rect 7802 7384 8218 7440 -rect 7741 7382 8218 7384 -rect 7741 7379 7807 7382 -rect 7649 7306 7715 7309 -rect 8017 7306 8083 7309 -rect 7649 7304 8083 7306 -rect 7649 7248 7654 7304 -rect 7710 7248 8022 7304 -rect 8078 7248 8083 7304 -rect 7649 7246 8083 7248 -rect 7649 7243 7715 7246 -rect 8017 7243 8083 7246 -rect 7189 7168 7298 7173 -rect 8158 7170 8218 7382 -rect 8661 7440 34000 7442 -rect 8661 7384 8666 7440 -rect 8722 7384 34000 7440 -rect 8661 7382 34000 7384 -rect 8661 7379 8727 7382 +rect 13537 7440 34000 7442 +rect 13537 7384 13542 7440 +rect 13598 7384 34000 7440 +rect 13537 7382 34000 7384 +rect 13537 7379 13603 7382 rect 14000 7352 34000 7382 -rect 7189 7112 7194 7168 -rect 7250 7112 7298 7168 -rect 7189 7110 7298 7112 -rect 7974 7110 8218 7170 -rect 7189 7107 7255 7110 +rect 6085 7306 6151 7309 +rect 6085 7304 12450 7306 +rect 6085 7248 6090 7304 +rect 6146 7248 12450 7304 +rect 6085 7246 12450 7248 +rect 6085 7243 6151 7246 rect 2560 7104 2880 7105 rect 2560 7040 2568 7104 rect 2632 7040 2648 7104 @@ -8316,28 +8185,10 @@ rect 7712 7040 7728 7104 rect 7792 7040 7808 7104 rect 7872 7040 7880 7104 rect 7560 7039 7880 7040 -rect 7833 6898 7899 6901 -rect 7974 6898 8034 7110 -rect 8109 7034 8175 7037 +rect 12390 7034 12450 7246 rect 14000 7034 34000 7064 -rect 8109 7032 34000 7034 -rect 8109 6976 8114 7032 -rect 8170 6976 34000 7032 -rect 8109 6974 34000 6976 -rect 8109 6971 8175 6974 +rect 12390 6974 34000 7034 rect 14000 6944 34000 6974 -rect 7833 6896 8034 6898 -rect 7833 6840 7838 6896 -rect 7894 6840 8034 6896 -rect 7833 6838 8034 6840 -rect 7833 6835 7899 6838 -rect 7974 6626 8034 6838 -rect 8477 6626 8543 6629 -rect 7974 6624 8543 6626 -rect 7974 6568 8482 6624 -rect 8538 6568 8543 6624 -rect 7974 6566 8543 6568 -rect 8477 6563 8543 6566 rect 13721 6626 13787 6629 rect 14000 6626 34000 6656 rect 13721 6624 34000 6626 @@ -8353,13 +8204,22 @@ rect 5292 6496 5308 6560 rect 5372 6496 5380 6560 rect 14000 6536 34000 6566 rect 5060 6495 5380 6496 -rect 9029 6218 9095 6221 +rect 2681 6218 2747 6221 +rect 6821 6218 6887 6221 +rect 2681 6216 6887 6218 +rect 2681 6160 2686 6216 +rect 2742 6160 6826 6216 +rect 6882 6160 6887 6216 +rect 2681 6158 6887 6160 +rect 2681 6155 2747 6158 +rect 6821 6155 6887 6158 +rect 9489 6218 9555 6221 rect 14000 6218 34000 6248 -rect 9029 6216 34000 6218 -rect 9029 6160 9034 6216 -rect 9090 6160 34000 6216 -rect 9029 6158 34000 6160 -rect 9029 6155 9095 6158 +rect 9489 6216 34000 6218 +rect 9489 6160 9494 6216 +rect 9550 6160 34000 6216 +rect 9489 6158 34000 6160 +rect 9489 6155 9555 6158 rect 14000 6128 34000 6158 rect 2560 6016 2880 6017 rect 2560 5952 2568 6016 @@ -8375,13 +8235,13 @@ rect 7712 5952 7728 6016 rect 7792 5952 7808 6016 rect 7872 5952 7880 6016 rect 7560 5951 7880 5952 -rect 13721 5810 13787 5813 +rect 9489 5810 9555 5813 rect 14000 5810 34000 5840 -rect 13721 5808 34000 5810 -rect 13721 5752 13726 5808 -rect 13782 5752 34000 5808 -rect 13721 5750 34000 5752 -rect 13721 5747 13787 5750 +rect 9489 5808 34000 5810 +rect 9489 5752 9494 5808 +rect 9550 5752 34000 5808 +rect 9489 5750 34000 5752 +rect 9489 5747 9555 5750 rect 14000 5720 34000 5750 rect 5060 5472 5380 5473 rect 5060 5408 5068 5472 @@ -8398,12 +8258,12 @@ rect 8262 5344 34000 5400 rect 8201 5342 34000 5344 rect 8201 5339 8267 5342 rect 14000 5312 34000 5342 -rect 7833 5130 7899 5133 -rect 7833 5128 12450 5130 -rect 7833 5072 7838 5128 -rect 7894 5072 12450 5128 -rect 7833 5070 12450 5072 -rect 7833 5067 7899 5070 +rect 4797 5130 4863 5133 +rect 4797 5128 12450 5130 +rect 4797 5072 4802 5128 +rect 4858 5072 12450 5128 +rect 4797 5070 12450 5072 +rect 4797 5067 4863 5070 rect 12390 4994 12450 5070 rect 14000 4994 34000 5024 rect 12390 4934 34000 4994 @@ -8415,13 +8275,13 @@ rect 7792 4864 7808 4928 rect 7872 4864 7880 4928 rect 14000 4904 34000 4934 rect 7560 4863 7880 4864 -rect 9489 4586 9555 4589 +rect 9857 4586 9923 4589 rect 14000 4586 34000 4616 -rect 9489 4584 34000 4586 -rect 9489 4528 9494 4584 -rect 9550 4528 34000 4584 -rect 9489 4526 34000 4528 -rect 9489 4523 9555 4526 +rect 9857 4584 34000 4586 +rect 9857 4528 9862 4584 +rect 9918 4528 34000 4584 +rect 9857 4526 34000 4528 +rect 9857 4523 9923 4526 rect 14000 4496 34000 4526 rect 5060 4384 5380 4385 rect 5060 4320 5068 4384 @@ -8430,15 +8290,6 @@ rect 5212 4320 5228 4384 rect 5292 4320 5308 4384 rect 5372 4320 5380 4384 rect 5060 4319 5380 4320 -rect 4613 4178 4679 4181 -rect 7741 4178 7807 4181 -rect 4613 4176 7807 4178 -rect 4613 4120 4618 4176 -rect 4674 4120 7746 4176 -rect 7802 4120 7807 4176 -rect 4613 4118 7807 4120 -rect 4613 4115 4679 4118 -rect 7741 4115 7807 4118 rect 13813 4178 13879 4181 rect 14000 4178 34000 4208 rect 13813 4176 34000 4178 @@ -8454,27 +8305,28 @@ rect 7712 3776 7728 3840 rect 7792 3776 7808 3840 rect 7872 3776 7880 3840 rect 7560 3775 7880 3776 -rect 9121 3770 9187 3773 +rect 8937 3770 9003 3773 rect 14000 3770 34000 3800 -rect 9121 3768 34000 3770 -rect 9121 3712 9126 3768 -rect 9182 3712 34000 3768 -rect 9121 3710 34000 3712 -rect 9121 3707 9187 3710 +rect 8937 3768 34000 3770 +rect 8937 3712 8942 3768 +rect 8998 3712 34000 3768 +rect 8937 3710 34000 3712 +rect 8937 3707 9003 3710 rect 14000 3680 34000 3710 -rect 2681 3438 2747 3441 -rect 2484 3436 2747 3438 -rect 2484 3380 2686 3436 -rect 2742 3380 2747 3436 -rect 2484 3378 2747 3380 -rect 2681 3375 2747 3378 -rect 6361 3362 6427 3365 +rect 2773 3498 2839 3501 +rect 2730 3496 2839 3498 +rect 2730 3440 2778 3496 +rect 2834 3440 2839 3496 +rect 2730 3438 2839 3440 +rect 2484 3435 2839 3438 +rect 2484 3378 2790 3435 +rect 6821 3362 6887 3365 rect 14000 3362 34000 3392 -rect 6361 3360 34000 3362 -rect 6361 3304 6366 3360 -rect 6422 3304 34000 3360 -rect 6361 3302 34000 3304 -rect 6361 3299 6427 3302 +rect 6821 3360 34000 3362 +rect 6821 3304 6826 3360 +rect 6882 3304 34000 3360 +rect 6821 3302 34000 3304 +rect 6821 3299 6887 3302 rect 5060 3296 5380 3297 rect 5060 3232 5068 3296 rect 5132 3232 5148 3296 @@ -8483,13 +8335,13 @@ rect 5292 3232 5308 3296 rect 5372 3232 5380 3296 rect 14000 3272 34000 3302 rect 5060 3231 5380 3232 -rect 13813 2954 13879 2957 +rect 8201 2954 8267 2957 rect 14000 2954 34000 2984 -rect 13813 2952 34000 2954 -rect 13813 2896 13818 2952 -rect 13874 2896 34000 2952 -rect 13813 2894 34000 2896 -rect 13813 2891 13879 2894 +rect 8201 2952 34000 2954 +rect 8201 2896 8206 2952 +rect 8262 2896 34000 2952 +rect 8201 2894 34000 2896 +rect 8201 2891 8267 2894 rect 14000 2864 34000 2894 rect 7560 2752 7880 2753 rect 7560 2688 7568 2752 @@ -8515,17 +8367,21 @@ rect 5212 2144 5228 2208 rect 5292 2144 5308 2208 rect 5372 2144 5380 2208 rect 5060 2143 5380 2144 -rect 14000 2136 34000 2168 -rect 14000 2080 16578 2136 -rect 16634 2080 34000 2136 -rect 14000 2048 34000 2080 -rect 8845 1730 8911 1733 +rect 9213 2138 9279 2141 +rect 14000 2138 34000 2168 +rect 9213 2136 34000 2138 +rect 9213 2080 9218 2136 +rect 9274 2080 34000 2136 +rect 9213 2078 34000 2080 +rect 9213 2075 9279 2078 +rect 14000 2048 34000 2078 +rect 9581 1730 9647 1733 rect 14000 1730 34000 1760 -rect 8845 1728 34000 1730 -rect 8845 1672 8850 1728 -rect 8906 1672 34000 1728 -rect 8845 1670 34000 1672 -rect 8845 1667 8911 1670 +rect 9581 1728 34000 1730 +rect 9581 1672 9586 1728 +rect 9642 1672 34000 1728 +rect 9581 1670 34000 1672 +rect 9581 1667 9647 1670 rect 7560 1664 7880 1665 rect 7560 1600 7568 1664 rect 7632 1600 7648 1664 @@ -8534,14 +8390,10 @@ rect 7792 1600 7808 1664 rect 7872 1600 7880 1664 rect 14000 1640 34000 1670 rect 7560 1599 7880 1600 -rect 13813 1322 13879 1325 -rect 14000 1322 34000 1352 -rect 13813 1320 34000 1322 -rect 13813 1264 13818 1320 -rect 13874 1264 34000 1320 -rect 13813 1262 34000 1264 -rect 13813 1259 13879 1262 -rect 14000 1232 34000 1262 +rect 14000 1320 34000 1352 +rect 14000 1264 16578 1320 +rect 16634 1264 34000 1320 +rect 14000 1232 34000 1264 rect 5060 1120 5380 1121 rect 5060 1056 5068 1120 rect 5132 1056 5148 1120 @@ -9413,1253 +9265,1265 @@ rect 920 1222 2602 1458 rect 2838 1222 7602 1458 rect 7838 1222 9844 1458 rect 920 1180 9844 1222 -use sky130_fd_sc_hd__diode_2 ANTENNA__096__A $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__diode_2 ANTENNA__096__A depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 9384 0 1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__098__B -timestamp 1648946573 -transform -1 0 9568 0 1 10880 +timestamp 1644511149 +transform 1 0 9384 0 -1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__099__A2 -timestamp 1648946573 -transform -1 0 8004 0 1 7616 +timestamp 1644511149 +transform -1 0 6348 0 -1 8704 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__100__A -timestamp 1648946573 -transform -1 0 9568 0 -1 10880 +timestamp 1644511149 +transform 1 0 9200 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__101__A -timestamp 1648946573 -transform 1 0 9384 0 1 5440 +timestamp 1644511149 +transform 1 0 9384 0 -1 5440 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__102__A -timestamp 1648946573 -transform -1 0 8740 0 -1 2176 +timestamp 1644511149 +transform -1 0 9476 0 1 1088 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__106__A -timestamp 1648946573 -transform 1 0 7728 0 -1 4352 +timestamp 1644511149 +transform 1 0 9384 0 1 5440 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__109__A -timestamp 1648946573 -transform 1 0 3588 0 -1 4352 +timestamp 1644511149 +transform 1 0 3680 0 -1 4352 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__110__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3128 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__114__A -timestamp 1648946573 -transform 1 0 5612 0 1 8704 +timestamp 1644511149 +transform 1 0 5152 0 -1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__116__B_N -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1288 0 -1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__118__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1840 0 -1 8704 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__121__B_N -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3772 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__124__B -timestamp 1648946573 -transform -1 0 6348 0 1 10880 +timestamp 1644511149 +transform 1 0 6164 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__127__B_N -timestamp 1648946573 -transform 1 0 5244 0 -1 10880 +timestamp 1644511149 +transform -1 0 6532 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__129__B -timestamp 1648946573 -transform -1 0 5888 0 1 10880 +timestamp 1644511149 +transform 1 0 5704 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__132__B_N -timestamp 1648946573 -transform -1 0 5244 0 -1 10880 +timestamp 1644511149 +transform -1 0 6072 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__134__B -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4048 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__137__B_N -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3864 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__139__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1656 0 -1 8704 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__144__B_N -timestamp 1648946573 -transform -1 0 6072 0 -1 6528 +timestamp 1644511149 +transform 1 0 3588 0 1 5440 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__146__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 8280 0 1 8704 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__149__B_N -timestamp 1648946573 -transform -1 0 3312 0 -1 6528 +timestamp 1644511149 +transform -1 0 6072 0 -1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__152__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9568 0 -1 7616 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__155__B_N -timestamp 1648946573 -transform 1 0 5888 0 1 10880 +timestamp 1644511149 +transform 1 0 6532 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__157__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9568 0 -1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__160__B_N -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5612 0 1 4352 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__162__B -timestamp 1648946573 -transform -1 0 8464 0 -1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__165__B_N -timestamp 1648946573 -transform -1 0 8648 0 -1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__167__B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5336 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__170__B_N -timestamp 1648946573 -transform 1 0 3772 0 -1 4352 +use sky130_fd_sc_hd__diode_2 ANTENNA__165__B_N +timestamp 1644511149 +transform -1 0 2760 0 -1 6528 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__172__B -timestamp 1648946573 -transform -1 0 3588 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__175__B_N -timestamp 1648946573 +use sky130_fd_sc_hd__diode_2 ANTENNA__167__B +timestamp 1644511149 transform -1 0 3680 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__177__B -timestamp 1648946573 -transform -1 0 6532 0 1 10880 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__180__B_N -timestamp 1648946573 +use sky130_fd_sc_hd__diode_2 ANTENNA__170__B_N +timestamp 1644511149 transform -1 0 3496 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__195__D -timestamp 1648946573 +use sky130_fd_sc_hd__diode_2 ANTENNA__172__B +timestamp 1644511149 +transform -1 0 5888 0 1 1088 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__175__B_N +timestamp 1644511149 +transform -1 0 3588 0 -1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__177__B +timestamp 1644511149 transform -1 0 1472 0 -1 8704 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__195__RESET_B -timestamp 1648946573 +use sky130_fd_sc_hd__diode_2 ANTENNA__180__B_N +timestamp 1644511149 +transform 1 0 2392 0 -1 6528 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__195__D +timestamp 1644511149 transform -1 0 1932 0 1 10880 box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__195__RESET_B +timestamp 1644511149 +transform -1 0 1748 0 1 10880 +box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__196__RESET_B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1472 0 1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__197__RESET_B -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1472 0 -1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__198__RESET_B -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1472 0 -1 6528 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__199__RESET_B -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1380 0 1 5440 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__200__RESET_B -timestamp 1648946573 -transform 1 0 5244 0 1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__201__RESET_B -timestamp 1648946573 -transform 1 0 5428 0 1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__202__RESET_B -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5888 0 1 1088 box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__201__RESET_B +timestamp 1644511149 +transform 1 0 4048 0 -1 2176 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__202__RESET_B +timestamp 1644511149 +transform 1 0 7820 0 1 1088 +box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__203__RESET_B -timestamp 1648946573 -transform 1 0 8280 0 -1 2176 +timestamp 1644511149 +transform 1 0 8280 0 1 1088 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__204__RESET_B -timestamp 1648946573 -transform 1 0 7452 0 -1 10880 +timestamp 1644511149 +transform -1 0 8924 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__205__RESET_B -timestamp 1648946573 -transform -1 0 7452 0 1 10880 +timestamp 1644511149 +transform -1 0 9568 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__206__RESET_B -timestamp 1648946573 -transform -1 0 7268 0 1 10880 +timestamp 1644511149 +transform -1 0 7452 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__207__RESET_B -timestamp 1648946573 -transform 1 0 5704 0 1 1088 +timestamp 1644511149 +transform 1 0 8464 0 1 1088 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__208__A -timestamp 1648946573 -transform -1 0 7084 0 1 10880 +timestamp 1644511149 +transform -1 0 7268 0 1 10880 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA__211__A -timestamp 1648946573 -transform -1 0 3588 0 -1 3264 +timestamp 1644511149 +transform -1 0 8188 0 1 1088 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_serial_clock_A -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1380 0 1 5440 box -38 -48 222 592 use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_serial_load_A -timestamp 1648946573 -transform -1 0 6900 0 1 10880 +timestamp 1644511149 +transform -1 0 7084 0 1 10880 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_72 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform 1 0 7544 0 1 1088 +use sky130_fd_sc_hd__fill_1 FILLER_0_47 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 5244 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_1_26 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__fill_1 FILLER_0_84 +timestamp 1644511149 +transform 1 0 8648 0 1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_0_93 +timestamp 1644511149 +transform 1 0 9476 0 1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_8 FILLER_1_26 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 3312 0 -1 2176 box -38 -48 774 592 -use sky130_fd_sc_hd__fill_2 FILLER_1_34 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform 1 0 4048 0 -1 2176 -box -38 -48 222 592 use sky130_fd_sc_hd__fill_1 FILLER_1_78 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8096 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_82 -timestamp 1648946573 -transform 1 0 8464 0 -1 2176 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_93 -timestamp 1648946573 -transform 1 0 9476 0 -1 2176 -box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_2_47 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5244 0 1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_3_26 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3312 0 -1 3264 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_3_57 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6164 0 -1 3264 box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_3_83 +timestamp 1644511149 +transform 1 0 8556 0 -1 3264 +box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_3_93 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9476 0 -1 3264 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_5_26 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3312 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_26 -timestamp 1648946573 -transform 1 0 3312 0 1 4352 +use sky130_fd_sc_hd__fill_1 FILLER_5_32 +timestamp 1644511149 +transform 1 0 3864 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_7_78 -timestamp 1648946573 -transform 1 0 8096 0 -1 5440 +use sky130_fd_sc_hd__fill_1 FILLER_6_29 +timestamp 1644511149 +transform 1 0 3588 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_37 -timestamp 1648946573 -transform 1 0 4324 0 1 5440 +use sky130_fd_sc_hd__fill_1 FILLER_7_34 +timestamp 1644511149 +transform 1 0 4048 0 -1 5440 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_9_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 -1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_10_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_10_27 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3404 0 1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_11_24 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3128 0 -1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_11_60 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6440 0 -1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_12_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 1 7616 box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_83 +timestamp 1644511149 +transform 1 0 8556 0 1 7616 +box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_12_93 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9476 0 1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_13_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 -1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_13_69 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 7268 0 -1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_14_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_14_42 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4784 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_14_93 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9476 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_15_24 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3128 0 -1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_15_93 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9476 0 -1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_16_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_16_27 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3404 0 1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_16_93 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9476 0 1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_17_3 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1196 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_18_3 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__fill_1 FILLER_17_45 +timestamp 1644511149 +transform 1 0 5060 0 -1 10880 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_17_48 +timestamp 1644511149 +transform 1 0 5336 0 -1 10880 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_4 FILLER_18_3 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 1196 0 1 10880 -box -38 -48 590 592 +box -38 -48 406 592 use sky130_fd_sc_hd__fill_1 FILLER_18_27 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3404 0 1 10880 box -38 -48 130 592 use sky130_fd_sc_hd__fill_1 FILLER_18_31 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3772 0 1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_18_61 -timestamp 1648946573 -transform 1 0 6532 0 1 10880 +use sky130_fd_sc_hd__fill_2 FILLER_18_63 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 6716 0 1 10880 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_18_80 -timestamp 1648946573 -transform 1 0 8280 0 1 10880 +use sky130_fd_sc_hd__fill_1 FILLER_18_83 +timestamp 1644511149 +transform 1 0 8556 0 1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 PHY_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__decap_3 PHY_0 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 3036 0 1 1088 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_1 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 1088 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_2 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 -1 2176 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_3 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 2176 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_4 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 1 2176 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_5 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 2176 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_6 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 -1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_7 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_8 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_9 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_10 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_11 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_12 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_13 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_14 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3036 0 -1 5440 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_15 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 5440 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_16 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 5440 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_17 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 5440 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_18 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 -1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_19 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_20 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_21 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_22 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 -1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_23 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_24 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_25 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_26 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 -1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_27 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_28 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_29 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_30 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 -1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_31 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_32 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_33 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_34 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 -1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_35 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 -1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_36 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 920 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_37 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9844 0 1 10880 box -38 -48 314 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_38 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_38 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 5612 0 1 1088 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_39 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8188 0 1 1088 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_40 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8188 0 -1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_41 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5612 0 1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8188 0 -1 3264 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_43 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5612 0 1 3264 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_44 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8188 0 -1 4352 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_45 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5612 0 1 4352 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8188 0 -1 5440 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 5440 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 1 5440 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 5440 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 -1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 -1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 7616 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 -1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 -1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 9792 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 -1 10880 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 1 10880 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 1 10880 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8648 0 1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__or2b_2 _096_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__or2b_2 _096_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 8740 0 1 6528 box -38 -48 682 592 -use sky130_fd_sc_hd__buf_1 _097_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__buf_1 _097_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform -1 0 9568 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__and2b_2 _098_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform 1 0 8740 0 1 10880 +use sky130_fd_sc_hd__and2b_2 _098_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 8740 0 -1 10880 box -38 -48 682 592 -use sky130_fd_sc_hd__a31o_2 _099_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform 1 0 8004 0 1 7616 +use sky130_fd_sc_hd__a31o_2 _099_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 5980 0 1 7616 box -38 -48 682 592 -use sky130_fd_sc_hd__inv_2 _100_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform -1 0 8648 0 1 10880 +use sky130_fd_sc_hd__inv_2 _100_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform -1 0 9200 0 1 10880 box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_2 _101_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform -1 0 9292 0 -1 5440 +use sky130_fd_sc_hd__nand2_2 _101_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform -1 0 9384 0 -1 5440 box -38 -48 498 592 use sky130_fd_sc_hd__inv_2 _102_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9292 0 1 2176 box -38 -48 314 592 -use sky130_fd_sc_hd__o21a_2 _103_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__o21a_2 _103_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 8740 0 1 5440 box -38 -48 682 592 -use sky130_fd_sc_hd__o31ai_2 _104_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__o31ai_2 _104_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform -1 0 9384 0 -1 6528 box -38 -48 958 592 -use sky130_fd_sc_hd__o22ai_2 _105_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__o22ai_2 _105_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform -1 0 8648 0 1 6528 box -38 -48 958 592 use sky130_fd_sc_hd__inv_2 _106_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9292 0 -1 4352 box -38 -48 314 592 -use sky130_fd_sc_hd__and2_2 _107_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform -1 0 8188 0 1 1088 +use sky130_fd_sc_hd__and2_2 _107_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform -1 0 9292 0 1 1088 box -38 -48 590 592 use sky130_fd_sc_hd__buf_1 _108_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 9292 0 -1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _109_ -timestamp 1648946573 -transform 1 0 3312 0 -1 5440 +timestamp 1644511149 +transform -1 0 3588 0 1 4352 box -38 -48 314 592 -use sky130_fd_sc_hd__or2_2 _110_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__or2_2 _110_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 2484 0 1 10880 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _111_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3128 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _112_ -timestamp 1648946573 -transform -1 0 5152 0 1 8704 +timestamp 1644511149 +transform 1 0 5520 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _113__4 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 2208 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _114_ -timestamp 1648946573 -transform 1 0 6164 0 -1 8704 +timestamp 1644511149 +transform -1 0 5152 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _115_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 4416 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _116_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3404 0 1 9792 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _117_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 2208 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _118_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 2760 0 1 8704 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _119_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3220 0 -1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _120__5 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3496 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _121_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3588 0 1 9792 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _122_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3864 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _123_ -timestamp 1648946573 -transform -1 0 6716 0 -1 8704 +timestamp 1644511149 +transform -1 0 5980 0 1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _124_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6164 0 -1 9792 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _125_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 7176 0 -1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _126__6 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 6900 0 -1 9792 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _127_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6164 0 -1 10880 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _128_ -timestamp 1648946573 -transform 1 0 6716 0 -1 8704 +timestamp 1644511149 +transform 1 0 6992 0 -1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _129_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4968 0 1 10880 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _130_ -timestamp 1648946573 -transform 1 0 7636 0 -1 10880 +timestamp 1644511149 +transform 1 0 7728 0 -1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _131__7 -timestamp 1648946573 -transform 1 0 7452 0 1 10880 +timestamp 1644511149 +transform 1 0 7452 0 -1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _132_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5428 0 -1 10880 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _133_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 7728 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _134_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4508 0 1 10880 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _135_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5704 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _136__8 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3864 0 1 8704 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _137_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4416 0 -1 10880 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _138_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4232 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _139_ -timestamp 1648946573 -transform 1 0 5152 0 -1 8704 +timestamp 1644511149 +transform 1 0 4692 0 -1 8704 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _140_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 6440 0 -1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _141_ -timestamp 1648946573 -transform 1 0 3864 0 -1 5440 +timestamp 1644511149 +transform 1 0 3036 0 -1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _142__9 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3220 0 -1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _143_ -timestamp 1648946573 -transform 1 0 6992 0 -1 8704 -box -38 -48 314 592 -use sky130_fd_sc_hd__or2b_2 _144_ -timestamp 1648946573 -transform -1 0 4968 0 1 7616 -box -38 -48 682 592 -use sky130_fd_sc_hd__buf_1 _145_ -timestamp 1648946573 -transform 1 0 3588 0 -1 5440 -box -38 -48 314 592 -use sky130_fd_sc_hd__or2_2 _146_ -timestamp 1648946573 -transform 1 0 5612 0 -1 8704 -box -38 -48 498 592 -use sky130_fd_sc_hd__buf_1 _147_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9384 0 -1 7616 box -38 -48 314 592 +use sky130_fd_sc_hd__or2b_2 _144_ +timestamp 1644511149 +transform -1 0 4416 0 1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__buf_1 _145_ +timestamp 1644511149 +transform 1 0 2760 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__or2_2 _146_ +timestamp 1644511149 +transform -1 0 5612 0 -1 8704 +box -38 -48 498 592 +use sky130_fd_sc_hd__buf_1 _147_ +timestamp 1644511149 +transform 1 0 4416 0 -1 8704 +box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _148__10 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 1564 0 1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _149_ -timestamp 1648946573 -transform 1 0 4968 0 1 7616 +timestamp 1644511149 +transform 1 0 5060 0 1 7616 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _150_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 6440 0 1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__buf_1 _151_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 6716 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _152_ -timestamp 1648946573 -transform 1 0 7360 0 1 7616 +timestamp 1644511149 +transform -1 0 8556 0 1 7616 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _153_ -timestamp 1648946573 -transform 1 0 8280 0 -1 5440 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _154__11 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8004 0 1 10880 box -38 -48 314 592 +use sky130_fd_sc_hd__inv_2 _154__11 +timestamp 1644511149 +transform 1 0 7452 0 1 10880 +box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _155_ -timestamp 1648946573 -transform 1 0 5980 0 1 7616 +timestamp 1644511149 +transform 1 0 6348 0 -1 8704 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _156_ -timestamp 1648946573 -transform -1 0 8832 0 -1 5440 +timestamp 1644511149 +transform -1 0 8556 0 1 10880 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _157_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 8004 0 -1 6528 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _158_ -timestamp 1648946573 -transform -1 0 9568 0 -1 5440 +timestamp 1644511149 +transform -1 0 9292 0 1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _159__12 -timestamp 1648946573 +timestamp 1644511149 transform 1 0 7176 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _160_ -timestamp 1648946573 -transform 1 0 6992 0 -1 5440 +timestamp 1644511149 +transform 1 0 8280 0 -1 5440 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _161_ -timestamp 1648946573 -transform -1 0 9292 0 1 4352 -box -38 -48 314 592 -use sky130_fd_sc_hd__or2_2 _162_ -timestamp 1648946573 -transform 1 0 7636 0 -1 5440 -box -38 -48 498 592 -use sky130_fd_sc_hd__buf_1 _163_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 9568 0 1 4352 box -38 -48 314 592 +use sky130_fd_sc_hd__or2_2 _162_ +timestamp 1644511149 +transform 1 0 6992 0 -1 5440 +box -38 -48 498 592 +use sky130_fd_sc_hd__buf_1 _163_ +timestamp 1644511149 +transform -1 0 9568 0 -1 4352 +box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _164__13 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5612 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _165_ -timestamp 1648946573 -transform -1 0 8648 0 1 5440 +timestamp 1644511149 +transform 1 0 8004 0 1 5440 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _166_ -timestamp 1648946573 -transform -1 0 8188 0 -1 4352 +timestamp 1644511149 +transform -1 0 8556 0 -1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _167_ -timestamp 1648946573 -transform -1 0 5428 0 1 4352 +timestamp 1644511149 +transform 1 0 4968 0 1 4352 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _168_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4508 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _169__1 -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3956 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _170_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3680 0 1 4352 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _171_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3956 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _172_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5704 0 1 4352 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _173_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4232 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _174__2 -timestamp 1648946573 -transform -1 0 3680 0 1 4352 +timestamp 1644511149 +transform -1 0 3680 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _175_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4324 0 1 4352 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _176_ -timestamp 1648946573 -transform -1 0 7728 0 -1 4352 +timestamp 1644511149 +transform -1 0 5612 0 1 2176 box -38 -48 314 592 use sky130_fd_sc_hd__or2_2 _177_ -timestamp 1648946573 -transform 1 0 5152 0 1 8704 +timestamp 1644511149 +transform 1 0 5612 0 -1 8704 box -38 -48 498 592 use sky130_fd_sc_hd__buf_1 _178_ -timestamp 1648946573 -transform -1 0 9568 0 -1 4352 +timestamp 1644511149 +transform 1 0 7544 0 1 1088 box -38 -48 314 592 use sky130_fd_sc_hd__inv_2 _179__3 -timestamp 1648946573 -transform 1 0 5336 0 1 2176 +timestamp 1644511149 +transform 1 0 5336 0 1 1088 box -38 -48 314 592 use sky130_fd_sc_hd__or2b_2 _180_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5152 0 -1 5440 box -38 -48 682 592 use sky130_fd_sc_hd__buf_1 _181_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3956 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__dfbbn_2 _182_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__dfbbn_2 _182_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 1840 0 -1 10880 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _183_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1840 0 -1 8704 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _184_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6072 0 1 9792 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _185_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6900 0 -1 9792 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _186_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 -1 9792 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _187_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3312 0 -1 6528 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _188_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3496 0 -1 7616 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _189_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6532 0 -1 7616 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _190_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6440 0 1 4352 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _191_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6716 0 1 3264 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _192_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3588 0 -1 3264 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _193_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4232 0 -1 4352 box -38 -48 2614 592 use sky130_fd_sc_hd__dfbbn_2 _194_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 5704 0 1 2176 box -38 -48 2614 592 -use sky130_fd_sc_hd__dfrtp_2 _195_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__dfrtp_2 _195_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform -1 0 3128 0 -1 9792 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _196_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1564 0 1 7616 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _197_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3128 0 -1 7616 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _198_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1472 0 1 6528 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _199_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 3496 0 1 5440 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _200_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 3312 0 1 1088 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _201_ -timestamp 1648946573 -transform -1 0 5244 0 1 2176 +timestamp 1644511149 +transform 1 0 3312 0 1 2176 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _202_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 4232 0 -1 2176 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _203_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6164 0 -1 2176 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _204_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6164 0 1 8704 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _205_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 7360 0 -1 8704 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _206_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 7728 0 1 6528 box -38 -48 1970 592 use sky130_fd_sc_hd__dfrtp_2 _207_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6256 0 -1 3264 box -38 -48 1970 592 -use sky130_fd_sc_hd__buf_2 _208_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__buf_2 _208_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 8280 0 1 8704 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 _209_ -timestamp 1648946573 -transform 1 0 8280 0 -1 10880 +timestamp 1644511149 +transform 1 0 8372 0 -1 10880 box -38 -48 406 592 use sky130_fd_sc_hd__buf_2 _210_ -timestamp 1648946573 -transform 1 0 7912 0 -1 10880 +timestamp 1644511149 +transform 1 0 8004 0 -1 10880 box -38 -48 406 592 -use sky130_fd_sc_hd__ebufn_2 _211_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__ebufn_2 _211_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 8648 0 -1 3264 box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_16 clkbuf_0__049_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__clkbuf_16 clkbuf_0__049_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 5152 0 -1 5440 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_0__077_ -timestamp 1648946573 -transform 1 0 4232 0 1 9792 +timestamp 1644511149 +transform -1 0 6072 0 1 9792 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_clock -timestamp 1648946573 +timestamp 1644511149 transform -1 0 8004 0 1 5440 box -38 -48 1878 592 use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_load -timestamp 1648946573 +timestamp 1644511149 transform -1 0 8004 0 -1 6528 box -38 -48 1878 592 -use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0__049_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0__049_ depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 6808 0 -1 4352 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0__077_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 1472 0 -1 10880 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_serial_clock -timestamp 1648946573 +timestamp 1644511149 transform -1 0 5152 0 1 3264 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_serial_load -timestamp 1648946573 +timestamp 1644511149 transform -1 0 4508 0 -1 5440 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0__049_ -timestamp 1648946573 +timestamp 1644511149 transform -1 0 4784 0 1 8704 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0__077_ -timestamp 1648946573 +timestamp 1644511149 transform 1 0 6808 0 -1 10880 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_serial_clock -timestamp 1648946573 -transform -1 0 5980 0 1 7616 +timestamp 1644511149 +transform -1 0 5520 0 1 8704 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_serial_load -timestamp 1648946573 +timestamp 1644511149 transform -1 0 6164 0 1 8704 box -38 -48 406 592 -use sky130_fd_sc_hd__conb_1 const_source $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__conb_1 const_source depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 9292 0 1 2176 box -38 -48 314 592 -use sky130_fd_sc_hd__dlygate4sd2_1 data_delay_1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform 1 0 8280 0 1 1088 +use sky130_fd_sc_hd__dlygate4sd2_1 data_delay_1 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 8280 0 -1 2176 box -38 -48 682 592 use sky130_fd_sc_hd__dlygate4sd2_1 data_delay_2 -timestamp 1648946573 -transform -1 0 9568 0 1 1088 +timestamp 1644511149 +transform 1 0 8924 0 -1 2176 box -38 -48 682 592 -use sky130_fd_sc_hd__einvp_8 gpio_in_buf $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 +use sky130_fd_sc_hd__einvp_8 gpio_in_buf depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 transform 1 0 4416 0 1 5440 box -38 -48 1694 592 use gpio_logic_high gpio_logic_high -timestamp 1638030917 +timestamp 0 transform 1 0 1196 0 1 1680 box -38 -48 1418 2768 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform -1 0 2392 0 -1 6528 +use sky130_fd_sc_hd__dlygate4sd3_1 hold1 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 1656 0 -1 6528 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold2 -timestamp 1648946573 -transform 1 0 8280 0 -1 4352 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold2 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform 1 0 3312 0 -1 5440 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 -timestamp 1648946573 -transform 1 0 8740 0 1 8704 +use sky130_fd_sc_hd__dlygate4sd3_1 hold3 +timestamp 1644511149 +transform -1 0 9016 0 -1 4352 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 -timestamp 1648946573 +use sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 depencies/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1644511149 +transform -1 0 8188 0 -1 4352 +box -38 -48 774 592 +use sky130_fd_sc_hd__dlygate4sd3_1 hold5 +timestamp 1644511149 +transform -1 0 9476 0 1 8704 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold6 +timestamp 1644511149 +transform -1 0 8096 0 1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__dlygate4sd3_1 hold7 +timestamp 1644511149 transform 1 0 3588 0 1 7616 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold5 -timestamp 1648946573 +use sky130_fd_sc_hd__clkdlybuf4s25_1 hold8 +timestamp 1644511149 +transform 1 0 4324 0 1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__dlygate4sd3_1 hold9 +timestamp 1644511149 transform 1 0 1288 0 1 8704 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold6 -timestamp 1648946573 -transform 1 0 1288 0 1 9792 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold7 -timestamp 1648946573 -transform 1 0 3588 0 1 6528 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s50_1 hold8 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag -timestamp 1648946573 -transform -1 0 9016 0 1 2176 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold9 -timestamp 1648946573 -transform 1 0 8740 0 1 7616 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold10 -timestamp 1648946573 -transform -1 0 5796 0 1 6528 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold11 -timestamp 1648946573 -transform 1 0 2392 0 -1 6528 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold12 -timestamp 1648946573 -transform 1 0 3588 0 1 5440 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold13 -timestamp 1648946573 -transform -1 0 9476 0 -1 2176 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold14 -timestamp 1648946573 -transform 1 0 4416 0 -1 8704 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 -timestamp 1648946573 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold10 +timestamp 1644511149 transform 1 0 2024 0 1 8704 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold16 -timestamp 1648946573 +use sky130_fd_sc_hd__dlygate4sd3_1 hold11 +timestamp 1644511149 +transform 1 0 1288 0 1 9792 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold12 +timestamp 1644511149 transform 1 0 2024 0 1 9792 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold17 -timestamp 1648946573 -transform -1 0 9476 0 1 9792 +use sky130_fd_sc_hd__dlygate4sd3_1 hold13 +timestamp 1644511149 +transform 1 0 3588 0 1 6528 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 -timestamp 1648946573 -transform 1 0 4324 0 1 6528 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold14 +timestamp 1644511149 +transform -1 0 5060 0 1 6528 +box -38 -48 774 592 +use sky130_fd_sc_hd__dlygate4sd3_1 hold15 +timestamp 1644511149 +transform -1 0 9016 0 1 2176 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold16 +timestamp 1644511149 +transform -1 0 8188 0 -1 5440 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold17 +timestamp 1644511149 +transform 1 0 8740 0 1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold18 +timestamp 1644511149 +transform 1 0 5060 0 1 6528 box -38 -48 774 592 use sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 -timestamp 1648946573 -transform -1 0 9384 0 -1 10880 +timestamp 1644511149 +transform 1 0 6072 0 1 1088 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 -timestamp 1648946573 -transform 1 0 6624 0 1 7616 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold20 +timestamp 1644511149 +transform -1 0 6440 0 1 3264 box -38 -48 774 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold21 -timestamp 1648946573 -transform -1 0 6808 0 1 1088 +use sky130_fd_sc_hd__clkdlybuf4s50_1 hold21 +timestamp 1644511149 +transform -1 0 7544 0 1 1088 box -38 -48 774 592 use sky130_fd_sc_hd__clkdlybuf4s25_1 hold22 -timestamp 1648946573 -transform 1 0 5704 0 1 3264 +timestamp 1644511149 +transform -1 0 9476 0 1 9792 box -38 -48 774 592 use sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 -timestamp 1648946573 -transform 1 0 6808 0 1 1088 +timestamp 1644511149 +transform 1 0 6624 0 1 7616 box -38 -48 774 592 << labels >> rlabel metal2 s 938 12200 994 13000 6 gpio_defaults[0] diff --git a/maglef/gpio_control_block.mag b/maglef/gpio_control_block.mag index c4e01795..d9493f07 100644 --- a/maglef/gpio_control_block.mag +++ b/maglef/gpio_control_block.mag @@ -1,7 +1,7 @@ magic tech sky130A magscale 1 2 -timestamp 1649159639 +timestamp 1649688057 << nwell >> rect 882 11141 9882 11462 rect 882 10053 9882 10619 @@ -12,7 +12,7 @@ rect 882 5701 9882 6267 << obsli1 >> rect 920 1071 9844 11441 << obsm1 >> -rect 920 1040 16730 11552 +rect 920 960 16638 11472 << metal2 >> rect 938 12200 994 13000 rect 1398 12200 1454 13000 @@ -40,8 +40,8 @@ rect 4730 12144 5022 12345 rect 5190 12144 5482 12345 rect 5650 12144 5942 12345 rect 6110 12144 6402 12345 -rect 6570 12144 16724 12345 -rect 994 439 16724 12144 +rect 6570 12144 16634 12345 +rect 994 439 16634 12144 << metal3 >> rect 14000 12248 34000 12368 rect 14000 11840 34000 11960 @@ -282,8 +282,8 @@ port 47 nsew signal output string FIXED_BBOX 0 0 34000 13000 string LEFclass BLOCK string LEFview TRUE -string GDS_END 564466 -string GDS_FILE /home/kareem_farid/fresh/caravel_timing/openlane/gpio_control_block/runs/gpio_control_block/results/finishing/gpio_control_block.magic.gds -string GDS_START 191192 +string GDS_END 563480 +string GDS_FILE /home/marwan/work/caravel_user_project/caravel/openlane/gpio_control_block/runs/gpio_control_block/results/finishing/gpio_control_block.magic.gds +string GDS_START 197174 << end >> diff --git a/openlane/gpio_control_block/base.sdc b/openlane/gpio_control_block/base.sdc index f3cf91d0..1d9b2068 100644 --- a/openlane/gpio_control_block/base.sdc +++ b/openlane/gpio_control_block/base.sdc @@ -8,11 +8,11 @@ current_design gpio_control_block ############################################################################### create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] set_clock_transition 0.1500 [get_clocks {serial_clock}] -set_clock_uncertainty 0.2500 serial_clock +set_clock_uncertainty 0.4000 serial_clock set_propagated_clock [get_clocks {serial_clock}] create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] set_clock_transition 0.1500 [get_clocks {serial_load}] -set_clock_uncertainty 0.2500 serial_load +set_clock_uncertainty 0.4000 serial_load set_propagated_clock [get_clocks {serial_load}] set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] diff --git a/sdc/gpio_control_block.sdc b/sdc/gpio_control_block.sdc index 7a02ed23..93943cc7 100644 --- a/sdc/gpio_control_block.sdc +++ b/sdc/gpio_control_block.sdc @@ -1,6 +1,6 @@ ############################################################################### # Created by write_sdc -# Tue Apr 5 11:53:33 2022 +# Mon Apr 11 14:40:34 2022 ############################################################################### current_design gpio_control_block ############################################################################### @@ -8,11 +8,11 @@ current_design gpio_control_block ############################################################################### create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] set_clock_transition 0.1500 [get_clocks {serial_clock}] -set_clock_uncertainty 0.2500 serial_clock +set_clock_uncertainty 0.4000 serial_clock set_propagated_clock [get_clocks {serial_clock}] create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] set_clock_transition 0.1500 [get_clocks {serial_load}] -set_clock_uncertainty 0.2500 serial_load +set_clock_uncertainty 0.4000 serial_load set_propagated_clock [get_clocks {serial_load}] set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] diff --git a/sdf/gpio_control_block.sdf b/sdf/gpio_control_block.sdf index 22c4e79d..b9888caf 100644 --- a/sdf/gpio_control_block.sdf +++ b/sdf/gpio_control_block.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "gpio_control_block") - (DATE "Tue Apr 5 11:53:55 2022") + (DATE "Mon Apr 11 14:40:53 2022") (VENDOR "Parallax") (PROGRAM "STA") (VERSION "2.3.1") @@ -19,106 +19,106 @@ (INTERCONNECT gpio_defaults[0] _116_.B_N (0.032:0.032:0.032) (0.015:0.015:0.015)) (INTERCONNECT gpio_defaults[0] ANTENNA__116__B_N.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015)) (INTERCONNECT gpio_defaults[0] ANTENNA__110__B.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015)) - (INTERCONNECT gpio_defaults[10] _152_.B (0.040:0.040:0.040) (0.019:0.019:0.019)) - (INTERCONNECT gpio_defaults[10] _155_.B_N (0.040:0.040:0.040) (0.019:0.019:0.019)) - (INTERCONNECT gpio_defaults[10] ANTENNA__155__B_N.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018)) - (INTERCONNECT gpio_defaults[10] ANTENNA__152__B.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019)) - (INTERCONNECT gpio_defaults[11] _157_.B (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT gpio_defaults[11] _160_.B_N (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT gpio_defaults[11] ANTENNA__160__B_N.DIODE (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT gpio_defaults[11] ANTENNA__157__B.DIODE (0.048:0.048:0.048) (0.023:0.023:0.023)) - (INTERCONNECT gpio_defaults[12] _162_.B (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT gpio_defaults[12] _165_.B_N (0.055:0.055:0.055) (0.026:0.026:0.026)) - (INTERCONNECT gpio_defaults[12] ANTENNA__165__B_N.DIODE (0.055:0.055:0.055) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[12] ANTENNA__162__B.DIODE (0.055:0.055:0.055) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[1] _146_.B (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[1] _149_.B_N (0.056:0.056:0.056) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[1] ANTENNA__149__B_N.DIODE (0.056:0.056:0.056) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[1] ANTENNA__146__B.DIODE (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT gpio_defaults[2] _118_.B (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT gpio_defaults[2] _121_.B_N (0.036:0.036:0.036) (0.017:0.017:0.017)) - (INTERCONNECT gpio_defaults[2] ANTENNA__121__B_N.DIODE (0.036:0.036:0.036) (0.016:0.016:0.016)) - (INTERCONNECT gpio_defaults[2] ANTENNA__118__B.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017)) + (INTERCONNECT gpio_defaults[10] _152_.B (0.043:0.043:0.043) (0.020:0.020:0.020)) + (INTERCONNECT gpio_defaults[10] _155_.B_N (0.043:0.043:0.043) (0.020:0.020:0.020)) + (INTERCONNECT gpio_defaults[10] ANTENNA__155__B_N.DIODE (0.042:0.042:0.042) (0.020:0.020:0.020)) + (INTERCONNECT gpio_defaults[10] ANTENNA__152__B.DIODE (0.043:0.043:0.043) (0.020:0.020:0.020)) + (INTERCONNECT gpio_defaults[11] _157_.B (0.054:0.054:0.054) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[11] _160_.B_N (0.054:0.054:0.054) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[11] ANTENNA__160__B_N.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[11] ANTENNA__157__B.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[12] _162_.B (0.063:0.063:0.063) (0.030:0.030:0.030)) + (INTERCONNECT gpio_defaults[12] _165_.B_N (0.063:0.063:0.063) (0.030:0.030:0.030)) + (INTERCONNECT gpio_defaults[12] ANTENNA__165__B_N.DIODE (0.063:0.063:0.063) (0.030:0.030:0.030)) + (INTERCONNECT gpio_defaults[12] ANTENNA__162__B.DIODE (0.063:0.063:0.063) (0.030:0.030:0.030)) + (INTERCONNECT gpio_defaults[1] _146_.B (0.055:0.055:0.055) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[1] _149_.B_N (0.054:0.054:0.054) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[1] ANTENNA__149__B_N.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[1] ANTENNA__146__B.DIODE (0.055:0.055:0.055) (0.026:0.026:0.026)) + (INTERCONNECT gpio_defaults[2] _118_.B (0.037:0.037:0.037) (0.017:0.017:0.017)) + (INTERCONNECT gpio_defaults[2] _121_.B_N (0.037:0.037:0.037) (0.017:0.017:0.017)) + (INTERCONNECT gpio_defaults[2] ANTENNA__121__B_N.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) + (INTERCONNECT gpio_defaults[2] ANTENNA__118__B.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) (INTERCONNECT gpio_defaults[3] _134_.B (0.028:0.028:0.028) (0.013:0.013:0.013)) (INTERCONNECT gpio_defaults[3] _137_.B_N (0.028:0.028:0.028) (0.013:0.013:0.013)) (INTERCONNECT gpio_defaults[3] ANTENNA__137__B_N.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) (INTERCONNECT gpio_defaults[3] ANTENNA__134__B.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013)) - (INTERCONNECT gpio_defaults[4] _139_.B (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[4] _144_.B_N (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[4] ANTENNA__144__B_N.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[4] ANTENNA__139__B.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[5] _167_.B (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT gpio_defaults[5] _170_.B_N (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT gpio_defaults[5] ANTENNA__170__B_N.DIODE (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT gpio_defaults[5] ANTENNA__167__B.DIODE (0.050:0.050:0.050) (0.024:0.024:0.024)) - (INTERCONNECT gpio_defaults[6] _172_.B (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[6] _175_.B_N (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[6] ANTENNA__175__B_N.DIODE (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[6] ANTENNA__172__B.DIODE (0.046:0.046:0.046) (0.022:0.022:0.022)) - (INTERCONNECT gpio_defaults[7] _177_.B (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT gpio_defaults[7] _180_.B_N (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT gpio_defaults[7] ANTENNA__180__B_N.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT gpio_defaults[7] ANTENNA__177__B.DIODE (0.052:0.052:0.052) (0.025:0.025:0.025)) - (INTERCONNECT gpio_defaults[8] _124_.B (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT gpio_defaults[8] _127_.B_N (0.030:0.030:0.030) (0.014:0.014:0.014)) + (INTERCONNECT gpio_defaults[4] _139_.B (0.046:0.046:0.046) (0.022:0.022:0.022)) + (INTERCONNECT gpio_defaults[4] _144_.B_N (0.046:0.046:0.046) (0.022:0.022:0.022)) + (INTERCONNECT gpio_defaults[4] ANTENNA__144__B_N.DIODE (0.046:0.046:0.046) (0.022:0.022:0.022)) + (INTERCONNECT gpio_defaults[4] ANTENNA__139__B.DIODE (0.046:0.046:0.046) (0.021:0.021:0.021)) + (INTERCONNECT gpio_defaults[5] _167_.B (0.044:0.044:0.044) (0.021:0.021:0.021)) + (INTERCONNECT gpio_defaults[5] _170_.B_N (0.044:0.044:0.044) (0.021:0.021:0.021)) + (INTERCONNECT gpio_defaults[5] ANTENNA__170__B_N.DIODE (0.044:0.044:0.044) (0.021:0.021:0.021)) + (INTERCONNECT gpio_defaults[5] ANTENNA__167__B.DIODE (0.044:0.044:0.044) (0.021:0.021:0.021)) + (INTERCONNECT gpio_defaults[6] _172_.B (0.056:0.056:0.056) (0.027:0.027:0.027)) + (INTERCONNECT gpio_defaults[6] _175_.B_N (0.056:0.056:0.056) (0.027:0.027:0.027)) + (INTERCONNECT gpio_defaults[6] ANTENNA__175__B_N.DIODE (0.056:0.056:0.056) (0.027:0.027:0.027)) + (INTERCONNECT gpio_defaults[6] ANTENNA__172__B.DIODE (0.057:0.057:0.057) (0.027:0.027:0.027)) + (INTERCONNECT gpio_defaults[7] _177_.B (0.058:0.058:0.058) (0.028:0.028:0.028)) + (INTERCONNECT gpio_defaults[7] _180_.B_N (0.058:0.058:0.058) (0.028:0.028:0.028)) + (INTERCONNECT gpio_defaults[7] ANTENNA__180__B_N.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) + (INTERCONNECT gpio_defaults[7] ANTENNA__177__B.DIODE (0.058:0.058:0.058) (0.028:0.028:0.028)) + (INTERCONNECT gpio_defaults[8] _124_.B (0.030:0.030:0.030) (0.013:0.013:0.013)) + (INTERCONNECT gpio_defaults[8] _127_.B_N (0.030:0.030:0.030) (0.013:0.013:0.013)) (INTERCONNECT gpio_defaults[8] ANTENNA__127__B_N.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013)) - (INTERCONNECT gpio_defaults[8] ANTENNA__124__B.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014)) - (INTERCONNECT gpio_defaults[9] _129_.B (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT gpio_defaults[9] _132_.B_N (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT gpio_defaults[9] ANTENNA__132__B_N.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT gpio_defaults[9] ANTENNA__129__B.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012)) - (INTERCONNECT mgmt_gpio_oeb _099_.A2 (0.060:0.060:0.060) (0.029:0.029:0.029)) - (INTERCONNECT mgmt_gpio_oeb _101_.A (0.060:0.060:0.060) (0.029:0.029:0.029)) - (INTERCONNECT mgmt_gpio_oeb ANTENNA__101__A.DIODE (0.060:0.060:0.060) (0.029:0.029:0.029)) - (INTERCONNECT mgmt_gpio_oeb ANTENNA__099__A2.DIODE (0.060:0.060:0.060) (0.029:0.029:0.029)) - (INTERCONNECT mgmt_gpio_out _102_.A (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT mgmt_gpio_out ANTENNA__102__A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017)) - (INTERCONNECT pad_gpio_in _106_.A (0.064:0.064:0.064) (0.030:0.030:0.030)) - (INTERCONNECT pad_gpio_in _211_.A (0.064:0.064:0.064) (0.030:0.030:0.030)) - (INTERCONNECT pad_gpio_in ANTENNA__211__A.DIODE (0.064:0.064:0.064) (0.031:0.031:0.031)) - (INTERCONNECT pad_gpio_in ANTENNA__106__A.DIODE (0.064:0.064:0.064) (0.030:0.030:0.030)) - (INTERCONNECT resetn _109_.A (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _114_.A (0.333:0.333:0.333) (0.169:0.169:0.169)) - (INTERCONNECT resetn _195_.RESET_B (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn _196_.RESET_B (0.334:0.334:0.334) (0.170:0.170:0.170)) - (INTERCONNECT resetn _197_.RESET_B (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn _198_.RESET_B (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _199_.RESET_B (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn _200_.RESET_B (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _201_.RESET_B (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _202_.RESET_B (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _203_.RESET_B (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn _204_.RESET_B (0.331:0.331:0.331) (0.168:0.168:0.168)) - (INTERCONNECT resetn _205_.RESET_B (0.330:0.330:0.330) (0.167:0.167:0.167)) - (INTERCONNECT resetn _206_.RESET_B (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn _207_.RESET_B (0.334:0.334:0.334) (0.170:0.170:0.170)) - (INTERCONNECT resetn _208_.A (0.331:0.331:0.331) (0.167:0.167:0.167)) - (INTERCONNECT resetn ANTENNA__208__A.DIODE (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn ANTENNA__207__RESET_B.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__206__RESET_B.DIODE (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn ANTENNA__205__RESET_B.DIODE (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn ANTENNA__204__RESET_B.DIODE (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn ANTENNA__203__RESET_B.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__202__RESET_B.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__201__RESET_B.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__200__RESET_B.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__199__RESET_B.DIODE (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__198__RESET_B.DIODE (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__197__RESET_B.DIODE (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__196__RESET_B.DIODE (0.335:0.335:0.335) (0.171:0.171:0.171)) - (INTERCONNECT resetn ANTENNA__195__RESET_B.DIODE (0.333:0.333:0.333) (0.170:0.170:0.170)) - (INTERCONNECT resetn ANTENNA__114__A.DIODE (0.333:0.333:0.333) (0.169:0.169:0.169)) - (INTERCONNECT resetn ANTENNA__109__A.DIODE (0.334:0.334:0.334) (0.171:0.171:0.171)) - (INTERCONNECT serial_clock clkbuf_0_serial_clock.A (0.068:0.068:0.068) (0.032:0.032:0.032)) - (INTERCONNECT serial_clock ANTENNA_clkbuf_0_serial_clock_A.DIODE (0.068:0.068:0.068) (0.032:0.032:0.032)) - (INTERCONNECT serial_data_in _195_.D (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT serial_data_in ANTENNA__195__D.DIODE (0.051:0.051:0.051) (0.025:0.025:0.025)) - (INTERCONNECT serial_load clkbuf_0_serial_load.A (0.057:0.057:0.057) (0.027:0.027:0.027)) - (INTERCONNECT serial_load ANTENNA_clkbuf_0_serial_load_A.DIODE (0.057:0.057:0.057) (0.026:0.026:0.026)) - (INTERCONNECT user_gpio_oeb _098_.B (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT user_gpio_oeb ANTENNA__098__B.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008)) - (INTERCONNECT user_gpio_out _100_.A (0.031:0.031:0.031) (0.014:0.014:0.014)) - (INTERCONNECT user_gpio_out ANTENNA__100__A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) + (INTERCONNECT gpio_defaults[8] ANTENNA__124__B.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013)) + (INTERCONNECT gpio_defaults[9] _129_.B (0.025:0.025:0.025) (0.011:0.011:0.011)) + (INTERCONNECT gpio_defaults[9] _132_.B_N (0.025:0.025:0.025) (0.011:0.011:0.011)) + (INTERCONNECT gpio_defaults[9] ANTENNA__132__B_N.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011)) + (INTERCONNECT gpio_defaults[9] ANTENNA__129__B.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011)) + (INTERCONNECT mgmt_gpio_oeb _099_.A2 (0.065:0.065:0.065) (0.031:0.031:0.031)) + (INTERCONNECT mgmt_gpio_oeb _101_.A (0.064:0.064:0.064) (0.031:0.031:0.031)) + (INTERCONNECT mgmt_gpio_oeb ANTENNA__101__A.DIODE (0.064:0.064:0.064) (0.031:0.031:0.031)) + (INTERCONNECT mgmt_gpio_oeb ANTENNA__099__A2.DIODE (0.065:0.065:0.065) (0.031:0.031:0.031)) + (INTERCONNECT mgmt_gpio_out _102_.A (0.031:0.031:0.031) (0.014:0.014:0.014)) + (INTERCONNECT mgmt_gpio_out ANTENNA__102__A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014)) + (INTERCONNECT pad_gpio_in _106_.A (0.053:0.053:0.053) (0.025:0.025:0.025)) + (INTERCONNECT pad_gpio_in _211_.A (0.053:0.053:0.053) (0.025:0.025:0.025)) + (INTERCONNECT pad_gpio_in ANTENNA__211__A.DIODE (0.053:0.053:0.053) (0.025:0.025:0.025)) + (INTERCONNECT pad_gpio_in ANTENNA__106__A.DIODE (0.053:0.053:0.053) (0.025:0.025:0.025)) + (INTERCONNECT resetn _109_.A (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn _114_.A (0.337:0.337:0.337) (0.172:0.172:0.172)) + (INTERCONNECT resetn _195_.RESET_B (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn _196_.RESET_B (0.339:0.339:0.339) (0.173:0.173:0.173)) + (INTERCONNECT resetn _197_.RESET_B (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn _198_.RESET_B (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn _199_.RESET_B (0.340:0.340:0.340) (0.174:0.174:0.174)) + (INTERCONNECT resetn _200_.RESET_B (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn _201_.RESET_B (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn _202_.RESET_B (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn _203_.RESET_B (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn _204_.RESET_B (0.336:0.336:0.336) (0.171:0.171:0.171)) + (INTERCONNECT resetn _205_.RESET_B (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn _206_.RESET_B (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn _207_.RESET_B (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn _208_.A (0.336:0.336:0.336) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__208__A.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__207__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__206__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__205__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__204__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__203__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__202__RESET_B.DIODE (0.335:0.335:0.335) (0.170:0.170:0.170)) + (INTERCONNECT resetn ANTENNA__201__RESET_B.DIODE (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn ANTENNA__200__RESET_B.DIODE (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT resetn ANTENNA__199__RESET_B.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__198__RESET_B.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__197__RESET_B.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__196__RESET_B.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__195__RESET_B.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__114__A.DIODE (0.339:0.339:0.339) (0.174:0.174:0.174)) + (INTERCONNECT resetn ANTENNA__109__A.DIODE (0.340:0.340:0.340) (0.175:0.175:0.175)) + (INTERCONNECT serial_clock clkbuf_0_serial_clock.A (0.067:0.067:0.067) (0.031:0.031:0.031)) + (INTERCONNECT serial_clock ANTENNA_clkbuf_0_serial_clock_A.DIODE (0.067:0.067:0.067) (0.031:0.031:0.031)) + (INTERCONNECT serial_data_in _195_.D (0.047:0.047:0.047) (0.023:0.023:0.023)) + (INTERCONNECT serial_data_in ANTENNA__195__D.DIODE (0.047:0.047:0.047) (0.023:0.023:0.023)) + (INTERCONNECT serial_load clkbuf_0_serial_load.A (0.059:0.059:0.059) (0.027:0.027:0.027)) + (INTERCONNECT serial_load ANTENNA_clkbuf_0_serial_load_A.DIODE (0.059:0.059:0.059) (0.027:0.027:0.027)) + (INTERCONNECT user_gpio_oeb _098_.B (0.023:0.023:0.023) (0.011:0.011:0.011)) + (INTERCONNECT user_gpio_oeb ANTENNA__098__B.DIODE (0.023:0.023:0.023) (0.011:0.011:0.011)) + (INTERCONNECT user_gpio_out _100_.A (0.030:0.030:0.030) (0.014:0.014:0.014)) + (INTERCONNECT user_gpio_out ANTENNA__100__A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014)) (INTERCONNECT _096_.X _097_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _097_.X _211_.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _098_.X _099_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) @@ -131,9 +131,9 @@ (INTERCONNECT _104_.Y _105_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _105_.Y pad_gpio_out (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _106_.Y gpio_in_buf.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _107_.X _108_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _108_.X serial_data_out (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _109_.X _110_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _107_.X _108_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _108_.X serial_data_out (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _109_.X _110_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) (INTERCONNECT _109_.X _118_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _109_.X _170_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _109_.X _175_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) @@ -142,7 +142,7 @@ (INTERCONNECT _111_.X _182_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _112_.X clkbuf_0__077_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _113__4.Y _182_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _114_.X _115_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _114_.X _115_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _114_.X _123_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _114_.X _143_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _114_.X _151_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) @@ -183,9 +183,9 @@ (INTERCONNECT _140_.X _187_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _141_.X clkbuf_0__049_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _142__9.Y _187_.CLK_N (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _143_.X _144_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _143_.X _149_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _143_.X _155_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _143_.X _144_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _143_.X _149_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _143_.X _155_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _143_.X _160_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _143_.X _165_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _144_.X _145_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) @@ -197,8 +197,8 @@ (INTERCONNECT _150_.X _188_.SET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _151_.X _152_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _151_.X _157_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _151_.X _162_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _151_.X _167_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _151_.X _162_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _151_.X _167_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _151_.X _172_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _152_.X _153_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _153_.X _189_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000)) @@ -234,10 +234,10 @@ (INTERCONNECT _182_.Q _099_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _182_.Q _104_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _182_.Q _105_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _183_.Q pad_gpio_holdover (0.003:0.003:0.003) (0.003:0.003:0.003)) - (INTERCONNECT _184_.Q pad_gpio_slow_sel (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _183_.Q pad_gpio_holdover (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _184_.Q pad_gpio_slow_sel (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _185_.Q pad_gpio_vtrip_sel (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _186_.Q pad_gpio_inenb (0.002:0.002:0.002) (0.003:0.003:0.003)) + (INTERCONNECT _186_.Q pad_gpio_inenb (0.003:0.003:0.003) (0.003:0.003:0.003)) (INTERCONNECT _186_.Q _096_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _186_.Q ANTENNA__096__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _187_.Q pad_gpio_ib_mode_sel (0.002:0.002:0.002) (0.002:0.002:0.002)) @@ -252,33 +252,21 @@ (INTERCONNECT _191_.Q _104_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _192_.Q pad_gpio_ana_en (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT _193_.Q pad_gpio_ana_sel (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _194_.Q pad_gpio_ana_pol (0.004:0.004:0.004) (0.004:0.004:0.004)) - (INTERCONNECT _195_.Q _196_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _195_.Q hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _196_.Q _197_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _196_.Q hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _197_.Q _198_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _197_.Q hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _198_.Q _199_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _198_.Q hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _199_.Q _200_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _199_.Q hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _200_.Q _201_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _200_.Q hold23.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _201_.Q _202_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _201_.Q hold22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _202_.Q _203_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _202_.Q hold21.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _203_.Q _204_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT _203_.Q hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _204_.Q _205_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _204_.Q hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _205_.Q _206_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _205_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.Q _207_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _206_.Q hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _194_.Q pad_gpio_ana_pol (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _195_.Q hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _196_.Q hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _197_.Q hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _198_.Q hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _199_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _200_.Q hold21.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _201_.Q hold20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _202_.Q hold19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _203_.Q hold15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _204_.Q hold17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _205_.Q hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _206_.Q hold18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _207_.Q data_delay_1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT _207_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _207_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT _208_.X resetn_out (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _209_.X serial_clock_out (0.002:0.002:0.002) (0.002:0.002:0.002)) (INTERCONNECT _210_.X serial_load_out (0.002:0.002:0.002) (0.002:0.002:0.002)) @@ -296,15 +284,15 @@ (INTERCONNECT clkbuf_1_0_0__077_.X _136__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0_0__077_.X _120__5.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0_0__077_.X _113__4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock.X _207_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock.X _203_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock.X _202_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_clock.X _201_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock.X _207_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock.X _203_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock.X _202_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock.X _201_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0_0_serial_clock.X _200_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0_0_serial_clock.X _199_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_0_0_serial_load.X _179__3.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_0_0_serial_load.X _174__2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT clkbuf_1_0_0_serial_load.X _169__1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_load.X _169__1.A (0.001:0.001:0.001) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_1_0__049_.X _154__11.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0__049_.X _148__10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0__049_.X _142__9.A (0.001:0.001:0.001) (0.001:0.001:0.001)) @@ -313,11 +301,11 @@ (INTERCONNECT clkbuf_1_1_0_serial_clock.X _209_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock.X _206_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock.X _205_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock.X _204_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock.X _204_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_1_0_serial_clock.X _198_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock.X _197_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) (INTERCONNECT clkbuf_1_1_0_serial_clock.X _196_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT clkbuf_1_1_0_serial_clock.X _195_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock.X _195_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_1_0_serial_load.X _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_1_0_serial_load.X _141_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT clkbuf_1_1_0_serial_load.X _112_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) @@ -328,29 +316,41 @@ (INTERCONNECT data_delay_2.X _107_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) (INTERCONNECT gpio_in_buf.Z user_gpio_in (0.004:0.004:0.004) (0.004:0.004:0.004)) (INTERCONNECT gpio_logic_high.gpio_logic1 gpio_in_buf.TE (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold1.X hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold10.X hold20.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold11.X _187_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold12.X hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold13.X _191_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold14.X _188_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold15.X _183_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold16.X _182_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold17.X _189_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold18.X _186_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold19.X _185_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold2.X hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold20.X _190_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold21.X _194_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold22.X _193_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold23.X _192_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold3.X hold17.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold4.X hold14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold5.X hold15.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold6.X hold16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold7.X hold18.A (0.000:0.000:0.000) (0.000:0.000:0.000)) - (INTERCONNECT hold8.X _184_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) - (INTERCONNECT hold9.X hold19.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold1.X hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold10.X _183_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold10.X _198_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold11.X hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold12.X _182_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold12.X _196_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold13.X hold14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold14.X _186_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold14.X _199_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold15.X hold16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold16.X _184_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold16.X _204_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold17.X _205_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold17.X hold22.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold18.X _207_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold18.X hold23.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold19.X _194_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold19.X _203_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold2.X _187_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold2.X _200_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold20.X _193_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold20.X _202_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold21.X _192_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold21.X _201_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold22.X _185_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold23.X _190_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold3.X hold4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold4.X _191_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold5.X hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold6.X _189_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold6.X _206_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold7.X hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold8.X _188_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold8.X _197_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold9.X hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000)) ) ) ) @@ -359,8 +359,8 @@ (INSTANCE _096_) (DELAY (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.315:0.315:0.315)) - (IOPATH B_N X (0.156:0.156:0.156) (0.297:0.297:0.297)) + (IOPATH A X (0.155:0.155:0.155) (0.316:0.316:0.316)) + (IOPATH B_N X (0.156:0.156:0.156) (0.296:0.296:0.296)) ) ) ) @@ -369,7 +369,7 @@ (INSTANCE _097_) (DELAY (ABSOLUTE - (IOPATH A X (0.094:0.095:0.095) (0.100:0.100:0.100)) + (IOPATH A X (0.095:0.095:0.096) (0.100:0.100:0.100)) ) ) ) @@ -378,8 +378,8 @@ (INSTANCE _098_) (DELAY (ABSOLUTE - (IOPATH A_N X (0.220:0.220:0.220) (0.235:0.235:0.235)) - (IOPATH B X (0.137:0.137:0.137) (0.181:0.181:0.181)) + (IOPATH A_N X (0.232:0.232:0.232) (0.245:0.245:0.245)) + (IOPATH B X (0.149:0.149:0.149) (0.190:0.190:0.190)) ) ) ) @@ -388,10 +388,10 @@ (INSTANCE _099_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.278:0.278:0.278) (0.280:0.280:0.280)) - (IOPATH A2 X (0.295:0.295:0.295) (0.315:0.315:0.315)) - (IOPATH A3 X (0.306:0.306:0.306) (0.339:0.339:0.339)) - (IOPATH B1 X (0.228:0.228:0.228) (0.299:0.299:0.299)) + (IOPATH A1 X (0.281:0.281:0.281) (0.281:0.281:0.281)) + (IOPATH A2 X (0.300:0.300:0.300) (0.318:0.318:0.318)) + (IOPATH A3 X (0.311:0.311:0.311) (0.342:0.342:0.342)) + (IOPATH B1 X (0.236:0.236:0.236) (0.302:0.303:0.303)) ) ) ) @@ -400,7 +400,7 @@ (INSTANCE _100_) (DELAY (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.038:0.038:0.038)) + (IOPATH A Y (0.048:0.048:0.048) (0.036:0.036:0.036)) ) ) ) @@ -409,7 +409,7 @@ (INSTANCE _101_) (DELAY (ABSOLUTE - (IOPATH A Y (0.067:0.067:0.067) (0.063:0.063:0.063)) + (IOPATH A Y (0.068:0.068:0.068) (0.065:0.065:0.065)) (IOPATH B Y (0.105:0.105:0.105) (0.091:0.091:0.091)) ) ) @@ -419,7 +419,7 @@ (INSTANCE _102_) (DELAY (ABSOLUTE - (IOPATH A Y (0.039:0.039:0.039) (0.034:0.034:0.034)) + (IOPATH A Y (0.037:0.037:0.037) (0.031:0.031:0.031)) ) ) ) @@ -430,7 +430,7 @@ (ABSOLUTE (IOPATH A1 X (0.179:0.179:0.179) (0.238:0.238:0.238)) (IOPATH A2 X (0.118:0.120:0.122) (0.197:0.199:0.201)) - (IOPATH B1 X (0.120:0.120:0.120) (0.107:0.107:0.107)) + (IOPATH B1 X (0.120:0.120:0.120) (0.106:0.106:0.106)) ) ) ) @@ -439,10 +439,10 @@ (INSTANCE _104_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.286:0.286:0.286) (0.115:0.115:0.115)) - (IOPATH A2 Y (0.242:0.242:0.242) (0.068:0.068:0.068)) - (IOPATH A3 Y (0.202:0.204:0.206) (0.060:0.062:0.063)) - (IOPATH B1 Y (0.074:0.074:0.074) (0.089:0.089:0.089)) + (IOPATH A1 Y (0.278:0.278:0.278) (0.112:0.112:0.112)) + (IOPATH A2 Y (0.235:0.235:0.235) (0.066:0.066:0.066)) + (IOPATH A3 Y (0.195:0.197:0.199) (0.059:0.060:0.061)) + (IOPATH B1 Y (0.073:0.073:0.073) (0.088:0.088:0.088)) ) ) ) @@ -451,10 +451,10 @@ (INSTANCE _105_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.413:0.413:0.413) (0.200:0.200:0.200)) - (IOPATH A2 Y (0.374:0.374:0.374) (0.162:0.162:0.162)) - (IOPATH B1 Y (0.349:0.351:0.353) (0.164:0.165:0.166)) - (IOPATH B2 Y (0.329:0.335:0.342) (0.153:0.178:0.202)) + (IOPATH A1 Y (0.412:0.412:0.412) (0.202:0.202:0.202)) + (IOPATH A2 Y (0.372:0.372:0.372) (0.161:0.161:0.161)) + (IOPATH B1 Y (0.347:0.349:0.351) (0.164:0.164:0.165)) + (IOPATH B2 Y (0.326:0.332:0.339) (0.152:0.175:0.198)) ) ) ) @@ -463,7 +463,7 @@ (INSTANCE _106_) (DELAY (ABSOLUTE - (IOPATH A Y (0.101:0.101:0.101) (0.074:0.074:0.074)) + (IOPATH A Y (0.096:0.096:0.096) (0.068:0.068:0.068)) ) ) ) @@ -473,7 +473,7 @@ (DELAY (ABSOLUTE (IOPATH A X (0.000:0.000:0.000)) - (IOPATH B X (0.159:0.159:0.159) (0.202:0.202:0.202)) + (IOPATH B X (0.150:0.150:0.150) (0.195:0.195:0.195)) ) ) ) @@ -482,7 +482,7 @@ (INSTANCE _108_) (DELAY (ABSOLUTE - (IOPATH A X (0.367:0.367:0.367) (0.241:0.241:0.241)) + (IOPATH A X (0.360:0.360:0.360) (0.236:0.236:0.236)) ) ) ) @@ -491,7 +491,7 @@ (INSTANCE _109_) (DELAY (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.217:0.217:0.217)) + (IOPATH A X (0.245:0.245:0.245) (0.216:0.216:0.216)) ) ) ) @@ -500,8 +500,8 @@ (INSTANCE _110_) (DELAY (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.303:0.303:0.303)) - (IOPATH B X (0.093:0.093:0.093) (0.256:0.256:0.256)) + (IOPATH A X (0.142:0.142:0.142) (0.302:0.302:0.302)) + (IOPATH B X (0.093:0.093:0.093) (0.257:0.257:0.257)) ) ) ) @@ -510,7 +510,7 @@ (INSTANCE _111_) (DELAY (ABSOLUTE - (IOPATH A X (0.071:0.072:0.072) (0.087:0.087:0.087)) + (IOPATH A X (0.071:0.071:0.072) (0.087:0.087:0.087)) ) ) ) @@ -519,7 +519,7 @@ (INSTANCE _112_) (DELAY (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.118:0.118:0.118)) + (IOPATH A X (0.142:0.142:0.142) (0.119:0.119:0.119)) ) ) ) @@ -528,7 +528,7 @@ (INSTANCE _113__4) (DELAY (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.031:0.031:0.031)) + (IOPATH A Y (0.047:0.047:0.047) (0.031:0.031:0.031)) ) ) ) @@ -537,7 +537,7 @@ (INSTANCE _114_) (DELAY (ABSOLUTE - (IOPATH A X (0.271:0.271:0.271) (0.229:0.229:0.229)) + (IOPATH A X (0.287:0.287:0.287) (0.238:0.238:0.238)) ) ) ) @@ -546,7 +546,7 @@ (INSTANCE _115_) (DELAY (ABSOLUTE - (IOPATH A X (0.204:0.204:0.204) (0.163:0.163:0.163)) + (IOPATH A X (0.206:0.206:0.206) (0.167:0.167:0.167)) ) ) ) @@ -555,8 +555,8 @@ (INSTANCE _116_) (DELAY (ABSOLUTE - (IOPATH A X (0.137:0.137:0.137) (0.299:0.299:0.299)) - (IOPATH B_N X (0.146:0.146:0.146) (0.289:0.289:0.289)) + (IOPATH A X (0.136:0.136:0.136) (0.298:0.298:0.298)) + (IOPATH B_N X (0.145:0.145:0.145) (0.288:0.288:0.288)) ) ) ) @@ -565,7 +565,7 @@ (INSTANCE _117_) (DELAY (ABSOLUTE - (IOPATH A X (0.085:0.085:0.086) (0.096:0.096:0.096)) + (IOPATH A X (0.085:0.085:0.085) (0.096:0.096:0.096)) ) ) ) @@ -574,7 +574,7 @@ (INSTANCE _118_) (DELAY (ABSOLUTE - (IOPATH A X (0.145:0.145:0.145) (0.305:0.305:0.305)) + (IOPATH A X (0.144:0.144:0.144) (0.304:0.304:0.304)) (IOPATH B X (0.097:0.097:0.097) (0.259:0.259:0.259)) ) ) @@ -584,7 +584,7 @@ (INSTANCE _119_) (DELAY (ABSOLUTE - (IOPATH A X (0.075:0.075:0.076) (0.090:0.090:0.090)) + (IOPATH A X (0.074:0.075:0.075) (0.089:0.089:0.090)) ) ) ) @@ -621,7 +621,7 @@ (INSTANCE _123_) (DELAY (ABSOLUTE - (IOPATH A X (0.200:0.200:0.200) (0.160:0.160:0.160)) + (IOPATH A X (0.199:0.199:0.199) (0.163:0.163:0.163)) ) ) ) @@ -630,8 +630,8 @@ (INSTANCE _124_) (DELAY (ABSOLUTE - (IOPATH A X (0.140:0.140:0.140) (0.305:0.305:0.305)) - (IOPATH B X (0.099:0.099:0.099) (0.266:0.266:0.266)) + (IOPATH A X (0.137:0.137:0.137) (0.302:0.302:0.302)) + (IOPATH B X (0.098:0.098:0.098) (0.264:0.264:0.264)) ) ) ) @@ -640,7 +640,7 @@ (INSTANCE _125_) (DELAY (ABSOLUTE - (IOPATH A X (0.085:0.086:0.086) (0.097:0.098:0.098)) + (IOPATH A X (0.074:0.074:0.074) (0.090:0.090:0.090)) ) ) ) @@ -649,7 +649,7 @@ (INSTANCE _126__6) (DELAY (ABSOLUTE - (IOPATH A Y (0.043:0.043:0.043) (0.030:0.030:0.030)) + (IOPATH A Y (0.042:0.042:0.042) (0.030:0.030:0.030)) ) ) ) @@ -658,8 +658,8 @@ (INSTANCE _127_) (DELAY (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.307:0.307:0.307)) - (IOPATH B_N X (0.152:0.152:0.152) (0.296:0.296:0.296)) + (IOPATH A X (0.138:0.138:0.138) (0.300:0.300:0.300)) + (IOPATH B_N X (0.147:0.147:0.147) (0.290:0.290:0.290)) ) ) ) @@ -668,7 +668,7 @@ (INSTANCE _128_) (DELAY (ABSOLUTE - (IOPATH A X (0.095:0.096:0.096) (0.103:0.103:0.103)) + (IOPATH A X (0.092:0.092:0.093) (0.101:0.101:0.101)) ) ) ) @@ -677,8 +677,8 @@ (INSTANCE _129_) (DELAY (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.309:0.309:0.309)) - (IOPATH B X (0.100:0.100:0.100) (0.270:0.270:0.270)) + (IOPATH A X (0.144:0.144:0.144) (0.311:0.311:0.311)) + (IOPATH B X (0.102:0.102:0.102) (0.272:0.272:0.272)) ) ) ) @@ -687,7 +687,7 @@ (INSTANCE _130_) (DELAY (ABSOLUTE - (IOPATH A X (0.086:0.086:0.086) (0.098:0.098:0.098)) + (IOPATH A X (0.083:0.083:0.084) (0.097:0.097:0.097)) ) ) ) @@ -696,7 +696,7 @@ (INSTANCE _131__7) (DELAY (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.033:0.033:0.033)) + (IOPATH A Y (0.044:0.044:0.044) (0.031:0.031:0.031)) ) ) ) @@ -705,8 +705,8 @@ (INSTANCE _132_) (DELAY (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.302:0.302:0.302)) - (IOPATH B_N X (0.146:0.146:0.146) (0.289:0.289:0.289)) + (IOPATH A X (0.140:0.140:0.140) (0.304:0.304:0.304)) + (IOPATH B_N X (0.148:0.148:0.148) (0.292:0.292:0.292)) ) ) ) @@ -715,7 +715,7 @@ (INSTANCE _133_) (DELAY (ABSOLUTE - (IOPATH A X (0.097:0.097:0.098) (0.104:0.104:0.104)) + (IOPATH A X (0.095:0.095:0.096) (0.103:0.103:0.103)) ) ) ) @@ -724,7 +724,7 @@ (INSTANCE _134_) (DELAY (ABSOLUTE - (IOPATH A X (0.135:0.135:0.135) (0.298:0.298:0.298)) + (IOPATH A X (0.134:0.134:0.134) (0.297:0.297:0.297)) (IOPATH B X (0.094:0.094:0.094) (0.259:0.259:0.259)) ) ) @@ -734,7 +734,7 @@ (INSTANCE _135_) (DELAY (ABSOLUTE - (IOPATH A X (0.074:0.075:0.075) (0.090:0.090:0.090)) + (IOPATH A X (0.075:0.076:0.076) (0.090:0.091:0.091)) ) ) ) @@ -753,7 +753,7 @@ (DELAY (ABSOLUTE (IOPATH A X (0.134:0.134:0.134) (0.295:0.295:0.295)) - (IOPATH B_N X (0.142:0.142:0.142) (0.283:0.283:0.283)) + (IOPATH B_N X (0.143:0.143:0.143) (0.284:0.284:0.284)) ) ) ) @@ -762,7 +762,7 @@ (INSTANCE _138_) (DELAY (ABSOLUTE - (IOPATH A X (0.091:0.091:0.091) (0.099:0.099:0.099)) + (IOPATH A X (0.091:0.091:0.092) (0.099:0.099:0.099)) ) ) ) @@ -771,8 +771,8 @@ (INSTANCE _139_) (DELAY (ABSOLUTE - (IOPATH A X (0.139:0.139:0.139) (0.303:0.303:0.303)) - (IOPATH B X (0.107:0.107:0.107) (0.267:0.267:0.267)) + (IOPATH A X (0.139:0.139:0.139) (0.304:0.304:0.304)) + (IOPATH B X (0.107:0.107:0.107) (0.269:0.269:0.269)) ) ) ) @@ -781,7 +781,7 @@ (INSTANCE _140_) (DELAY (ABSOLUTE - (IOPATH A X (0.080:0.080:0.080) (0.094:0.094:0.094)) + (IOPATH A X (0.081:0.081:0.081) (0.095:0.095:0.095)) ) ) ) @@ -790,7 +790,7 @@ (INSTANCE _141_) (DELAY (ABSOLUTE - (IOPATH A X (0.141:0.141:0.141) (0.118:0.118:0.118)) + (IOPATH A X (0.155:0.155:0.155) (0.126:0.126:0.126)) ) ) ) @@ -799,7 +799,7 @@ (INSTANCE _142__9) (DELAY (ABSOLUTE - (IOPATH A Y (0.054:0.054:0.054) (0.036:0.036:0.036)) + (IOPATH A Y (0.054:0.054:0.054) (0.035:0.035:0.035)) ) ) ) @@ -808,7 +808,7 @@ (INSTANCE _143_) (DELAY (ABSOLUTE - (IOPATH A X (0.227:0.227:0.227) (0.175:0.175:0.175)) + (IOPATH A X (0.260:0.260:0.260) (0.195:0.195:0.195)) ) ) ) @@ -817,8 +817,8 @@ (INSTANCE _144_) (DELAY (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.310:0.310:0.310)) - (IOPATH B_N X (0.154:0.154:0.154) (0.300:0.300:0.300)) + (IOPATH A X (0.156:0.156:0.156) (0.311:0.311:0.311)) + (IOPATH B_N X (0.149:0.149:0.149) (0.293:0.293:0.293)) ) ) ) @@ -827,7 +827,7 @@ (INSTANCE _145_) (DELAY (ABSOLUTE - (IOPATH A X (0.092:0.092:0.093) (0.101:0.101:0.101)) + (IOPATH A X (0.091:0.092:0.092) (0.100:0.100:0.100)) ) ) ) @@ -836,8 +836,8 @@ (INSTANCE _146_) (DELAY (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.315:0.315:0.315)) - (IOPATH B X (0.121:0.121:0.121) (0.281:0.281:0.281)) + (IOPATH A X (0.134:0.134:0.134) (0.298:0.298:0.298)) + (IOPATH B X (0.107:0.107:0.107) (0.265:0.265:0.265)) ) ) ) @@ -846,7 +846,7 @@ (INSTANCE _147_) (DELAY (ABSOLUTE - (IOPATH A X (0.108:0.108:0.108) (0.111:0.111:0.111)) + (IOPATH A X (0.078:0.078:0.078) (0.092:0.092:0.092)) ) ) ) @@ -855,7 +855,7 @@ (INSTANCE _148__10) (DELAY (ABSOLUTE - (IOPATH A Y (0.063:0.063:0.063) (0.044:0.044:0.044)) + (IOPATH A Y (0.062:0.062:0.062) (0.043:0.043:0.043)) ) ) ) @@ -864,8 +864,8 @@ (INSTANCE _149_) (DELAY (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.314:0.314:0.314)) - (IOPATH B_N X (0.160:0.160:0.160) (0.307:0.307:0.307)) + (IOPATH A X (0.164:0.164:0.164) (0.322:0.322:0.322)) + (IOPATH B_N X (0.159:0.159:0.159) (0.306:0.306:0.306)) ) ) ) @@ -874,7 +874,7 @@ (INSTANCE _150_) (DELAY (ABSOLUTE - (IOPATH A X (0.110:0.110:0.110) (0.112:0.112:0.112)) + (IOPATH A X (0.107:0.107:0.108) (0.110:0.110:0.110)) ) ) ) @@ -883,7 +883,7 @@ (INSTANCE _151_) (DELAY (ABSOLUTE - (IOPATH A X (0.229:0.229:0.229) (0.176:0.176:0.176)) + (IOPATH A X (0.219:0.219:0.219) (0.173:0.173:0.173)) ) ) ) @@ -892,7 +892,7 @@ (INSTANCE _152_) (DELAY (ABSOLUTE - (IOPATH A X (0.155:0.155:0.155) (0.318:0.318:0.318)) + (IOPATH A X (0.150:0.150:0.150) (0.314:0.314:0.314)) (IOPATH B X (0.109:0.109:0.109) (0.273:0.273:0.273)) ) ) @@ -902,7 +902,7 @@ (INSTANCE _153_) (DELAY (ABSOLUTE - (IOPATH A X (0.085:0.086:0.086) (0.098:0.098:0.098)) + (IOPATH A X (0.097:0.098:0.098) (0.105:0.105:0.105)) ) ) ) @@ -911,7 +911,7 @@ (INSTANCE _154__11) (DELAY (ABSOLUTE - (IOPATH A Y (0.071:0.071:0.071) (0.052:0.052:0.052)) + (IOPATH A Y (0.068:0.068:0.068) (0.049:0.049:0.049)) ) ) ) @@ -920,8 +920,8 @@ (INSTANCE _155_) (DELAY (ABSOLUTE - (IOPATH A X (0.158:0.158:0.158) (0.320:0.320:0.320)) - (IOPATH B_N X (0.161:0.161:0.161) (0.308:0.308:0.308)) + (IOPATH A X (0.165:0.165:0.165) (0.323:0.323:0.323)) + (IOPATH B_N X (0.157:0.157:0.157) (0.303:0.303:0.303)) ) ) ) @@ -930,7 +930,7 @@ (INSTANCE _156_) (DELAY (ABSOLUTE - (IOPATH A X (0.113:0.114:0.114) (0.114:0.114:0.114)) + (IOPATH A X (0.113:0.114:0.114) (0.113:0.113:0.114)) ) ) ) @@ -939,8 +939,8 @@ (INSTANCE _157_) (DELAY (ABSOLUTE - (IOPATH A X (0.150:0.150:0.150) (0.311:0.311:0.311)) - (IOPATH B X (0.107:0.107:0.107) (0.268:0.268:0.268)) + (IOPATH A X (0.148:0.148:0.148) (0.311:0.311:0.311)) + (IOPATH B X (0.113:0.113:0.113) (0.273:0.273:0.273)) ) ) ) @@ -949,7 +949,7 @@ (INSTANCE _158_) (DELAY (ABSOLUTE - (IOPATH A X (0.079:0.079:0.080) (0.093:0.093:0.093)) + (IOPATH A X (0.075:0.075:0.076) (0.091:0.091:0.091)) ) ) ) @@ -958,7 +958,7 @@ (INSTANCE _159__12) (DELAY (ABSOLUTE - (IOPATH A Y (0.045:0.045:0.045) (0.032:0.032:0.032)) + (IOPATH A Y (0.044:0.044:0.044) (0.031:0.031:0.031)) ) ) ) @@ -967,8 +967,8 @@ (INSTANCE _160_) (DELAY (ABSOLUTE - (IOPATH A X (0.147:0.147:0.147) (0.307:0.307:0.307)) - (IOPATH B_N X (0.152:0.152:0.152) (0.297:0.297:0.297)) + (IOPATH A X (0.154:0.154:0.154) (0.308:0.308:0.308)) + (IOPATH B_N X (0.150:0.150:0.150) (0.293:0.293:0.293)) ) ) ) @@ -977,7 +977,7 @@ (INSTANCE _161_) (DELAY (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.099:0.099:0.099)) + (IOPATH A X (0.088:0.089:0.090) (0.098:0.098:0.098)) ) ) ) @@ -986,8 +986,8 @@ (INSTANCE _162_) (DELAY (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.312:0.312:0.312)) - (IOPATH B X (0.112:0.112:0.112) (0.271:0.271:0.271)) + (IOPATH A X (0.150:0.150:0.150) (0.314:0.314:0.314)) + (IOPATH B X (0.120:0.120:0.120) (0.278:0.278:0.278)) ) ) ) @@ -996,7 +996,7 @@ (INSTANCE _163_) (DELAY (ABSOLUTE - (IOPATH A X (0.078:0.079:0.079) (0.093:0.093:0.093)) + (IOPATH A X (0.077:0.077:0.077) (0.092:0.092:0.092)) ) ) ) @@ -1005,7 +1005,7 @@ (INSTANCE _164__13) (DELAY (ABSOLUTE - (IOPATH A Y (0.044:0.044:0.044) (0.031:0.031:0.031)) + (IOPATH A Y (0.043:0.043:0.043) (0.030:0.030:0.030)) ) ) ) @@ -1014,8 +1014,8 @@ (INSTANCE _165_) (DELAY (ABSOLUTE - (IOPATH A X (0.144:0.144:0.144) (0.302:0.302:0.302)) - (IOPATH B_N X (0.151:0.151:0.151) (0.294:0.294:0.294)) + (IOPATH A X (0.158:0.158:0.158) (0.314:0.314:0.314)) + (IOPATH B_N X (0.156:0.156:0.156) (0.301:0.301:0.301)) ) ) ) @@ -1024,7 +1024,7 @@ (INSTANCE _166_) (DELAY (ABSOLUTE - (IOPATH A X (0.084:0.085:0.085) (0.095:0.095:0.095)) + (IOPATH A X (0.084:0.085:0.086) (0.096:0.096:0.096)) ) ) ) @@ -1033,8 +1033,8 @@ (INSTANCE _167_) (DELAY (ABSOLUTE - (IOPATH A X (0.149:0.149:0.149) (0.310:0.310:0.310)) - (IOPATH B X (0.108:0.108:0.108) (0.267:0.267:0.267)) + (IOPATH A X (0.146:0.146:0.146) (0.309:0.309:0.309)) + (IOPATH B X (0.107:0.107:0.107) (0.269:0.269:0.269)) ) ) ) @@ -1043,7 +1043,7 @@ (INSTANCE _168_) (DELAY (ABSOLUTE - (IOPATH A X (0.075:0.076:0.076) (0.091:0.091:0.091)) + (IOPATH A X (0.075:0.075:0.076) (0.091:0.091:0.091)) ) ) ) @@ -1052,7 +1052,7 @@ (INSTANCE _169__1) (DELAY (ABSOLUTE - (IOPATH A Y (0.047:0.047:0.047) (0.032:0.032:0.032)) + (IOPATH A Y (0.048:0.048:0.048) (0.032:0.032:0.032)) ) ) ) @@ -1061,8 +1061,8 @@ (INSTANCE _170_) (DELAY (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.300:0.300:0.300)) - (IOPATH B_N X (0.148:0.148:0.148) (0.290:0.290:0.290)) + (IOPATH A X (0.141:0.141:0.141) (0.300:0.300:0.300)) + (IOPATH B_N X (0.146:0.146:0.146) (0.289:0.289:0.289)) ) ) ) @@ -1071,7 +1071,7 @@ (INSTANCE _171_) (DELAY (ABSOLUTE - (IOPATH A X (0.089:0.089:0.089) (0.098:0.098:0.098)) + (IOPATH A X (0.091:0.091:0.092) (0.099:0.099:0.099)) ) ) ) @@ -1080,8 +1080,8 @@ (INSTANCE _172_) (DELAY (ABSOLUTE - (IOPATH A X (0.156:0.156:0.156) (0.319:0.319:0.319)) - (IOPATH B X (0.112:0.112:0.112) (0.275:0.275:0.275)) + (IOPATH A X (0.150:0.150:0.150) (0.314:0.314:0.314)) + (IOPATH B X (0.117:0.117:0.117) (0.277:0.277:0.277)) ) ) ) @@ -1090,7 +1090,7 @@ (INSTANCE _173_) (DELAY (ABSOLUTE - (IOPATH A X (0.088:0.089:0.089) (0.100:0.100:0.100)) + (IOPATH A X (0.088:0.088:0.089) (0.100:0.100:0.100)) ) ) ) @@ -1099,7 +1099,7 @@ (INSTANCE _174__2) (DELAY (ABSOLUTE - (IOPATH A Y (0.050:0.050:0.050) (0.035:0.035:0.035)) + (IOPATH A Y (0.049:0.049:0.049) (0.033:0.033:0.033)) ) ) ) @@ -1108,8 +1108,8 @@ (INSTANCE _175_) (DELAY (ABSOLUTE - (IOPATH A X (0.153:0.153:0.153) (0.314:0.314:0.314)) - (IOPATH B_N X (0.157:0.157:0.157) (0.303:0.303:0.303)) + (IOPATH A X (0.150:0.150:0.150) (0.312:0.312:0.312)) + (IOPATH B_N X (0.158:0.158:0.158) (0.304:0.304:0.304)) ) ) ) @@ -1118,7 +1118,7 @@ (INSTANCE _176_) (DELAY (ABSOLUTE - (IOPATH A X (0.099:0.099:0.100) (0.105:0.105:0.105)) + (IOPATH A X (0.093:0.094:0.094) (0.102:0.102:0.102)) ) ) ) @@ -1127,8 +1127,8 @@ (INSTANCE _177_) (DELAY (ABSOLUTE - (IOPATH A X (0.188:0.188:0.188) (0.351:0.351:0.351)) - (IOPATH B X (0.138:0.138:0.138) (0.303:0.303:0.303)) + (IOPATH A X (0.184:0.184:0.184) (0.344:0.344:0.344)) + (IOPATH B X (0.132:0.132:0.132) (0.294:0.294:0.294)) ) ) ) @@ -1137,7 +1137,7 @@ (INSTANCE _178_) (DELAY (ABSOLUTE - (IOPATH A X (0.100:0.100:0.101) (0.109:0.109:0.109)) + (IOPATH A X (0.084:0.084:0.084) (0.097:0.097:0.097)) ) ) ) @@ -1146,7 +1146,7 @@ (INSTANCE _179__3) (DELAY (ABSOLUTE - (IOPATH A Y (0.046:0.046:0.046) (0.031:0.031:0.031)) + (IOPATH A Y (0.050:0.050:0.050) (0.034:0.034:0.034)) ) ) ) @@ -1155,8 +1155,8 @@ (INSTANCE _180_) (DELAY (ABSOLUTE - (IOPATH A X (0.148:0.148:0.148) (0.308:0.308:0.308)) - (IOPATH B_N X (0.153:0.153:0.153) (0.298:0.298:0.298)) + (IOPATH A X (0.145:0.145:0.145) (0.305:0.305:0.305)) + (IOPATH B_N X (0.154:0.154:0.154) (0.298:0.298:0.298)) ) ) ) @@ -1165,7 +1165,7 @@ (INSTANCE _181_) (DELAY (ABSOLUTE - (IOPATH A X (0.105:0.105:0.106) (0.108:0.108:0.108)) + (IOPATH A X (0.104:0.104:0.105) (0.107:0.107:0.107)) ) ) ) @@ -1175,7 +1175,7 @@ (DELAY (ABSOLUTE (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.589:0.589:0.589) (0.634:0.634:0.634)) + (IOPATH CLK_N Q (0.595:0.595:0.595) (0.637:0.637:0.637)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1187,10 +1187,10 @@ (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.057:-0.057)) (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.045:0.045:0.045)) - (HOLD (negedge D) (negedge CLK_N) (-0.134:-0.134:-0.134)) - (SETUP (posedge D) (negedge CLK_N) (0.086:0.086:0.086)) - (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) + (HOLD (posedge D) (negedge CLK_N) (0.036:0.036:0.036)) + (HOLD (negedge D) (negedge CLK_N) (-0.150:-0.150:-0.150)) + (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) + (SETUP (negedge D) (negedge CLK_N) (0.170:0.170:0.170)) ) ) (CELL @@ -1199,7 +1199,7 @@ (DELAY (ABSOLUTE (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) - (IOPATH CLK_N Q (0.656:0.656:0.656) (0.672:0.672:0.672)) + (IOPATH CLK_N Q (0.656:0.656:0.656) (0.673:0.673:0.673)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1209,12 +1209,12 @@ (TIMINGCHECK (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.065:0.065)) (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.058:-0.058)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.046:0.046:0.046)) - (HOLD (negedge D) (negedge CLK_N) (-0.134:-0.134:-0.134)) - (SETUP (posedge D) (negedge CLK_N) (0.084:0.084:0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.153:0.153:0.153)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) + (HOLD (posedge D) (negedge CLK_N) (0.038:0.038:0.038)) + (HOLD (negedge D) (negedge CLK_N) (-0.148:-0.148:-0.148)) + (SETUP (posedge D) (negedge CLK_N) (0.092:0.092:0.092)) + (SETUP (negedge D) (negedge CLK_N) (0.168:0.168:0.168)) ) ) (CELL @@ -1222,8 +1222,8 @@ (INSTANCE _184_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) - (IOPATH CLK_N Q (0.637:0.637:0.637) (0.662:0.662:0.662)) + (IOPATH CLK_N Q_N (0.476:0.476:0.476) (0.377:0.377:0.377)) + (IOPATH CLK_N Q (0.636:0.636:0.636) (0.661:0.661:0.661)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1233,8 +1233,8 @@ (TIMINGCHECK (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061:0.061:0.061)) (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054:-0.054:-0.054)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069:0.069:0.069)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.080:0.080:0.080)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.072:0.072:0.072)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.077:0.077:0.077)) (HOLD (posedge D) (negedge CLK_N) (0.029:0.029:0.029)) (HOLD (negedge D) (negedge CLK_N) (-0.159:-0.159:-0.159)) (SETUP (posedge D) (negedge CLK_N) (0.102:0.102:0.102)) @@ -1246,8 +1246,8 @@ (INSTANCE _185_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.632:0.632:0.632) (0.660:0.660:0.660)) + (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) + (IOPATH CLK_N Q (0.631:0.631:0.631) (0.659:0.659:0.659)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1255,7 +1255,7 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.060:0.060:0.060)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061:0.061:0.061)) (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054:-0.054:-0.054)) (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070:0.070:0.070)) (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079:0.079:0.079)) @@ -1271,151 +1271,7 @@ (DELAY (ABSOLUTE (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.655:0.655:0.655) (0.672:0.672:0.672)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.041:0.041:0.041)) - (HOLD (negedge D) (negedge CLK_N) (-0.140:-0.140:-0.140)) - (SETUP (posedge D) (negedge CLK_N) (0.089:0.089:0.089)) - (SETUP (negedge D) (negedge CLK_N) (0.160:0.160:0.160)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _187_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) - (IOPATH CLK_N Q (0.647:0.647:0.647) (0.669:0.669:0.669)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065:0.065:0.065)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058:-0.058:-0.058)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.047:0.047:0.047)) - (HOLD (negedge D) (negedge CLK_N) (-0.132:-0.132:-0.132)) - (SETUP (posedge D) (negedge CLK_N) (0.083:0.083:0.083)) - (SETUP (negedge D) (negedge CLK_N) (0.152:0.152:0.152)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _188_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) - (IOPATH CLK_N Q (0.538:0.538:0.538) (0.605:0.605:0.605)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.062:0.062)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.055:-0.055)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068:0.068:0.068)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.081:0.081:0.081)) - (HOLD (posedge D) (negedge CLK_N) (0.046:0.046:0.046)) - (HOLD (negedge D) (negedge CLK_N) (-0.136:-0.136:-0.136)) - (SETUP (posedge D) (negedge CLK_N) (0.084:0.084:0.084)) - (SETUP (negedge D) (negedge CLK_N) (0.156:0.156:0.156)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _189_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.520:0.520:0.520) (0.418:0.418:0.418)) - (IOPATH CLK_N Q (0.646:0.646:0.646) (0.671:0.671:0.671)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.064:0.064)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.057:-0.057)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.077:0.077:0.077)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.072:0.072:0.072)) - (HOLD (posedge D) (negedge CLK_N) (0.043:0.043:0.043)) - (HOLD (negedge D) (negedge CLK_N) (-0.141:-0.141:-0.141)) - (SETUP (posedge D) (negedge CLK_N) (0.087:0.087:0.087)) - (SETUP (negedge D) (negedge CLK_N) (0.161:0.161:0.161)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _190_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) - (IOPATH CLK_N Q (0.649:0.649:0.649) (0.668:0.668:0.668)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.062:0.062)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071:0.071:0.071)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.078:0.078:0.078)) - (HOLD (posedge D) (negedge CLK_N) (0.039:0.039:0.039)) - (HOLD (negedge D) (negedge CLK_N) (-0.141:-0.141:-0.141)) - (SETUP (posedge D) (negedge CLK_N) (0.091:0.091:0.091)) - (SETUP (negedge D) (negedge CLK_N) (0.161:0.161:0.161)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _191_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) - (IOPATH CLK_N Q (0.661:0.661:0.661) (0.674:0.674:0.674)) - (IOPATH RESET_B Q_N (0.000:0.000:0.000)) - (IOPATH RESET_B Q () (0.000:0.000:0.000)) - (IOPATH SET_B Q_N () (0.000:0.000:0.000)) - (IOPATH SET_B Q (0.000:0.000:0.000)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071:0.071:0.071)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.078:0.078:0.078)) - (HOLD (posedge D) (negedge CLK_N) (0.041:0.041:0.041)) - (HOLD (negedge D) (negedge CLK_N) (-0.139:-0.139:-0.139)) - (SETUP (posedge D) (negedge CLK_N) (0.090:0.090:0.090)) - (SETUP (negedge D) (negedge CLK_N) (0.159:0.159:0.159)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _192_) - (DELAY - (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.638:0.638:0.638) (0.664:0.664:0.664)) + (IOPATH CLK_N Q (0.657:0.657:0.657) (0.672:0.672:0.672)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1426,20 +1282,92 @@ (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.073:0.073:0.073)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) - (HOLD (posedge D) (negedge CLK_N) (0.035:0.035:0.035)) - (HOLD (negedge D) (negedge CLK_N) (-0.147:-0.147:-0.147)) - (SETUP (posedge D) (negedge CLK_N) (0.095:0.095:0.095)) - (SETUP (negedge D) (negedge CLK_N) (0.167:0.167:0.167)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.076:0.076:0.076)) + (HOLD (posedge D) (negedge CLK_N) (0.034:0.034:0.034)) + (HOLD (negedge D) (negedge CLK_N) (-0.153:-0.153:-0.153)) + (SETUP (posedge D) (negedge CLK_N) (0.096:0.096:0.096)) + (SETUP (negedge D) (negedge CLK_N) (0.173:0.173:0.173)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _193_) + (INSTANCE _187_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) - (IOPATH CLK_N Q (0.640:0.640:0.640) (0.665:0.665:0.665)) + (IOPATH CLK_N Q_N (0.480:0.480:0.480) (0.380:0.380:0.380)) + (IOPATH CLK_N Q (0.643:0.643:0.643) (0.667:0.667:0.667)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064:0.064:0.064)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057:-0.057:-0.057)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.036:0.036:0.036)) + (HOLD (negedge D) (negedge CLK_N) (-0.152:-0.152:-0.152)) + (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) + (SETUP (negedge D) (negedge CLK_N) (0.172:0.172:0.172)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _188_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.482:0.482:0.482) (0.382:0.382:0.382)) + (IOPATH CLK_N Q (0.536:0.536:0.536) (0.603:0.603:0.603)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.076:0.076:0.076)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.073:0.073:0.073)) + (HOLD (posedge D) (negedge CLK_N) (0.040:0.040:0.040)) + (HOLD (negedge D) (negedge CLK_N) (-0.143:-0.143:-0.143)) + (SETUP (posedge D) (negedge CLK_N) (0.090:0.090:0.090)) + (SETUP (negedge D) (negedge CLK_N) (0.163:0.163:0.163)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _189_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.519:0.519:0.519) (0.417:0.417:0.417)) + (IOPATH CLK_N Q (0.644:0.644:0.644) (0.669:0.669:0.669)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.062:0.062)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.072:0.072:0.072)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.077:0.077:0.077)) + (HOLD (posedge D) (negedge CLK_N) (0.043:0.043:0.043)) + (HOLD (negedge D) (negedge CLK_N) (-0.144:-0.144:-0.144)) + (SETUP (posedge D) (negedge CLK_N) (0.087:0.087:0.087)) + (SETUP (negedge D) (negedge CLK_N) (0.164:0.164:0.164)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _190_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) + (IOPATH CLK_N Q (0.649:0.649:0.649) (0.667:0.667:0.667)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1449,21 +1377,21 @@ (TIMINGCHECK (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062:0.062:0.062)) (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055:-0.055:-0.055)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071:0.071:0.071)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.078:0.078:0.078)) - (HOLD (posedge D) (negedge CLK_N) (0.042:0.042:0.042)) - (HOLD (negedge D) (negedge CLK_N) (-0.138:-0.138:-0.138)) - (SETUP (posedge D) (negedge CLK_N) (0.088:0.088:0.088)) - (SETUP (negedge D) (negedge CLK_N) (0.158:0.158:0.158)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.073:0.073:0.073)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.076:0.076:0.076)) + (HOLD (posedge D) (negedge CLK_N) (0.039:0.039:0.039)) + (HOLD (negedge D) (negedge CLK_N) (-0.142:-0.142:-0.142)) + (SETUP (posedge D) (negedge CLK_N) (0.092:0.092:0.092)) + (SETUP (negedge D) (negedge CLK_N) (0.162:0.162:0.162)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") - (INSTANCE _194_) + (INSTANCE _191_) (DELAY (ABSOLUTE - (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) - (IOPATH CLK_N Q (0.647:0.647:0.647) (0.667:0.667:0.667)) + (IOPATH CLK_N Q_N (0.477:0.477:0.477) (0.377:0.377:0.377)) + (IOPATH CLK_N Q (0.660:0.660:0.660) (0.673:0.673:0.673)) (IOPATH RESET_B Q_N (0.000:0.000:0.000)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) (IOPATH SET_B Q_N () (0.000:0.000:0.000)) @@ -1471,32 +1399,104 @@ ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (negedge CLK_N) (0.059:0.059:0.059)) - (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.052:-0.052:-0.052)) - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.069:0.069:0.069)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.081:0.081:0.081)) - (HOLD (posedge D) (negedge CLK_N) (0.045:0.045:0.045)) - (HOLD (negedge D) (negedge CLK_N) (-0.134:-0.134:-0.134)) - (SETUP (posedge D) (negedge CLK_N) (0.085:0.085:0.085)) + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.072:0.072:0.072)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.077:0.077:0.077)) + (HOLD (posedge D) (negedge CLK_N) (0.044:0.044:0.044)) + (HOLD (negedge D) (negedge CLK_N) (-0.135:-0.135:-0.135)) + (SETUP (posedge D) (negedge CLK_N) (0.086:0.086:0.086)) (SETUP (negedge D) (negedge CLK_N) (0.154:0.154:0.154)) ) ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _192_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.478:0.478:0.478) (0.378:0.378:0.378)) + (IOPATH CLK_N Q (0.639:0.639:0.639) (0.664:0.664:0.664)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.033:0.033:0.033)) + (HOLD (negedge D) (negedge CLK_N) (-0.155:-0.155:-0.155)) + (SETUP (posedge D) (negedge CLK_N) (0.097:0.097:0.097)) + (SETUP (negedge D) (negedge CLK_N) (0.175:0.175:0.175)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _193_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) + (IOPATH CLK_N Q (0.639:0.639:0.639) (0.664:0.664:0.664)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.063:0.063:0.063)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.056:-0.056:-0.056)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070:0.070:0.070)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079:0.079:0.079)) + (HOLD (posedge D) (negedge CLK_N) (0.037:0.037:0.037)) + (HOLD (negedge D) (negedge CLK_N) (-0.150:-0.150:-0.150)) + (SETUP (posedge D) (negedge CLK_N) (0.094:0.094:0.094)) + (SETUP (negedge D) (negedge CLK_N) (0.170:0.170:0.170)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_2") + (INSTANCE _194_) + (DELAY + (ABSOLUTE + (IOPATH CLK_N Q_N (0.479:0.479:0.479) (0.379:0.379:0.379)) + (IOPATH CLK_N Q (0.635:0.635:0.635) (0.662:0.662:0.662)) + (IOPATH RESET_B Q_N (0.000:0.000:0.000)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH SET_B Q_N () (0.000:0.000:0.000)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.060:0.060:0.060)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.053:-0.053:-0.053)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.074:0.074:0.074)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075:0.075:0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.041:0.041:0.041)) + (HOLD (negedge D) (negedge CLK_N) (-0.140:-0.140:-0.140)) + (SETUP (posedge D) (negedge CLK_N) (0.090:0.090:0.090)) + (SETUP (negedge D) (negedge CLK_N) (0.160:0.160:0.160)) + ) + ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") (INSTANCE _195_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.391:0.391:0.391) (0.435:0.435:0.435)) + (IOPATH CLK Q (0.365:0.365:0.365) (0.412:0.412:0.412)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) - (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012)) - (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) - (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) + (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011)) + (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) + (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084)) ) ) (CELL @@ -1504,17 +1504,17 @@ (INSTANCE _196_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.385:0.385:0.385) (0.430:0.430:0.430)) + (IOPATH CLK Q (0.365:0.365:0.365) (0.412:0.412:0.412)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027)) + (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) + (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) ) ) (CELL @@ -1522,17 +1522,17 @@ (INSTANCE _197_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.387:0.387:0.387) (0.432:0.432:0.432)) + (IOPATH CLK Q (0.369:0.369:0.369) (0.415:0.415:0.415)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) + (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) + (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097)) ) ) (CELL @@ -1540,17 +1540,17 @@ (INSTANCE _198_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.386:0.386:0.386) (0.431:0.431:0.431)) + (IOPATH CLK Q (0.366:0.366:0.366) (0.413:0.413:0.413)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020)) - (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016)) - (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047)) - (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) + (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053)) + (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) ) ) (CELL @@ -1558,17 +1558,17 @@ (INSTANCE _199_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.389:0.389:0.389) (0.432:0.432:0.432)) + (IOPATH CLK Q (0.349:0.349:0.349) (0.396:0.396:0.396)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) + (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064)) + (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) ) ) (CELL @@ -1576,17 +1576,17 @@ (INSTANCE _200_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.377:0.377:0.377) (0.421:0.421:0.421)) + (IOPATH CLK Q (0.362:0.362:0.362) (0.408:0.408:0.408)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031)) - (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) - (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042)) + (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063)) + (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) ) ) (CELL @@ -1594,17 +1594,17 @@ (INSTANCE _201_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.381:0.381:0.381) (0.425:0.425:0.425)) + (IOPATH CLK Q (0.363:0.363:0.363) (0.408:0.408:0.408)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) - (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055)) - (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044)) + (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065)) + (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118)) ) ) (CELL @@ -1612,17 +1612,17 @@ (INSTANCE _202_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.369:0.369:0.369) (0.415:0.415:0.415)) + (IOPATH CLK Q (0.353:0.353:0.353) (0.400:0.400:0.400)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039)) + (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062)) + (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113)) ) ) (CELL @@ -1630,17 +1630,17 @@ (INSTANCE _203_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.401:0.401:0.401) (0.442:0.442:0.442)) + (IOPATH CLK Q (0.353:0.353:0.353) (0.399:0.399:0.399)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030)) + (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058)) + (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104)) ) ) (CELL @@ -1648,17 +1648,17 @@ (INSTANCE _204_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.390:0.390:0.390) (0.434:0.434:0.434)) + (IOPATH CLK Q (0.377:0.377:0.377) (0.423:0.423:0.423)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) - (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023)) - (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) - (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035)) + (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059)) + (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109)) ) ) (CELL @@ -1666,17 +1666,17 @@ (INSTANCE _205_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.439:0.439:0.439)) + (IOPATH CLK Q (0.366:0.366:0.366) (0.413:0.413:0.413)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017)) - (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048)) - (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028)) + (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054)) + (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) ) ) (CELL @@ -1684,17 +1684,17 @@ (INSTANCE _206_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.396:0.396:0.396) (0.439:0.439:0.439)) + (IOPATH CLK Q (0.371:0.371:0.371) (0.416:0.416:0.416)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.470:0.470:0.470)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128:-0.128:-0.128)) - (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022)) - (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018)) - (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049)) - (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472:0.472:0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024)) + (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025)) + (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052)) + (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098)) ) ) (CELL @@ -1702,17 +1702,17 @@ (INSTANCE _207_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.375:0.375:0.375) (0.420:0.420:0.420)) + (IOPATH CLK Q (0.369:0.369:0.369) (0.414:0.414:0.414)) (IOPATH RESET_B Q () (0.000:0.000:0.000)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113:-0.113:-0.113)) - (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028)) - (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029)) - (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) - (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.108:-0.108:-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.039:-0.039:-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047)) + (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068)) + (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122)) ) ) (CELL @@ -1729,7 +1729,7 @@ (INSTANCE _209_) (DELAY (ABSOLUTE - (IOPATH A X (0.248:0.248:0.248) (0.215:0.215:0.215)) + (IOPATH A X (0.253:0.253:0.253) (0.217:0.217:0.217)) ) ) ) @@ -1738,7 +1738,7 @@ (INSTANCE _210_) (DELAY (ABSOLUTE - (IOPATH A X (0.220:0.220:0.220) (0.190:0.190:0.190)) + (IOPATH A X (0.231:0.231:0.231) (0.196:0.196:0.196)) ) ) ) @@ -1747,7 +1747,7 @@ (INSTANCE _211_) (DELAY (ABSOLUTE - (IOPATH A Z (0.442:0.442:0.442) (0.238:0.238:0.238)) + (IOPATH A Z (0.437:0.437:0.437) (0.235:0.235:0.235)) (IOPATH TE_B Z (0.383:0.383:0.383) (0.202:0.202:0.202)) ) ) @@ -1757,7 +1757,7 @@ (INSTANCE clkbuf_0__049_) (DELAY (ABSOLUTE - (IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144)) + (IOPATH A X (0.148:0.148:0.148) (0.147:0.147:0.147)) ) ) ) @@ -1766,7 +1766,7 @@ (INSTANCE clkbuf_0__077_) (DELAY (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143)) + (IOPATH A X (0.141:0.141:0.141) (0.142:0.142:0.142)) ) ) ) @@ -1784,7 +1784,7 @@ (INSTANCE clkbuf_0_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.130:0.130:0.130) (0.136:0.136:0.136)) + (IOPATH A X (0.130:0.130:0.130) (0.135:0.135:0.135)) ) ) ) @@ -1793,7 +1793,7 @@ (INSTANCE clkbuf_1_0_0__049_) (DELAY (ABSOLUTE - (IOPATH A X (0.122:0.122:0.122) (0.118:0.118:0.118)) + (IOPATH A X (0.121:0.121:0.121) (0.117:0.117:0.117)) ) ) ) @@ -1802,7 +1802,7 @@ (INSTANCE clkbuf_1_0_0__077_) (DELAY (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.133:0.133:0.133)) + (IOPATH A X (0.143:0.143:0.143) (0.133:0.133:0.133)) ) ) ) @@ -1811,7 +1811,7 @@ (INSTANCE clkbuf_1_0_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.151:0.151:0.151) (0.140:0.140:0.140)) + (IOPATH A X (0.147:0.147:0.147) (0.137:0.137:0.137)) ) ) ) @@ -1820,7 +1820,7 @@ (INSTANCE clkbuf_1_0_0_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.142:0.142:0.142) (0.133:0.133:0.133)) + (IOPATH A X (0.146:0.146:0.146) (0.136:0.136:0.136)) ) ) ) @@ -1829,7 +1829,7 @@ (INSTANCE clkbuf_1_1_0__049_) (DELAY (ABSOLUTE - (IOPATH A X (0.163:0.163:0.163) (0.149:0.149:0.149)) + (IOPATH A X (0.162:0.162:0.162) (0.148:0.148:0.148)) ) ) ) @@ -1838,7 +1838,7 @@ (INSTANCE clkbuf_1_1_0__077_) (DELAY (ABSOLUTE - (IOPATH A X (0.120:0.120:0.120) (0.116:0.116:0.116)) + (IOPATH A X (0.118:0.118:0.118) (0.115:0.115:0.115)) ) ) ) @@ -1847,7 +1847,7 @@ (INSTANCE clkbuf_1_1_0_serial_clock) (DELAY (ABSOLUTE - (IOPATH A X (0.183:0.183:0.183) (0.164:0.164:0.164)) + (IOPATH A X (0.182:0.182:0.182) (0.162:0.162:0.162)) ) ) ) @@ -1856,7 +1856,7 @@ (INSTANCE clkbuf_1_1_0_serial_load) (DELAY (ABSOLUTE - (IOPATH A X (0.129:0.129:0.129) (0.124:0.124:0.124)) + (IOPATH A X (0.133:0.133:0.133) (0.128:0.128:0.128)) ) ) ) @@ -1865,7 +1865,7 @@ (INSTANCE data_delay_1) (DELAY (ABSOLUTE - (IOPATH A X (0.205:0.205:0.205) (0.241:0.241:0.241)) + (IOPATH A X (0.200:0.200:0.200) (0.237:0.237:0.237)) ) ) ) @@ -1874,7 +1874,7 @@ (INSTANCE data_delay_2) (DELAY (ABSOLUTE - (IOPATH A X (0.199:0.199:0.199) (0.234:0.234:0.234)) + (IOPATH A X (0.197:0.197:0.197) (0.232:0.232:0.232)) ) ) ) @@ -1883,98 +1883,98 @@ (INSTANCE gpio_in_buf) (DELAY (ABSOLUTE - (IOPATH A Z (0.172:0.172:0.172) (0.095:0.095:0.095)) - (IOPATH TE Z (0.231:0.231:0.231) (0.064:0.064:0.064)) + (IOPATH A Z (0.169:0.169:0.169) (0.093:0.093:0.093)) + (IOPATH TE Z (0.230:0.230:0.230) (0.063:0.063:0.063)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold1) (DELAY (ABSOLUTE - (IOPATH A X (0.247:0.247:0.247) (0.241:0.241:0.241)) + (IOPATH A X (0.540:0.540:0.540) (0.551:0.551:0.551)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold10) (DELAY (ABSOLUTE - (IOPATH A X (0.259:0.259:0.259) (0.253:0.253:0.253)) + (IOPATH A X (0.492:0.492:0.492) (0.441:0.441:0.441)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold11) (DELAY (ABSOLUTE - (IOPATH A X (0.237:0.237:0.237) (0.232:0.232:0.232)) + (IOPATH A X (0.528:0.528:0.528) (0.539:0.539:0.539)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold12) (DELAY (ABSOLUTE - (IOPATH A X (0.263:0.263:0.263) (0.256:0.256:0.256)) + (IOPATH A X (0.494:0.494:0.494) (0.443:0.443:0.443)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold13) (DELAY (ABSOLUTE - (IOPATH A X (0.254:0.254:0.254) (0.249:0.249:0.249)) + (IOPATH A X (0.531:0.531:0.531) (0.542:0.542:0.542)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold14) (DELAY (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.245:0.245:0.245)) + (IOPATH A X (0.504:0.504:0.504) (0.452:0.452:0.452)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold15) (DELAY (ABSOLUTE - (IOPATH A X (0.238:0.238:0.238) (0.233:0.233:0.233)) + (IOPATH A X (0.545:0.545:0.545) (0.555:0.555:0.555)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold16) (DELAY (ABSOLUTE - (IOPATH A X (0.239:0.239:0.239) (0.234:0.234:0.234)) + (IOPATH A X (0.520:0.520:0.520) (0.468:0.468:0.468)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold17) (DELAY (ABSOLUTE - (IOPATH A X (0.266:0.266:0.266) (0.259:0.259:0.259)) + (IOPATH A X (0.495:0.495:0.495) (0.441:0.441:0.441)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold18) (DELAY (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.247:0.247:0.247)) + (IOPATH A X (0.514:0.514:0.514) (0.460:0.460:0.460)) ) ) ) @@ -1983,34 +1983,34 @@ (INSTANCE hold19) (DELAY (ABSOLUTE - (IOPATH A X (0.253:0.253:0.253) (0.247:0.247:0.247)) + (IOPATH A X (0.255:0.255:0.255) (0.250:0.250:0.250)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold2) (DELAY (ABSOLUTE - (IOPATH A X (0.257:0.257:0.257) (0.251:0.251:0.251)) + (IOPATH A X (0.505:0.505:0.505) (0.453:0.453:0.453)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold20) (DELAY (ABSOLUTE - (IOPATH A X (0.261:0.261:0.261) (0.254:0.254:0.254)) + (IOPATH A X (0.494:0.494:0.494) (0.441:0.441:0.441)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") (INSTANCE hold21) (DELAY (ABSOLUTE - (IOPATH A X (0.240:0.240:0.240) (0.236:0.236:0.236)) + (IOPATH A X (0.505:0.505:0.505) (0.452:0.452:0.452)) ) ) ) @@ -2019,7 +2019,7 @@ (INSTANCE hold22) (DELAY (ABSOLUTE - (IOPATH A X (0.256:0.256:0.256) (0.251:0.251:0.251)) + (IOPATH A X (0.260:0.260:0.260) (0.257:0.257:0.257)) ) ) ) @@ -2028,16 +2028,16 @@ (INSTANCE hold23) (DELAY (ABSOLUTE - (IOPATH A X (0.276:0.276:0.276) (0.269:0.269:0.269)) + (IOPATH A X (0.278:0.278:0.278) (0.274:0.274:0.274)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold3) (DELAY (ABSOLUTE - (IOPATH A X (0.250:0.250:0.250) (0.245:0.245:0.245)) + (IOPATH A X (0.534:0.534:0.534) (0.543:0.543:0.543)) ) ) ) @@ -2046,52 +2046,52 @@ (INSTANCE hold4) (DELAY (ABSOLUTE - (IOPATH A X (0.245:0.245:0.245) (0.240:0.240:0.240)) + (IOPATH A X (0.240:0.240:0.240) (0.238:0.238:0.238)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold5) (DELAY (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.237:0.237:0.237)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") - (INSTANCE hold6) - (DELAY - (ABSOLUTE - (IOPATH A X (0.244:0.244:0.244) (0.238:0.238:0.238)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") - (INSTANCE hold7) - (DELAY - (ABSOLUTE - (IOPATH A X (0.242:0.242:0.242) (0.237:0.237:0.237)) + (IOPATH A X (0.542:0.542:0.542) (0.552:0.552:0.552)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1") - (INSTANCE hold8) + (INSTANCE hold6) (DELAY (ABSOLUTE - (IOPATH A X (0.524:0.524:0.524) (0.469:0.469:0.469)) + (IOPATH A X (0.493:0.493:0.493) (0.441:0.441:0.441)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") + (INSTANCE hold7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.528:0.528:0.528) (0.539:0.539:0.539)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271:0.271:0.271) (0.266:0.266:0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1") (INSTANCE hold9) (DELAY (ABSOLUTE - (IOPATH A X (0.258:0.258:0.258) (0.252:0.252:0.252)) + (IOPATH A X (0.529:0.529:0.529) (0.540:0.540:0.540)) ) ) ) diff --git a/signoff/gpio_control_block/PDK_SOURCES b/signoff/gpio_control_block/PDK_SOURCES index b97ad544..3444b116 100644 --- a/signoff/gpio_control_block/PDK_SOURCES +++ b/signoff/gpio_control_block/PDK_SOURCES @@ -1,4 +1 @@ -openlane 00da77e58c86a2fa745dafc2f4b277191cb8d3ac -magic 47df9da0d3dfe551b5b67e69cd346b040e7e079f -skywater-pdk c094b6e83a4f9298e47f696ec5a7fd53535ec5eb open_pdks 7519dfb04400f224f140749cda44ee7de6f5e095 diff --git a/signoff/gpio_control_block/final_summary_report.csv b/signoff/gpio_control_block/final_summary_report.csv index 6cbd4488..249e1ee8 100644 --- a/signoff/gpio_control_block/final_summary_report.csv +++ b/signoff/gpio_control_block/final_summary_report.csv @@ -1,2 +1,2 @@ ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,/home/kareem_farid/fresh/caravel_timing/openlane/gpio_control_block,gpio_control_block,gpio_control_block,flow completed,0h1m34s0ms,0h1m22s0ms,-2.0,0.01105,-1,69.84,521.59,-1,0,0,0,0,0,0,0,0,0,-1,-1,4387,1001,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,4147542.0,0.0,12.18,14.64,14.41,-1,13.57,57,85,50,78,0,0,0,42,2,13,13,0,13,0,0,4,29,44,4,38,28,0,66,20.0,50.0,50,DELAY 0,5,50,1,25,16.9,0.7,0.05,sky130_fd_sc_hd,0,4 +0,/home/marwan/work/caravel_user_project/caravel/openlane/gpio_control_block,gpio_control_block,gpio_control_block,flow completed,0h1m34s0ms,0h1m22s0ms,-2.0,0.01105,-1,69.84,500.38,-1,0,0,0,0,0,0,0,0,0,-1,-1,4224,991,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,4147542.0,0.0,11.38,14.38,15.24,-1,13.41,57,85,50,78,0,0,0,42,2,13,13,0,13,0,0,4,29,44,4,38,28,0,66,20.0,50.0,50,DELAY 0,5,50,1,25,16.9,0.7,0.05,sky130_fd_sc_hd,0,4 diff --git a/spef/gpio_control_block.spef b/spef/gpio_control_block.spef index 0a9f8865..421613e8 100644 --- a/spef/gpio_control_block.spef +++ b/spef/gpio_control_block.spef @@ -259,265 +259,268 @@ *247 ANTENNA__211__A *248 ANTENNA_clkbuf_0_serial_clock_A *249 ANTENNA_clkbuf_0_serial_load_A -*250 FILLER_0_72 -*251 FILLER_10_27 -*252 FILLER_10_3 -*253 FILLER_11_24 -*254 FILLER_11_60 -*255 FILLER_12_3 -*256 FILLER_12_93 -*257 FILLER_13_3 -*258 FILLER_13_69 -*259 FILLER_14_3 -*260 FILLER_14_42 -*261 FILLER_14_93 -*262 FILLER_15_24 -*263 FILLER_15_93 -*264 FILLER_16_27 -*265 FILLER_16_3 -*266 FILLER_16_93 -*267 FILLER_17_3 -*268 FILLER_18_27 -*269 FILLER_18_3 -*270 FILLER_18_31 -*271 FILLER_18_61 -*272 FILLER_18_80 -*273 FILLER_1_26 -*274 FILLER_1_34 -*275 FILLER_1_78 -*276 FILLER_1_82 -*277 FILLER_1_93 -*278 FILLER_2_47 -*279 FILLER_3_26 -*280 FILLER_3_57 -*281 FILLER_3_93 -*282 FILLER_5_26 -*283 FILLER_6_26 -*284 FILLER_7_78 -*285 FILLER_8_37 -*286 FILLER_9_3 -*287 PHY_0 -*288 PHY_1 -*289 PHY_10 -*290 PHY_11 -*291 PHY_12 -*292 PHY_13 -*293 PHY_14 -*294 PHY_15 -*295 PHY_16 -*296 PHY_17 -*297 PHY_18 -*298 PHY_19 -*299 PHY_2 -*300 PHY_20 -*301 PHY_21 -*302 PHY_22 -*303 PHY_23 -*304 PHY_24 -*305 PHY_25 -*306 PHY_26 -*307 PHY_27 -*308 PHY_28 -*309 PHY_29 -*310 PHY_3 -*311 PHY_30 -*312 PHY_31 -*313 PHY_32 -*314 PHY_33 -*315 PHY_34 -*316 PHY_35 -*317 PHY_36 -*318 PHY_37 -*319 PHY_4 -*320 PHY_5 -*321 PHY_6 -*322 PHY_7 -*323 PHY_8 -*324 PHY_9 -*325 TAP_38 -*326 TAP_39 -*327 TAP_40 -*328 TAP_41 -*329 TAP_42 -*330 TAP_43 -*331 TAP_44 -*332 TAP_45 -*333 TAP_46 -*334 TAP_47 -*335 TAP_48 -*336 TAP_49 -*337 TAP_50 -*338 TAP_51 -*339 TAP_52 -*340 TAP_53 -*341 TAP_54 -*342 TAP_55 -*343 TAP_56 -*344 TAP_57 -*345 TAP_58 -*346 TAP_59 -*347 TAP_60 -*348 TAP_61 -*349 TAP_62 -*350 TAP_63 -*351 TAP_64 -*352 TAP_65 -*353 _096_ -*354 _097_ -*355 _098_ -*356 _099_ -*357 _100_ -*358 _101_ -*359 _102_ -*360 _103_ -*361 _104_ -*362 _105_ -*363 _106_ -*364 _107_ -*365 _108_ -*366 _109_ -*367 _110_ -*368 _111_ -*369 _112_ -*370 _113__4 -*371 _114_ -*372 _115_ -*373 _116_ -*374 _117_ -*375 _118_ -*376 _119_ -*377 _120__5 -*378 _121_ -*379 _122_ -*380 _123_ -*381 _124_ -*382 _125_ -*383 _126__6 -*384 _127_ -*385 _128_ -*386 _129_ -*387 _130_ -*388 _131__7 -*389 _132_ -*390 _133_ -*391 _134_ -*392 _135_ -*393 _136__8 -*394 _137_ -*395 _138_ -*396 _139_ -*397 _140_ -*398 _141_ -*399 _142__9 -*400 _143_ -*401 _144_ -*402 _145_ -*403 _146_ -*404 _147_ -*405 _148__10 -*406 _149_ -*407 _150_ -*408 _151_ -*409 _152_ -*410 _153_ -*411 _154__11 -*412 _155_ -*413 _156_ -*414 _157_ -*415 _158_ -*416 _159__12 -*417 _160_ -*418 _161_ -*419 _162_ -*420 _163_ -*421 _164__13 -*422 _165_ -*423 _166_ -*424 _167_ -*425 _168_ -*426 _169__1 -*427 _170_ -*428 _171_ -*429 _172_ -*430 _173_ -*431 _174__2 -*432 _175_ -*433 _176_ -*434 _177_ -*435 _178_ -*436 _179__3 -*437 _180_ -*438 _181_ -*439 _182_ -*440 _183_ -*441 _184_ -*442 _185_ -*443 _186_ -*444 _187_ -*445 _188_ -*446 _189_ -*447 _190_ -*448 _191_ -*449 _192_ -*450 _193_ -*451 _194_ -*452 _195_ -*453 _196_ -*454 _197_ -*455 _198_ -*456 _199_ -*457 _200_ -*458 _201_ -*459 _202_ -*460 _203_ -*461 _204_ -*462 _205_ -*463 _206_ -*464 _207_ -*465 _208_ -*466 _209_ -*467 _210_ -*468 _211_ -*469 clkbuf_0__049_ -*470 clkbuf_0__077_ -*471 clkbuf_0_serial_clock -*472 clkbuf_0_serial_load -*473 clkbuf_1_0_0__049_ -*474 clkbuf_1_0_0__077_ -*475 clkbuf_1_0_0_serial_clock -*476 clkbuf_1_0_0_serial_load -*477 clkbuf_1_1_0__049_ -*478 clkbuf_1_1_0__077_ -*479 clkbuf_1_1_0_serial_clock -*480 clkbuf_1_1_0_serial_load -*481 const_source -*482 data_delay_1 -*483 data_delay_2 -*484 gpio_in_buf -*485 gpio_logic_high -*486 hold1 -*487 hold10 -*488 hold11 -*489 hold12 -*490 hold13 -*491 hold14 -*492 hold15 -*493 hold16 -*494 hold17 -*495 hold18 -*496 hold19 -*497 hold2 -*498 hold20 -*499 hold21 -*500 hold22 -*501 hold23 -*502 hold3 -*503 hold4 -*504 hold5 -*505 hold6 -*506 hold7 -*507 hold8 -*508 hold9 +*250 FILLER_0_47 +*251 FILLER_0_84 +*252 FILLER_0_93 +*253 FILLER_10_27 +*254 FILLER_10_3 +*255 FILLER_11_24 +*256 FILLER_11_60 +*257 FILLER_12_3 +*258 FILLER_12_83 +*259 FILLER_12_93 +*260 FILLER_13_3 +*261 FILLER_13_69 +*262 FILLER_14_3 +*263 FILLER_14_42 +*264 FILLER_14_93 +*265 FILLER_15_24 +*266 FILLER_15_93 +*267 FILLER_16_27 +*268 FILLER_16_3 +*269 FILLER_16_93 +*270 FILLER_17_3 +*271 FILLER_17_45 +*272 FILLER_17_48 +*273 FILLER_18_27 +*274 FILLER_18_3 +*275 FILLER_18_31 +*276 FILLER_18_63 +*277 FILLER_18_83 +*278 FILLER_1_26 +*279 FILLER_1_78 +*280 FILLER_2_47 +*281 FILLER_3_26 +*282 FILLER_3_57 +*283 FILLER_3_83 +*284 FILLER_3_93 +*285 FILLER_5_26 +*286 FILLER_5_32 +*287 FILLER_6_29 +*288 FILLER_7_34 +*289 FILLER_9_3 +*290 PHY_0 +*291 PHY_1 +*292 PHY_10 +*293 PHY_11 +*294 PHY_12 +*295 PHY_13 +*296 PHY_14 +*297 PHY_15 +*298 PHY_16 +*299 PHY_17 +*300 PHY_18 +*301 PHY_19 +*302 PHY_2 +*303 PHY_20 +*304 PHY_21 +*305 PHY_22 +*306 PHY_23 +*307 PHY_24 +*308 PHY_25 +*309 PHY_26 +*310 PHY_27 +*311 PHY_28 +*312 PHY_29 +*313 PHY_3 +*314 PHY_30 +*315 PHY_31 +*316 PHY_32 +*317 PHY_33 +*318 PHY_34 +*319 PHY_35 +*320 PHY_36 +*321 PHY_37 +*322 PHY_4 +*323 PHY_5 +*324 PHY_6 +*325 PHY_7 +*326 PHY_8 +*327 PHY_9 +*328 TAP_38 +*329 TAP_39 +*330 TAP_40 +*331 TAP_41 +*332 TAP_42 +*333 TAP_43 +*334 TAP_44 +*335 TAP_45 +*336 TAP_46 +*337 TAP_47 +*338 TAP_48 +*339 TAP_49 +*340 TAP_50 +*341 TAP_51 +*342 TAP_52 +*343 TAP_53 +*344 TAP_54 +*345 TAP_55 +*346 TAP_56 +*347 TAP_57 +*348 TAP_58 +*349 TAP_59 +*350 TAP_60 +*351 TAP_61 +*352 TAP_62 +*353 TAP_63 +*354 TAP_64 +*355 TAP_65 +*356 _096_ +*357 _097_ +*358 _098_ +*359 _099_ +*360 _100_ +*361 _101_ +*362 _102_ +*363 _103_ +*364 _104_ +*365 _105_ +*366 _106_ +*367 _107_ +*368 _108_ +*369 _109_ +*370 _110_ +*371 _111_ +*372 _112_ +*373 _113__4 +*374 _114_ +*375 _115_ +*376 _116_ +*377 _117_ +*378 _118_ +*379 _119_ +*380 _120__5 +*381 _121_ +*382 _122_ +*383 _123_ +*384 _124_ +*385 _125_ +*386 _126__6 +*387 _127_ +*388 _128_ +*389 _129_ +*390 _130_ +*391 _131__7 +*392 _132_ +*393 _133_ +*394 _134_ +*395 _135_ +*396 _136__8 +*397 _137_ +*398 _138_ +*399 _139_ +*400 _140_ +*401 _141_ +*402 _142__9 +*403 _143_ +*404 _144_ +*405 _145_ +*406 _146_ +*407 _147_ +*408 _148__10 +*409 _149_ +*410 _150_ +*411 _151_ +*412 _152_ +*413 _153_ +*414 _154__11 +*415 _155_ +*416 _156_ +*417 _157_ +*418 _158_ +*419 _159__12 +*420 _160_ +*421 _161_ +*422 _162_ +*423 _163_ +*424 _164__13 +*425 _165_ +*426 _166_ +*427 _167_ +*428 _168_ +*429 _169__1 +*430 _170_ +*431 _171_ +*432 _172_ +*433 _173_ +*434 _174__2 +*435 _175_ +*436 _176_ +*437 _177_ +*438 _178_ +*439 _179__3 +*440 _180_ +*441 _181_ +*442 _182_ +*443 _183_ +*444 _184_ +*445 _185_ +*446 _186_ +*447 _187_ +*448 _188_ +*449 _189_ +*450 _190_ +*451 _191_ +*452 _192_ +*453 _193_ +*454 _194_ +*455 _195_ +*456 _196_ +*457 _197_ +*458 _198_ +*459 _199_ +*460 _200_ +*461 _201_ +*462 _202_ +*463 _203_ +*464 _204_ +*465 _205_ +*466 _206_ +*467 _207_ +*468 _208_ +*469 _209_ +*470 _210_ +*471 _211_ +*472 clkbuf_0__049_ +*473 clkbuf_0__077_ +*474 clkbuf_0_serial_clock +*475 clkbuf_0_serial_load +*476 clkbuf_1_0_0__049_ +*477 clkbuf_1_0_0__077_ +*478 clkbuf_1_0_0_serial_clock +*479 clkbuf_1_0_0_serial_load +*480 clkbuf_1_1_0__049_ +*481 clkbuf_1_1_0__077_ +*482 clkbuf_1_1_0_serial_clock +*483 clkbuf_1_1_0_serial_load +*484 const_source +*485 data_delay_1 +*486 data_delay_2 +*487 gpio_in_buf +*488 gpio_logic_high +*489 hold1 +*490 hold10 +*491 hold11 +*492 hold12 +*493 hold13 +*494 hold14 +*495 hold15 +*496 hold16 +*497 hold17 +*498 hold18 +*499 hold19 +*500 hold2 +*501 hold20 +*502 hold21 +*503 hold22 +*504 hold23 +*505 hold3 +*506 hold4 +*507 hold5 +*508 hold6 +*509 hold7 +*510 hold8 +*511 hold9 *PORTS gpio_defaults[0] I @@ -564,5048 +567,4918 @@ user_gpio_oeb I user_gpio_out I zero O -*D_NET *1 0.00462848 +*D_NET *1 0.00461701 *CONN *P gpio_defaults[0] I *I *205:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *367:B I *D sky130_fd_sc_hd__or2_2 +*I *370:B I *D sky130_fd_sc_hd__or2_2 +*I *376:B_N I *D sky130_fd_sc_hd__or2b_2 *I *207:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *373:B_N I *D sky130_fd_sc_hd__or2b_2 *CAP 1 gpio_defaults[0] 0.000349196 -2 *205:DIODE 8.68812e-05 -3 *367:B 0 -4 *207:DIODE 0 -5 *373:B_N 0.000432786 -6 *1:34 0.00038402 -7 *1:11 0.000471417 -8 *1:9 0.000684966 -9 *205:DIODE *367:A 4.58907e-05 -10 *205:DIODE *368:A 6.55651e-05 -11 *205:DIODE *439:SET_B 4.81452e-05 -12 *205:DIODE *6:11 2.74378e-05 -13 *373:B_N *374:A 0.000320251 -14 *373:B_N *474:A 0.000449878 -15 *373:B_N *493:A 6.46815e-05 -16 *373:B_N *505:A 0.000208621 -17 *373:B_N *5:12 0.000248651 -18 *373:B_N *6:23 0.000206696 -19 *1:9 *5:12 0.000221502 -20 *1:11 *5:12 6.34391e-05 -21 *1:34 *374:A 6.27718e-05 -22 *1:34 *439:SET_B 6.64392e-05 -23 *1:34 *5:12 4.35492e-05 -24 *1:34 *6:11 7.56961e-05 +2 *205:DIODE 8.15998e-05 +3 *370:B 0 +4 *376:B_N 0.000257674 +5 *207:DIODE 3.86313e-05 +6 *1:33 0.000118698 +7 *1:21 0.000570127 +8 *1:9 0.000663183 +9 *205:DIODE *370:A 4.58907e-05 +10 *205:DIODE *371:A 6.55651e-05 +11 *205:DIODE *442:SET_B 9.94284e-06 +12 *205:DIODE *6:11 0.000269312 +13 *207:DIODE *5:12 6.34391e-05 +14 *376:B_N *232:DIODE 0.000316135 +15 *376:B_N *377:A 0.000275977 +16 *376:B_N *442:D 3.16904e-05 +17 *376:B_N *477:A 0.000553693 +18 *376:B_N *6:28 0.000202726 +19 *376:B_N *162:5 9.88442e-05 +20 *1:9 *5:12 0.000221502 +21 *1:21 *206:DIODE 3.99086e-06 +22 *1:21 *232:DIODE 3.31745e-05 +23 *1:21 *373:A 0.000209636 +24 *1:21 *5:12 4.35492e-05 +25 *1:33 *232:DIODE 2.16355e-05 +26 *1:33 *442:SET_B 6.3657e-05 +27 *1:33 *6:11 7.54269e-06 *RES 1 gpio_defaults[0] *1:9 11.5416 -2 *1:9 *1:11 1.20912 -3 *1:11 *373:B_N 30.6356 -4 *1:11 *207:DIODE 13.7491 -5 *1:9 *1:34 12.1179 -6 *1:34 *367:B 9.24915 -7 *1:34 *205:DIODE 12.191 +2 *1:9 *207:DIODE 14.9583 +3 *1:9 *1:21 11.0087 +4 *1:21 *376:B_N 30.9183 +5 *1:21 *1:33 1.278 +6 *1:33 *370:B 9.24915 +7 *1:33 *205:DIODE 13.1796 *END -*D_NET *2 0.00708392 +*D_NET *2 0.00785642 *CONN *P gpio_defaults[10] I -*I *409:B I *D sky130_fd_sc_hd__or2_2 -*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *412:B_N I *D sky130_fd_sc_hd__or2b_2 *I *221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *415:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *412:B I *D sky130_fd_sc_hd__or2_2 +*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[10] 0.000321192 -2 *409:B 0 -3 *220:DIODE 0.000769301 -4 *412:B_N 0 -5 *221:DIODE 0 -6 *2:28 0.00111183 -7 *2:19 0.00108706 -8 *2:8 0.00106572 -9 *220:DIODE pad_gpio_dm[0] 0.000101411 -10 *220:DIODE pad_gpio_outenb 5.04829e-06 -11 *220:DIODE *361:A2 0.000158451 -12 *220:DIODE *445:RESET_B 2.11857e-05 -13 *220:DIODE *446:SET_B 1.72594e-05 -14 *220:DIODE *472:A 0.000142396 -15 *220:DIODE *90:13 6.11872e-05 -16 *220:DIODE *91:14 9.80242e-07 -17 *220:DIODE *144:11 3.82222e-05 -18 *220:DIODE *145:27 9.33018e-05 -19 *2:8 *3:7 0.000166655 -20 *2:8 *13:7 0 -21 *2:8 *139:44 0.000211417 -22 *2:19 *218:DIODE 9.30015e-05 -23 *2:19 *381:B 9.4134e-05 -24 *2:19 *404:A 0.000101104 -25 *2:19 *441:CLK_N 0.000120872 -26 *2:19 *480:A 0.000309859 -27 *2:19 *3:7 0.000168051 -28 *2:19 *4:19 0 -29 *2:19 *12:22 2.48716e-05 -30 *2:19 *12:25 4.08414e-05 -31 *2:19 *32:246 2.56232e-05 -32 *2:19 *36:33 9.11892e-05 -33 *2:19 *139:44 4.81452e-05 -34 *2:28 *447:D 0.000110458 -35 *2:28 *479:A 0.000110297 -36 *2:28 *32:41 0.000110306 -37 *2:28 *85:37 0.000159189 -38 *2:28 *85:39 7.5301e-06 -39 *2:28 *88:10 3.31745e-05 -40 *2:28 *91:14 2.07087e-05 -41 *2:28 *113:28 4.19452e-05 +1 gpio_defaults[10] 0.000508793 +2 *221:DIODE 7.01533e-05 +3 *415:B_N 2.50285e-05 +4 *412:B 0 +5 *220:DIODE 0.000264589 +6 *2:20 0.000690673 +7 *2:13 0.000917389 +8 *2:10 0.00104522 +9 *220:DIODE *497:A 2.06573e-05 +10 *220:DIODE *113:76 0.000525502 +11 *221:DIODE *414:A 6.54273e-05 +12 *415:B_N *199:DIODE 2.16355e-05 +13 *415:B_N *100:7 2.16355e-05 +14 *2:10 *390:A 0.000209952 +15 *2:10 *414:A 0.000128012 +16 *2:10 *3:21 0 +17 *2:10 *13:5 0 +18 *2:13 *199:DIODE 4.80576e-05 +19 *2:13 *384:B 0.000325683 +20 *2:13 *464:CLK 5.01737e-05 +21 *2:13 *473:A 2.82424e-05 +22 *2:13 *481:A 0.000128231 +23 *2:13 *483:A 0.00010857 +24 *2:13 *4:14 0.000400871 +25 *2:13 *12:11 0.000132607 +26 *2:13 *131:30 1.93184e-05 +27 *2:13 *166:8 1.01202e-05 +28 *2:20 *199:DIODE 0.00016698 +29 *2:20 *359:B1 0.000374791 +30 *2:20 *483:A 0.000173574 +31 *2:20 *497:A 0.000108664 +32 *2:20 *504:A 0.000205527 +33 *2:20 *508:A 0.000205659 +34 *2:20 *113:76 0.000854681 *RES -1 gpio_defaults[10] *2:8 12.9939 -2 *2:8 *221:DIODE 9.24915 -3 *2:8 *2:19 31.0722 -4 *2:19 *412:B_N 9.24915 -5 *2:19 *2:28 9.23536 -6 *2:28 *220:DIODE 34.4445 -7 *2:28 *409:B 9.24915 +1 gpio_defaults[10] *2:10 17.0587 +2 *2:10 *2:13 20.4506 +3 *2:13 *2:20 22.051 +4 *2:20 *220:DIODE 26.3747 +5 *2:20 *412:B 9.24915 +6 *2:13 *415:B_N 14.4725 +7 *2:10 *221:DIODE 11.0817 *END -*D_NET *3 0.00960949 +*D_NET *3 0.0115649 *CONN *P gpio_defaults[11] I +*I *417:B I *D sky130_fd_sc_hd__or2_2 *I *222:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *414:B I *D sky130_fd_sc_hd__or2_2 -*I *417:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *420:B_N I *D sky130_fd_sc_hd__or2b_2 *I *223:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[11] 0.00121942 -2 *222:DIODE 0.000537049 -3 *414:B 0 -4 *417:B_N 0.00021662 -5 *223:DIODE 0.000139046 -6 *3:28 0.00101202 -7 *3:9 0.000599722 -8 *3:7 0.00193845 -9 *222:DIODE pad_gpio_ib_mode_sel 1.3808e-05 -10 *222:DIODE *361:A1 2.58757e-05 -11 *222:DIODE *361:A2 2.16355e-05 -12 *222:DIODE *362:B2 0.000178768 -13 *222:DIODE *414:A 5.45483e-05 -14 *222:DIODE *415:A 4.05132e-05 -15 *223:DIODE *429:B 2.41338e-05 -16 *223:DIODE *433:A 0.000112361 -17 *223:DIODE *445:SET_B 7.74044e-06 -18 *223:DIODE *473:A 3.61356e-05 -19 *223:DIODE *89:17 4.43331e-05 -20 *417:B_N *419:B 1.41976e-05 -21 *417:B_N *476:A 0.000208048 -22 *417:B_N *89:34 0.000456656 -23 *417:B_N *131:7 0.000154842 -24 *3:7 *372:A 1.75816e-05 -25 *3:7 *389:B_N 0.000174256 -26 *3:7 *397:A 7.67036e-05 -27 *3:7 *404:A 4.4153e-05 -28 *3:7 *443:RESET_B 8.62381e-05 -29 *3:7 *444:RESET_B 0.000141342 -30 *3:7 *445:SET_B 0.00010316 -31 *3:7 *477:A 1.18596e-05 -32 *3:7 *480:A 0 -33 *3:7 *4:19 0 -34 *3:7 *12:22 7.26748e-05 -35 *3:7 *13:7 0 -36 *3:7 *36:33 0.000334167 -37 *3:7 *113:52 8.70662e-06 -38 *3:7 *141:57 0.000265298 -39 *3:9 *444:RESET_B 3.00174e-06 -40 *3:9 *445:SET_B 9.03376e-05 -41 *3:9 *473:A 1.26939e-05 -42 *3:9 *477:A 7.03191e-05 -43 *3:28 pad_gpio_ib_mode_sel 0.000460336 -44 *3:28 *217:DIODE 1.03403e-06 -45 *3:28 *409:A 0.000144478 -46 *3:28 *414:A 3.96696e-05 -47 *3:28 *134:7 6.08467e-05 -48 *2:8 *3:7 0.000166655 -49 *2:19 *3:7 0.000168051 +1 gpio_defaults[11] 0.00125938 +2 *417:B 0 +3 *222:DIODE 0.000238871 +4 *420:B_N 0.000668598 +5 *223:DIODE 0.000518061 +6 *3:55 0.000426617 +7 *3:27 0.00146368 +8 *3:23 0.000700772 +9 *3:21 0.00149538 +10 *222:DIODE pad_gpio_ib_mode_sel 0.000109421 +11 *222:DIODE *364:A1 6.27718e-05 +12 *222:DIODE *364:A2 6.36477e-05 +13 *222:DIODE *364:B1 6.27718e-05 +14 *222:DIODE *365:B2 3.96508e-05 +15 *222:DIODE *417:A 2.58757e-05 +16 *222:DIODE *418:A 7.70513e-05 +17 *222:DIODE *40:17 0.000403479 +18 *223:DIODE *410:A 0.000161262 +19 *223:DIODE *432:A 1.65872e-05 +20 *223:DIODE *432:B 8.58353e-05 +21 *223:DIODE *448:SET_B 0.000136505 +22 *223:DIODE *450:D 4.24488e-05 +23 *223:DIODE *98:22 2.17403e-05 +24 *223:DIODE *99:16 0.000110458 +25 *420:B_N *423:A 3.06664e-05 +26 *420:B_N *426:A 0.000129005 +27 *420:B_N *450:RESET_B 6.46815e-05 +28 *420:B_N *450:SET_B 2.73467e-05 +29 *420:B_N *496:A 9.23149e-06 +30 *420:B_N *15:28 6.27718e-05 +31 *3:21 *384:A 0.000256037 +32 *3:21 *384:B 7.18043e-05 +33 *3:21 *385:A 3.82228e-05 +34 *3:21 *386:A 1.59362e-06 +35 *3:21 *388:A 0.00019761 +36 *3:21 *390:A 6.96846e-05 +37 *3:21 *444:CLK_N 2.82641e-05 +38 *3:21 *473:A 0.000159851 +39 *3:21 *4:14 0 +40 *3:21 *4:15 0.000115995 +41 *3:21 *12:11 5.82482e-05 +42 *3:21 *27:10 0.00017472 +43 *3:21 *91:25 1.5714e-05 +44 *3:21 *141:69 0.000145767 +45 *3:21 *145:12 6.30698e-05 +46 *3:21 *148:13 8.03626e-05 +47 *3:23 *450:D 0.000465402 +48 *3:23 *4:15 3.4149e-07 +49 *3:23 *85:17 0.000139232 +50 *3:23 *85:38 2.91161e-05 +51 *3:23 *148:13 9.97927e-05 +52 *3:23 *155:8 8.70662e-06 +53 *3:27 *450:D 0.000481168 +54 *3:27 *32:20 7.66829e-05 +55 *3:27 *85:17 5.9955e-05 +56 *3:55 pad_gpio_ib_mode_sel 0.000213027 +57 *2:10 *3:21 0 *RES -1 gpio_defaults[11] *3:7 38.6917 -2 *3:7 *3:9 6.81502 -3 *3:9 *223:DIODE 18.1049 -4 *3:9 *417:B_N 21.6824 -5 *3:7 *3:28 18.5339 -6 *3:28 *414:B 9.24915 -7 *3:28 *222:DIODE 18.8944 +1 gpio_defaults[11] *3:21 45.4873 +2 *3:21 *3:23 9.51416 +3 *3:23 *3:27 13.8065 +4 *3:27 *223:DIODE 20.7511 +5 *3:27 *420:B_N 29.5683 +6 *3:23 *3:55 10.2148 +7 *3:55 *222:DIODE 18.3157 +8 *3:55 *417:B 9.24915 *END -*D_NET *4 0.011846 +*D_NET *4 0.0142423 *CONN *P gpio_defaults[12] I -*I *422:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *419:B I *D sky130_fd_sc_hd__or2_2 *I *225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *425:B_N I *D sky130_fd_sc_hd__or2b_2 *I *224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *422:B I *D sky130_fd_sc_hd__or2_2 *CAP -1 gpio_defaults[12] 0.00146703 -2 *422:B_N 0.000535171 -3 *419:B 0.000173872 -4 *225:DIODE 6.21137e-05 -5 *224:DIODE 0 -6 *4:26 0.000785289 -7 *4:21 0.00100303 -8 *4:19 0.00210819 -9 *225:DIODE *184:7 2.41274e-06 -10 *419:B *89:34 0.000231986 -11 *419:B *90:13 0.000116505 -12 *422:B_N pad_gpio_ib_mode_sel 0.000720099 -13 *422:B_N *446:SET_B 0.000133612 -14 *422:B_N *34:13 0.000252179 -15 *422:B_N *40:5 0.000250254 -16 *4:19 *230:DIODE 7.06522e-05 -17 *4:19 *381:B 8.29376e-05 -18 *4:19 *382:A 9.63354e-05 -19 *4:19 *387:A 0.00016622 -20 *4:19 *408:A 0.000198064 -21 *4:19 *445:RESET_B 0.000123381 -22 *4:19 *447:D 0.000408171 -23 *4:19 *12:25 2.29125e-05 -24 *4:19 *32:30 7.86825e-06 -25 *4:19 *32:32 6.42402e-05 -26 *4:19 *32:153 5.76716e-05 -27 *4:19 *32:246 6.49051e-05 -28 *4:19 *32:261 0.000159607 -29 *4:19 *78:19 1.02634e-05 -30 *4:19 *88:10 0.000140171 -31 *4:19 *113:8 3.30222e-05 -32 *4:19 *148:16 0 -33 *4:19 *195:12 0.000460865 -34 *4:21 *447:D 0.000244613 -35 *4:21 *195:12 0.000237611 -36 *4:26 *447:D 0.000229151 -37 *4:26 *448:D 0.000187314 -38 *4:26 *464:RESET_B 2.52414e-06 -39 *4:26 *159:15 9.43016e-05 -40 *4:26 *184:7 3.64034e-05 -41 *4:26 *195:12 0.00079081 -42 *417:B_N *419:B 1.41976e-05 -43 *2:19 *4:19 0 -44 *3:7 *4:19 0 +1 gpio_defaults[12] 0.000961212 +2 *225:DIODE 0.00178778 +3 *425:B_N 0.000125968 +4 *224:DIODE 0.00102576 +5 *422:B 2.4452e-05 +6 *4:19 0.00115057 +7 *4:17 0.000306908 +8 *4:15 0.00227954 +9 *4:14 0.00137239 +10 *224:DIODE pad_gpio_ana_sel 0.000192886 +11 *224:DIODE *450:D 0.000179114 +12 *224:DIODE *451:CLK_N 5.22609e-05 +13 *224:DIODE *478:A 0.000306074 +14 *224:DIODE *501:A 0.000131374 +15 *224:DIODE *32:20 0 +16 *224:DIODE *100:10 0.000175475 +17 *225:DIODE pad_gpio_holdover 0 +18 *225:DIODE pad_gpio_outenb 0 +19 *225:DIODE *230:DIODE 6.31889e-06 +20 *225:DIODE *405:A 4.20184e-06 +21 *225:DIODE *457:D 0 +22 *422:B *15:28 0.000109421 +23 *422:B *89:38 0.000107496 +24 *425:B_N *34:21 6.27718e-05 +25 *425:B_N *34:31 0.000596431 +26 *425:B_N *40:10 0.000623727 +27 *4:14 *388:A 6.13782e-05 +28 *4:14 *444:D 0.000222275 +29 *4:14 *464:D 6.27718e-05 +30 *4:14 *481:A 0.000129489 +31 *4:14 *12:11 2.26719e-05 +32 *4:14 *141:63 8.9517e-06 +33 *4:14 *145:65 5.1674e-06 +34 *4:14 *166:8 1.09375e-05 +35 *4:15 *388:A 4.418e-05 +36 *4:15 *450:D 0.000120698 +37 *4:15 *466:D 0.000140741 +38 *4:15 *91:25 1.85244e-05 +39 *4:15 *100:10 0.000707429 +40 *4:15 *155:8 0.000132802 +41 *4:15 *166:8 1.10274e-05 +42 *4:17 *450:D 5.83069e-05 +43 *4:17 *100:10 0.000140149 +44 *4:19 *450:D 6.32012e-05 +45 *4:19 *100:10 0.000182311 +46 *2:13 *4:14 0.000400871 +47 *3:21 *4:14 0 +48 *3:21 *4:15 0.000115995 +49 *3:23 *4:15 3.4149e-07 *RES -1 gpio_defaults[12] *4:19 47.306 -2 *4:19 *4:21 4.73876 -3 *4:21 *4:26 27.1381 -4 *4:26 *224:DIODE 9.24915 -5 *4:26 *225:DIODE 10.5271 -6 *4:21 *419:B 19.1264 -7 *4:19 *422:B_N 35.1775 +1 gpio_defaults[12] *4:14 34.3166 +2 *4:14 *4:15 16.1582 +3 *4:15 *4:17 2.87013 +4 *4:17 *4:19 3.493 +5 *4:19 *422:B 15.0271 +6 *4:19 *224:DIODE 36.0164 +7 *4:17 *425:B_N 21.8753 +8 *4:15 *225:DIODE 24.3573 *END -*D_NET *5 0.0121753 +*D_NET *5 0.0116146 *CONN *P gpio_defaults[1] I -*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *403:B I *D sky130_fd_sc_hd__or2_2 -*I *406:B_N I *D sky130_fd_sc_hd__or2b_2 *I *219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *406:B I *D sky130_fd_sc_hd__or2_2 +*I *409:B_N I *D sky130_fd_sc_hd__or2b_2 *CAP -1 gpio_defaults[1] 0.000803655 -2 *218:DIODE 0.000911754 -3 *403:B 0 -4 *406:B_N 0 -5 *219:DIODE 0 -6 *5:38 0.0012029 -7 *5:27 0.0013345 -8 *5:16 0.00208128 -9 *5:12 0.00184159 -10 *218:DIODE *461:RESET_B 0.000242692 -11 *218:DIODE *466:A 7.09666e-06 -12 *218:DIODE *467:A 2.41274e-06 -13 *218:DIODE *32:29 0.00016243 -14 *218:DIODE *36:33 0.000119469 -15 *218:DIODE *76:7 1.65872e-05 -16 *218:DIODE *76:9 0.000107496 -17 *218:DIODE *78:19 0.000123667 -18 *218:DIODE *113:52 0.000497505 -19 *218:DIODE *141:68 1.5714e-05 -20 *5:12 *216:DIODE 0.000146328 -21 *5:12 *232:DIODE 0.000198319 -22 *5:12 *440:D 0.000110458 -23 *5:12 *452:RESET_B 6.57318e-05 -24 *5:12 *474:A 0.000176652 -25 *5:12 *492:A 6.46815e-05 -26 *5:12 *505:A 4.6329e-05 -27 *5:12 *6:11 0 -28 *5:12 *136:11 0.000112361 -29 *5:16 *440:D 4.78409e-05 -30 *5:16 *444:D 2.22974e-05 -31 *5:16 *488:A 4.17531e-06 -32 *5:27 *217:DIODE 4.58102e-06 -33 *5:27 *444:D 5.16355e-05 -34 *5:27 *24:10 0.000497428 -35 *5:38 *477:A 7.97972e-05 -36 *5:38 *11:23 3.49884e-05 -37 *5:38 *24:10 0 -38 *5:38 *32:41 0.000162739 -39 *5:38 *76:9 0.000144405 -40 *5:38 *76:14 6.3657e-05 -41 *373:B_N *5:12 0.000248651 -42 *1:9 *5:12 0.000221502 -43 *1:11 *5:12 6.34391e-05 -44 *1:34 *5:12 4.35492e-05 -45 *2:19 *218:DIODE 9.30015e-05 +1 gpio_defaults[1] 0.00184071 +2 *219:DIODE 0.000354916 +3 *218:DIODE 0.00112629 +4 *406:B 2.4719e-05 +5 *409:B_N 2.1308e-05 +6 *5:27 0.00130796 +7 *5:22 0.000566779 +8 *5:14 0.000258079 +9 *5:12 0.00202257 +10 *218:DIODE *401:A 1.66771e-05 +11 *218:DIODE *444:SET_B 0 +12 *218:DIODE *464:RESET_B 0.000157107 +13 *218:DIODE *475:A 0.000127384 +14 *218:DIODE *497:A 6.08467e-05 +15 *218:DIODE *32:14 0 +16 *218:DIODE *32:86 0.000151708 +17 *218:DIODE *76:10 3.42037e-06 +18 *218:DIODE *91:25 0.000250273 +19 *218:DIODE *103:28 0 +20 *218:DIODE *145:23 2.31261e-05 +21 *219:DIODE *359:A3 2.58616e-05 +22 *219:DIODE *401:A 1.18339e-05 +23 *219:DIODE *447:RESET_B 4.24488e-05 +24 *219:DIODE *482:A 0.000501884 +25 *219:DIODE *76:10 7.13972e-05 +26 *219:DIODE *88:16 0.000453541 +27 *219:DIODE *145:12 2.99943e-05 +28 *219:DIODE *145:23 1.91391e-05 +29 *406:B *437:B 7.48546e-05 +30 *406:B *113:23 2.24598e-05 +31 *5:12 *208:DIODE 2.78772e-05 +32 *5:12 *448:CLK_N 2.7404e-05 +33 *5:12 *477:A 0.000201811 +34 *5:12 *491:A 5.62448e-05 +35 *5:12 *509:A 7.18098e-05 +36 *5:12 *511:A 0.000144141 +37 *5:12 *6:11 0 +38 *5:12 *7:10 0 +39 *5:12 *32:93 5.33198e-05 +40 *5:12 *32:221 0.000203319 +41 *5:12 *32:236 0.000231941 +42 *5:12 *136:13 3.27973e-05 +43 *5:14 *404:A 0.000164855 +44 *5:14 *510:A 6.08467e-05 +45 *5:14 *32:93 8.71622e-05 +46 *5:14 *158:8 2.97222e-05 +47 *5:22 *404:A 2.99287e-05 +48 *5:22 *76:10 2.57847e-05 +49 *5:27 *400:A 0.000129489 +50 *5:27 *401:A 1.08647e-05 +51 *5:27 *76:10 0.000129489 +52 *207:DIODE *5:12 6.34391e-05 +53 *1:9 *5:12 0.000221502 +54 *1:21 *5:12 4.35492e-05 *RES -1 gpio_defaults[1] *5:12 36.5034 -2 *5:12 *5:16 24.7803 -3 *5:16 *219:DIODE 9.24915 -4 *5:16 *5:27 28.5975 -5 *5:27 *406:B_N 9.24915 -6 *5:27 *5:38 17.1281 -7 *5:38 *403:B 9.24915 -8 *5:38 *218:DIODE 35.3863 +1 gpio_defaults[1] *5:12 48.5125 +2 *5:12 *5:14 5.71483 +3 *5:14 *409:B_N 9.82786 +4 *5:14 *5:22 1.8326 +5 *5:22 *5:27 8.7258 +6 *5:27 *406:B 14.8342 +7 *5:27 *218:DIODE 27.1914 +8 *5:22 *219:DIODE 31.2209 *END -*D_NET *6 0.00575309 +*D_NET *6 0.00611079 *CONN *P gpio_defaults[2] I *I *209:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *378:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *375:B I *D sky130_fd_sc_hd__or2_2 +*I *381:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *378:B I *D sky130_fd_sc_hd__or2_2 *I *208:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[2] 0.000543199 +1 gpio_defaults[2] 0.000509067 2 *209:DIODE 0 -3 *378:B_N 0 -4 *375:B 2.68505e-05 -5 *208:DIODE 0.0003674 -6 *6:23 0.000829646 -7 *6:15 0.000580196 -8 *6:11 0.000688 -9 *208:DIODE *440:CLK_N 0.000174676 -10 *208:DIODE *452:CLK 2.99048e-05 -11 *375:B *136:11 6.36477e-05 -12 *6:11 *367:A 0.000360967 -13 *6:11 *374:A 2.58616e-05 -14 *6:11 *439:RESET_B 7.98171e-06 -15 *6:11 *7:8 0.000711036 -16 *6:11 *9:15 3.67702e-05 -17 *6:11 *10:9 9.90254e-05 -18 *6:15 *439:RESET_B 0.000114495 -19 *6:15 *9:15 9.02471e-05 -20 *6:15 *10:9 0.000314282 -21 *6:23 *452:CLK 1.45799e-05 -22 *6:23 *474:A 3.33645e-05 -23 *6:23 *8:13 0.000331135 -24 *205:DIODE *6:11 2.74378e-05 -25 *373:B_N *6:23 0.000206696 -26 *1:34 *6:11 7.56961e-05 -27 *5:12 *6:11 0 +3 *381:B_N 0 +4 *378:B 0 +5 *208:DIODE 0.000215124 +6 *6:28 0.000634562 +7 *6:15 0.000608051 +8 *6:11 0.00069768 +9 *208:DIODE *456:D 9.76854e-05 +10 *208:DIODE *490:A 6.46815e-05 +11 *208:DIODE *136:13 0.000235459 +12 *208:DIODE *160:8 0.000263116 +13 *6:11 *206:DIODE 0.000165511 +14 *6:11 *232:DIODE 0.000307799 +15 *6:11 *371:A 3.96696e-05 +16 *6:11 *442:RESET_B 1.99054e-05 +17 *6:11 *7:10 0.000660979 +18 *6:11 *10:10 0.000102747 +19 *6:11 *32:236 1.37421e-05 +20 *6:15 *442:RESET_B 0.000109978 +21 *6:15 *9:11 0 +22 *6:15 *10:10 0.0003084 +23 *6:28 *216:DIODE 2.46287e-05 +24 *6:28 *455:D 5.21825e-05 +25 *6:28 *477:A 6.89977e-05 +26 *6:28 *8:7 0.000339715 +27 *6:28 *136:13 6.36477e-05 +28 *205:DIODE *6:11 0.000269312 +29 *376:B_N *6:28 0.000202726 +30 *1:33 *6:11 7.54269e-06 +31 *5:12 *208:DIODE 2.78772e-05 +32 *5:12 *6:11 0 *RES 1 gpio_defaults[2] *6:11 28.0602 2 *6:11 *6:15 10.4845 -3 *6:15 *6:23 16.6776 -4 *6:23 *208:DIODE 23.6509 -5 *6:23 *375:B 14.4725 -6 *6:15 *378:B_N 9.24915 +3 *6:15 *6:28 22.0719 +4 *6:28 *208:DIODE 26.3506 +5 *6:28 *378:B 9.24915 +6 *6:15 *381:B_N 9.24915 7 *6:11 *209:DIODE 13.7491 *END -*D_NET *7 0.00348542 +*D_NET *7 0.0034388 *CONN *P gpio_defaults[3] I *I *214:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *391:B I *D sky130_fd_sc_hd__or2_2 -*I *394:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *394:B I *D sky130_fd_sc_hd__or2_2 +*I *397:B_N I *D sky130_fd_sc_hd__or2b_2 *I *215:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[3] 0.000809274 +1 gpio_defaults[3] 0.000805804 2 *214:DIODE 0 -3 *391:B 8.62856e-05 -4 *394:B_N 0.000108531 +3 *394:B 6.99171e-05 +4 *397:B_N 7.37217e-05 5 *215:DIODE 0 -6 *7:18 0.000313463 -7 *7:14 0.000201272 -8 *7:8 0.0008919 -9 *391:B *139:44 1.41976e-05 -10 *394:B_N *395:A 0.000139513 -11 *394:B_N *443:SET_B 0.000184089 -12 *394:B_N *10:9 0 -13 *394:B_N *145:11 2.58616e-05 -14 *7:8 *8:13 0 -15 *6:11 *7:8 0.000711036 +6 *7:20 0.000313166 +7 *7:16 0.00025252 +8 *7:10 0.000888797 +9 *394:B *414:A 5.04829e-06 +10 *397:B_N *206:DIODE 2.16355e-05 +11 *397:B_N *398:A 0.000205933 +12 *397:B_N *446:SET_B 0.000139684 +13 *7:10 *206:DIODE 1.59362e-06 +14 *7:10 *8:7 0 +15 *5:12 *7:10 0 +16 *6:11 *7:10 0.000660979 *RES -1 gpio_defaults[3] *7:8 20.2037 -2 *7:8 *215:DIODE 9.24915 -3 *7:8 *7:14 1.278 -4 *7:14 *7:18 2.82124 -5 *7:18 *394:B_N 22.4655 -6 *7:18 *391:B 11.1059 -7 *7:14 *214:DIODE 9.24915 +1 gpio_defaults[3] *7:10 20.3819 +2 *7:10 *215:DIODE 9.24915 +3 *7:10 *7:16 1.278 +4 *7:16 *7:20 3.64109 +5 *7:20 *397:B_N 21.635 +6 *7:20 *394:B 10.5271 +7 *7:16 *214:DIODE 9.24915 *END -*D_NET *8 0.00929207 +*D_NET *8 0.00881481 *CONN *P gpio_defaults[4] I -*I *396:B I *D sky130_fd_sc_hd__or2_2 -*I *401:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *399:B I *D sky130_fd_sc_hd__or2_2 *I *217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *404:B_N I *D sky130_fd_sc_hd__or2b_2 *I *216:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[4] 0.000833236 -2 *396:B 5.62364e-05 -3 *401:B_N 2.86212e-05 -4 *217:DIODE 0.000625594 -5 *216:DIODE 0.000421471 -6 *8:43 0.000772977 -7 *8:38 0.000668072 -8 *8:13 0.00174778 -9 *216:DIODE *232:DIODE 3.89804e-05 -10 *216:DIODE *376:A 5.04829e-06 -11 *216:DIODE *452:RESET_B 0.000168186 -12 *216:DIODE *492:A 3.00829e-05 -13 *216:DIODE *136:11 0.000310823 -14 *217:DIODE pad_gpio_ib_mode_sel 0.000107169 -15 *217:DIODE *444:RESET_B 5.35264e-05 -16 *217:DIODE *24:10 0.000618246 -17 *217:DIODE *100:12 0.000625214 -18 *396:B *24:10 1.65872e-05 -19 *396:B *76:14 0.000212757 -20 *396:B *165:16 1.96456e-05 -21 *401:B_N *32:41 6.08467e-05 -22 *8:13 *367:A 0.000147995 -23 *8:13 *374:A 0 -24 *8:13 *376:A 1.07549e-05 -25 *8:13 *452:CLK 0.000160001 -26 *8:13 *9:15 2.83365e-05 -27 *8:38 *376:A 4.24629e-05 -28 *8:38 *393:A 9.98345e-05 -29 *8:38 *398:A 6.13051e-05 -30 *8:38 *443:CLK_N 0.000102655 -31 *8:38 *24:10 9.34558e-05 -32 *8:38 *76:14 1.50924e-05 -33 *8:38 *136:11 0.000190589 -34 *8:38 *139:19 4.20594e-05 -35 *8:38 *170:11 7.21839e-05 -36 *8:43 *11:23 1.07549e-05 -37 *8:43 *24:10 0.000144464 -38 *8:43 *100:12 0.00016595 -39 *3:28 *217:DIODE 1.03403e-06 -40 *5:12 *216:DIODE 0.000146328 -41 *5:27 *217:DIODE 4.58102e-06 -42 *6:23 *8:13 0.000331135 -43 *7:8 *8:13 0 +1 gpio_defaults[4] 0.000669878 +2 *399:B 0.000440007 +3 *217:DIODE 0 +4 *404:B_N 8.37864e-05 +5 *216:DIODE 0.000440112 +6 *8:40 0.000744493 +7 *8:37 0.00141402 +8 *8:7 0.0014233 +9 *216:DIODE *230:DIODE 0.000167213 +10 *216:DIODE *443:CLK_N 0.000243681 +11 *216:DIODE *110:10 1.12e-05 +12 *399:B *437:B 1.65872e-05 +13 *399:B *11:14 0.000212952 +14 *404:B_N *447:D 1.14086e-05 +15 *404:B_N *487:TE 0.000360959 +16 *404:B_N *9:13 5.33048e-05 +17 *404:B_N *34:31 0.000358177 +18 *8:7 *232:DIODE 8.65953e-06 +19 *8:7 *370:A 0.000668211 +20 *8:7 *455:D 2.0456e-06 +21 *8:7 *9:11 0.000211304 +22 *8:37 *370:A 1.69978e-05 +23 *8:37 *443:CLK_N 6.93171e-05 +24 *8:37 *443:RESET_B 1.88014e-05 +25 *8:37 *443:SET_B 0.000151353 +26 *8:37 *110:10 8.96342e-05 +27 *8:40 *443:RESET_B 3.87022e-06 +28 *8:40 *443:SET_B 2.75449e-05 +29 *8:40 *446:D 0 +30 *8:40 *447:D 2.62025e-05 +31 *8:40 *447:SET_B 0 +32 *8:40 *9:11 0 +33 *8:40 *9:13 0.000461751 +34 *8:40 *158:8 4.37039e-05 +35 *6:28 *216:DIODE 2.46287e-05 +36 *6:28 *8:7 0.000339715 +37 *7:10 *8:7 0 *RES -1 gpio_defaults[4] *8:13 28.0472 -2 *8:13 *216:DIODE 31.1169 -3 *8:13 *8:38 23.7003 -4 *8:38 *8:43 8.57172 -5 *8:43 *217:DIODE 31.5407 -6 *8:43 *401:B_N 14.4725 -7 *8:38 *396:B 11.6364 +1 gpio_defaults[4] *8:7 22.7045 +2 *8:7 *216:DIODE 28.0994 +3 *8:7 *8:37 10.7688 +4 *8:37 *8:40 21.6963 +5 *8:40 *404:B_N 18.8017 +6 *8:40 *217:DIODE 13.7491 +7 *8:37 *399:B 16.0973 *END -*D_NET *9 0.0102209 +*D_NET *9 0.00836572 *CONN *P gpio_defaults[5] I -*I *424:B I *D sky130_fd_sc_hd__or2_2 +*I *430:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *427:B I *D sky130_fd_sc_hd__or2_2 *I *226:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *427:B_N I *D sky130_fd_sc_hd__or2b_2 *I *227:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[5] 0.000729251 -2 *424:B 0.000144202 -3 *226:DIODE 0.000242814 -4 *427:B_N 1.33895e-05 -5 *227:DIODE 0.000208897 -6 *9:45 0.000711549 -7 *9:31 0.000390567 -8 *9:17 0.000926568 -9 *9:15 0.00139428 -10 *226:DIODE *449:RESET_B 5.04829e-06 -11 *226:DIODE *450:RESET_B 0.000161243 -12 *226:DIODE *456:CLK 0.000270259 -13 *226:DIODE *475:A 0.000114594 -14 *226:DIODE *137:20 4.9e-05 -15 *227:DIODE *458:RESET_B 0.000107496 -16 *227:DIODE *10:11 0.00010872 -17 *227:DIODE *32:57 6.36477e-05 -18 *424:B *424:A 1.37921e-05 -19 *424:B *429:B 8.09084e-05 -20 *424:B *433:A 0.000263135 -21 *424:B *456:CLK 8.94675e-05 -22 *427:B_N *431:A 1.05106e-05 -23 *9:15 *367:A 0.000529785 -24 *9:15 *439:RESET_B 0.000122978 -25 *9:15 *440:RESET_B 2.88833e-05 -26 *9:15 *443:CLK_N 0.00010872 -27 *9:15 *10:9 0.00028417 -28 *9:17 *440:RESET_B 0.000149842 -29 *9:17 *440:SET_B 9.35979e-05 -30 *9:17 *445:CLK_N 0.0001195 -31 *9:17 *10:9 0.000716032 -32 *9:17 *10:11 5.79499e-05 -33 *9:17 *110:11 0.000194976 -34 *9:17 *110:39 0.000757087 -35 *9:31 *431:A 3.21461e-05 -36 *9:31 *450:CLK_N 0.000107496 -37 *9:31 *110:11 3.99086e-06 -38 *9:45 *425:A 0.000203756 -39 *9:45 *428:A 6.08467e-05 -40 *9:45 *429:B 7.23857e-05 -41 *9:45 *431:A 0.000107198 -42 *9:45 *450:CLK_N 0.00019519 -43 *9:45 *10:30 1.96344e-05 -44 *6:11 *9:15 3.67702e-05 -45 *6:15 *9:15 9.02471e-05 -46 *8:13 *9:15 2.83365e-05 +1 gpio_defaults[5] 0.00104698 +2 *430:B_N 0 +3 *427:B 0.000247905 +4 *226:DIODE 0 +5 *227:DIODE 0.000114568 +6 *9:29 0.000274777 +7 *9:17 0.00028173 +8 *9:13 0.000665577 +9 *9:11 0.00151852 +10 *227:DIODE *137:7 0.000114594 +11 *427:B *428:A 0.000259081 +12 *427:B *431:A 6.08467e-05 +13 *427:B *432:B 0.000355341 +14 *427:B *440:A 5.03013e-06 +15 *427:B *110:34 9.75148e-06 +16 *427:B *110:40 5.29314e-05 +17 *9:11 *370:A 0.000523074 +18 *9:11 *379:A 0.000143175 +19 *9:11 *442:RESET_B 3.17474e-05 +20 *9:11 *443:RESET_B 0.000298287 +21 *9:11 *446:CLK_N 1.94615e-05 +22 *9:11 *10:10 0 +23 *9:11 *110:10 0.00052379 +24 *9:13 *447:CLK_N 4.75329e-05 +25 *9:13 *32:158 0.000641017 +26 *9:17 *459:CLK 8.44458e-05 +27 *9:17 *461:RESET_B 0.000177417 +28 *9:17 *32:158 9.89388e-06 +29 *9:17 *32:163 0.000117754 +30 *9:29 *110:34 1.41307e-05 +31 *404:B_N *9:13 5.33048e-05 +32 *6:15 *9:11 0 +33 *8:7 *9:11 0.000211304 +34 *8:40 *9:11 0 +35 *8:40 *9:13 0.000461751 *RES -1 gpio_defaults[5] *9:15 24.5121 -2 *9:15 *9:17 26.5395 -3 *9:17 *227:DIODE 17.829 -4 *9:17 *9:31 6.35672 -5 *9:31 *427:B_N 9.82786 -6 *9:31 *9:45 12.6261 -7 *9:45 *226:DIODE 21.5663 -8 *9:45 *424:B 19.5116 +1 gpio_defaults[5] *9:11 33.5744 +2 *9:11 *9:13 16.5734 +3 *9:13 *9:17 10.0693 +4 *9:17 *227:DIODE 11.0817 +5 *9:17 *226:DIODE 9.24915 +6 *9:13 *9:29 5.2234 +7 *9:29 *427:B 17.7611 +8 *9:29 *430:B_N 9.24915 *END -*D_NET *10 0.00882394 +*D_NET *10 0.012214 *CONN *P gpio_defaults[6] I -*I *429:B I *D sky130_fd_sc_hd__or2_2 -*I *432:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *435:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *432:B I *D sky130_fd_sc_hd__or2_2 *I *229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *228:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[6] 0.00145959 -2 *429:B 0.000426405 -3 *432:B_N 0 -4 *228:DIODE 2.94646e-05 -5 *229:DIODE 5.71155e-05 -6 *10:30 0.000541311 -7 *10:11 0.000221247 -8 *10:9 0.00170916 -9 *228:DIODE *247:DIODE 6.08467e-05 -10 *228:DIODE *143:17 0.000113713 -11 *229:DIODE *247:DIODE 9.35846e-05 -12 *229:DIODE *457:D 0.000113096 -13 *429:B *425:A 0.000253215 -14 *429:B *433:A 1.18842e-05 -15 *429:B *437:A 3.40176e-05 -16 *429:B *110:17 7.92757e-06 -17 *10:9 *379:A 0.000314282 -18 *10:9 *395:A 0 -19 *10:9 *398:A 0.000251948 -20 *10:9 *440:SET_B 9.35979e-05 -21 *10:9 *457:D 0.000264495 -22 *10:9 *489:A 3.90219e-05 -23 *10:9 *11:8 0 -24 *10:9 *12:8 0 -25 *10:9 *165:16 3.57196e-05 -26 *10:11 *457:D 0.000207533 -27 *10:30 *431:A 0.000305634 -28 *10:30 *110:11 0.000107496 -29 *10:30 *110:17 0.000294398 -30 *223:DIODE *429:B 2.41338e-05 -31 *227:DIODE *10:11 0.00010872 -32 *394:B_N *10:9 0 -33 *424:B *429:B 8.09084e-05 -34 *6:11 *10:9 9.90254e-05 -35 *6:15 *10:9 0.000314282 -36 *9:15 *10:9 0.00028417 -37 *9:17 *10:9 0.000716032 -38 *9:17 *10:11 5.79499e-05 -39 *9:45 *429:B 7.23857e-05 -40 *9:45 *10:30 1.96344e-05 +1 gpio_defaults[6] 0.00103122 +2 *435:B_N 0 +3 *432:B 0.000495588 +4 *229:DIODE 0.00039881 +5 *228:DIODE 0.000807224 +6 *10:19 0.00145662 +7 *10:13 0.000775352 +8 *10:11 0.000791261 +9 *10:10 0.00179331 +10 *228:DIODE *439:A 0.000500992 +11 *228:DIODE *452:RESET_B 2.02248e-06 +12 *228:DIODE *452:SET_B 3.20264e-05 +13 *228:DIODE *454:SET_B 4.89158e-05 +14 *228:DIODE *462:D 0.000178284 +15 *228:DIODE *502:A 4.09206e-05 +16 *229:DIODE *452:D 4.94979e-05 +17 *432:B *427:A 0.000168313 +18 *432:B *428:A 3.89073e-05 +19 *432:B *432:A 1.0759e-05 +20 *432:B *99:16 9.67912e-06 +21 *10:10 *375:A 1.41976e-05 +22 *10:10 *382:A 0.000314282 +23 *10:10 *396:A 2.78496e-05 +24 *10:10 *443:RESET_B 2.93532e-05 +25 *10:10 *443:SET_B 9.89388e-06 +26 *10:10 *446:CLK_N 4.40873e-05 +27 *10:10 *11:14 0 +28 *10:10 *114:8 0 +29 *10:11 *404:A 0.000722848 +30 *10:11 *428:A 5.03545e-06 +31 *10:11 *441:A 3.66675e-05 +32 *10:11 *446:D 4.47846e-05 +33 *10:11 *11:14 8.96342e-05 +34 *10:11 *11:19 0.000168657 +35 *10:11 *138:6 7.07282e-05 +36 *10:11 *141:11 0.000483855 +37 *10:13 *428:A 2.10465e-05 +38 *10:13 *138:6 1.80448e-05 +39 *10:19 *428:A 0.000261813 +40 *10:19 *431:A 9.78191e-05 +41 *10:19 *441:A 8.72115e-06 +42 *10:19 *452:RESET_B 1.63652e-05 +43 *10:19 *452:SET_B 0.000171952 +44 *10:19 *98:22 6.6476e-05 +45 *10:19 *138:10 7.85668e-06 +46 *223:DIODE *432:B 8.58353e-05 +47 *427:B *432:B 0.000355341 +48 *6:11 *10:10 0.000102747 +49 *6:15 *10:10 0.0003084 +50 *9:11 *10:10 0 *RES -1 gpio_defaults[6] *10:9 47.2899 -2 *10:9 *10:11 4.32351 -3 *10:11 *229:DIODE 15.9964 -4 *10:11 *228:DIODE 15.0271 -5 *10:9 *10:30 10.0943 -6 *10:30 *432:B_N 9.24915 -7 *10:30 *429:B 19.4731 +1 gpio_defaults[6] *10:10 34.522 +2 *10:10 *10:11 25.9166 +3 *10:11 *10:13 1.00149 +4 *10:13 *10:19 8.96456 +5 *10:19 *228:DIODE 41.5084 +6 *10:19 *229:DIODE 19.464 +7 *10:13 *432:B 22.9845 +8 *10:11 *435:B_N 13.7491 *END -*D_NET *11 0.0108694 +*D_NET *11 0.0127153 *CONN *P gpio_defaults[7] I +*I *437:B I *D sky130_fd_sc_hd__or2_2 +*I *440:B_N I *D sky130_fd_sc_hd__or2b_2 *I *230:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *434:B I *D sky130_fd_sc_hd__or2_2 -*I *437:B_N I *D sky130_fd_sc_hd__or2b_2 *I *231:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 gpio_defaults[7] 0.000504659 -2 *230:DIODE 0.000698703 -3 *434:B 1.63474e-05 -4 *437:B_N 7.2618e-05 -5 *231:DIODE 0.000617813 -6 *11:23 0.00159352 -7 *11:15 0.00150056 -8 *11:8 0.00178448 -9 *231:DIODE *402:A 1.37566e-05 -10 *231:DIODE *425:A 0.000279588 -11 *231:DIODE *426:A 9.95542e-06 -12 *231:DIODE *435:A 7.66667e-05 -13 *231:DIODE *436:A 8.23171e-06 -14 *231:DIODE *438:A 0.000513747 -15 *231:DIODE *449:CLK_N 1.29665e-05 -16 *231:DIODE *100:12 5.80533e-06 -17 *231:DIODE *138:6 8.36429e-06 -18 *434:B *398:A 6.3657e-05 -19 *434:B *477:A 0.000114594 -20 *434:B *142:5 5.09367e-05 -21 *437:B_N *469:A 0.00015113 -22 *437:B_N *476:A 0.000353749 -23 *11:8 *12:8 0 -24 *11:15 *213:DIODE 8.74753e-05 -25 *11:15 *470:A 0.00027932 -26 *11:15 *12:8 3.77736e-05 -27 *11:15 *13:7 0.000107643 -28 *11:15 *13:9 4.00886e-05 -29 *11:15 *76:14 2.90059e-05 -30 *11:15 *76:33 0.000305082 -31 *11:23 *402:A 0.000833309 -32 *11:23 *24:10 0.000116419 -33 *11:23 *76:14 4.7798e-05 -34 *11:23 *100:12 0.000200384 -35 *11:23 *139:19 0.000216836 -36 *4:19 *230:DIODE 7.06522e-05 -37 *5:38 *11:23 3.49884e-05 -38 *8:43 *11:23 1.07549e-05 -39 *10:9 *11:8 0 +1 gpio_defaults[7] 0.00081809 +2 *437:B 0.000210388 +3 *440:B_N 0.000280433 +4 *230:DIODE 0.000921112 +5 *231:DIODE 0 +6 *11:23 0.0019835 +7 *11:19 0.00187606 +8 *11:14 0.00156172 +9 *230:DIODE *457:RESET_B 0.000163478 +10 *230:DIODE *458:D 6.72204e-05 +11 *230:DIODE *32:228 0.000114659 +12 *437:B *400:A 9.1187e-05 +13 *437:B *406:A 4.66492e-05 +14 *437:B *407:A 0.000311366 +15 *437:B *76:11 0.000215587 +16 *437:B *85:45 0.000120966 +17 *437:B *113:23 4.61538e-05 +18 *440:B_N *472:A 0.000360364 +19 *440:B_N *479:A 0.000117376 +20 *11:14 pad_gpio_holdover 1.80447e-05 +21 *11:14 *398:A 0.000191994 +22 *11:14 *407:A 3.79361e-05 +23 *11:14 *414:A 2.86799e-05 +24 *11:14 *446:D 9.02471e-05 +25 *11:14 *446:SET_B 0.000141913 +26 *11:14 *455:CLK 3.55155e-05 +27 *11:14 *12:8 0 +28 *11:14 *114:8 0.000374043 +29 *11:14 *141:11 4.74417e-05 +30 *11:19 pad_gpio_holdover 0.000358627 +31 *11:19 *32:93 0.000220952 +32 *11:19 *139:9 0.000310286 +33 *11:19 *141:11 3.16904e-05 +34 *11:23 *472:A 0.000743469 +35 *11:23 *500:A 4.19199e-05 +36 *216:DIODE *230:DIODE 0.000167213 +37 *225:DIODE *230:DIODE 6.31889e-06 +38 *399:B *437:B 1.65872e-05 +39 *399:B *11:14 0.000212952 +40 *406:B *437:B 7.48546e-05 +41 *10:10 *11:14 0 +42 *10:11 *11:14 8.96342e-05 +43 *10:11 *11:19 0.000168657 *RES -1 gpio_defaults[7] *11:8 13.9665 -2 *11:8 *11:15 21.7696 -3 *11:15 *11:23 30.012 -4 *11:23 *231:DIODE 30.2508 -5 *11:23 *437:B_N 17.8002 -6 *11:15 *434:B 15.0271 -7 *11:8 *230:DIODE 28.7083 +1 gpio_defaults[7] *11:14 31.5017 +2 *11:14 *11:19 28.2726 +3 *11:19 *11:23 22.718 +4 *11:23 *231:DIODE 13.7491 +5 *11:23 *230:DIODE 33.4776 +6 *11:19 *440:B_N 15.5668 +7 *11:14 *437:B 19.2802 *END -*D_NET *12 0.00395802 +*D_NET *12 0.00383526 *CONN *P gpio_defaults[8] I *I *211:DIODE I *D sky130_fd_sc_hd__diode_2 *I *210:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *384:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *381:B I *D sky130_fd_sc_hd__or2_2 +*I *387:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *384:B I *D sky130_fd_sc_hd__or2_2 *CAP -1 gpio_defaults[8] 0.000446909 -2 *211:DIODE 0 +1 gpio_defaults[8] 0.000793579 +2 *211:DIODE 7.44719e-05 3 *210:DIODE 0 -4 *384:B_N 6.88285e-06 -5 *381:B 0.000200635 -6 *12:25 0.000292371 -7 *12:22 0.000560818 -8 *12:8 0.000922874 -9 *381:B *441:CLK_N 0.000117754 -10 *384:B_N *390:A 5.94977e-06 -11 *384:B_N *145:11 5.94977e-06 -12 *12:8 *213:DIODE 1.78942e-05 -13 *12:8 *395:A 3.23874e-05 -14 *12:8 *13:7 0 -15 *12:8 *76:33 6.97166e-05 -16 *12:8 *139:44 0.000233042 -17 *12:8 *145:11 0.00036128 -18 *12:22 *387:A 0.000209407 -19 *12:22 *389:B_N 4.35097e-05 -20 *12:22 *443:RESET_B 2.52743e-05 -21 *12:22 *145:11 2.92255e-05 -22 *2:19 *381:B 9.4134e-05 -23 *2:19 *12:22 2.48716e-05 -24 *2:19 *12:25 4.08414e-05 -25 *3:7 *12:22 7.26748e-05 -26 *4:19 *381:B 8.29376e-05 -27 *4:19 *12:25 2.29125e-05 -28 *10:9 *12:8 0 -29 *11:8 *12:8 0 -30 *11:15 *12:8 3.77736e-05 +4 *387:B_N 0 +5 *384:B 0.000172551 +6 *12:11 0.000301036 +7 *12:8 0.000996535 +8 *211:DIODE *414:A 4.36956e-05 +9 *384:B *444:CLK_N 0.000114571 +10 *384:B *473:A 1.19971e-05 +11 *12:8 *213:DIODE 0.000115157 +12 *12:8 *414:A 0.000171305 +13 *12:8 *13:5 0 +14 *12:8 *13:28 0.000362782 +15 *12:11 *390:A 6.65668e-05 +16 *2:13 *384:B 0.000325683 +17 *2:13 *12:11 0.000132607 +18 *3:21 *384:B 7.18043e-05 +19 *3:21 *12:11 5.82482e-05 +20 *4:14 *12:11 2.26719e-05 +21 *11:14 *12:8 0 *RES -1 gpio_defaults[8] *12:8 19.2254 -2 *12:8 *12:22 26.8806 -3 *12:22 *12:25 6.74725 -4 *12:25 *381:B 20.1489 -5 *12:25 *384:B_N 13.9481 -6 *12:22 *210:DIODE 9.24915 -7 *12:8 *211:DIODE 9.24915 +1 gpio_defaults[8] *12:8 20.2037 +2 *12:8 *12:11 8.82351 +3 *12:11 *384:B 20.1489 +4 *12:11 *387:B_N 13.7491 +5 *12:8 *210:DIODE 9.24915 +6 *12:8 *211:DIODE 10.5271 *END -*D_NET *13 0.00272137 +*D_NET *13 0.00258145 *CONN *P gpio_defaults[9] I -*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *389:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *386:B I *D sky130_fd_sc_hd__or2_2 *I *213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *389:B I *D sky130_fd_sc_hd__or2_2 +*I *392:B_N I *D sky130_fd_sc_hd__or2b_2 *CAP -1 gpio_defaults[9] 0.000271245 -2 *212:DIODE 2.57973e-05 -3 *389:B_N 0.00019703 -4 *386:B 0 -5 *213:DIODE 0.000114698 -6 *13:26 0.000261512 -7 *13:9 0.000140993 -8 *13:7 0.000336225 -9 *212:DIODE *387:A 1.65872e-05 -10 *212:DIODE *392:A 4.76794e-05 -11 *212:DIODE *139:44 0.000109421 -12 *389:B_N *443:RESET_B 4.57412e-05 -13 *389:B_N *145:11 4.86422e-05 -14 *13:26 *392:A 0.000317466 -15 *13:26 *139:44 0.000317466 -16 *2:8 *13:7 0 -17 *3:7 *389:B_N 0.000174256 -18 *3:7 *13:7 0 -19 *11:15 *213:DIODE 8.74753e-05 -20 *11:15 *13:7 0.000107643 -21 *11:15 *13:9 4.00886e-05 -22 *12:8 *213:DIODE 1.78942e-05 -23 *12:8 *13:7 0 -24 *12:22 *389:B_N 4.35097e-05 +1 gpio_defaults[9] 0.000251158 +2 *213:DIODE 1.30538e-05 +3 *212:DIODE 0 +4 *389:B 1.2835e-05 +5 *392:B_N 0.000222369 +6 *13:28 6.39423e-05 +7 *13:7 0.000300758 +8 *13:5 0.000367601 +9 *213:DIODE *414:A 0.000100065 +10 *389:B *389:A 6.3657e-05 +11 *389:B *395:A 6.08467e-05 +12 *392:B_N *206:DIODE 4.87439e-05 +13 *392:B_N *76:29 0.000118136 +14 *392:B_N *145:9 0.000117566 +15 *13:28 *414:A 0.000362782 +16 *2:10 *13:5 0 +17 *12:8 *213:DIODE 0.000115157 +18 *12:8 *13:5 0 +19 *12:8 *13:28 0.000362782 *RES -1 gpio_defaults[9] *13:7 7.66995 -2 *13:7 *13:9 1.00149 -3 *13:9 *213:DIODE 16.3045 -4 *13:9 *386:B 13.7491 -5 *13:7 *13:26 7.99641 -6 *13:26 *389:B_N 24.0724 -7 *13:26 *212:DIODE 10.5271 +1 gpio_defaults[9] *13:5 6.5219 +2 *13:5 *13:7 1.41674 +3 *13:7 *392:B_N 20.0863 +4 *13:7 *389:B 14.4725 +5 *13:5 *13:28 8.55102 +6 *13:28 *212:DIODE 9.24915 +7 *13:28 *213:DIODE 10.5271 *END -*D_NET *14 0.00391775 +*D_NET *14 0.00394241 *CONN *P mgmt_gpio_in O -*I *468:Z O *D sky130_fd_sc_hd__ebufn_2 +*I *471:Z O *D sky130_fd_sc_hd__ebufn_2 *CAP -1 mgmt_gpio_in 0.00113873 -2 *468:Z 0.00113873 -3 mgmt_gpio_in one 4.31122e-05 -4 mgmt_gpio_in zero 0.00127779 -5 mgmt_gpio_in *202:DIODE 1.94615e-05 -6 mgmt_gpio_in *364:A 0.000299932 -7 mgmt_gpio_in *490:A 0 -8 mgmt_gpio_in *15:8 0 +1 mgmt_gpio_in 0.00112754 +2 *471:Z 0.00112754 +3 mgmt_gpio_in zero 0.00128761 +4 mgmt_gpio_in *247:DIODE 0 +5 mgmt_gpio_in *362:A 2.44579e-05 +6 mgmt_gpio_in *363:B1 0 +7 mgmt_gpio_in *15:11 7.45724e-05 +8 mgmt_gpio_in *17:8 0.000298582 +9 mgmt_gpio_in *26:23 2.1203e-06 *RES -1 *468:Z mgmt_gpio_in 33.8418 +1 *471:Z mgmt_gpio_in 33.8418 *END -*D_NET *15 0.00947773 +*D_NET *15 0.0108183 *CONN *P mgmt_gpio_oeb I -*I *358:A I *D sky130_fd_sc_hd__nand2_2 -*I *356:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *361:A I *D sky130_fd_sc_hd__nand2_2 *I *199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *359:A2 I *D sky130_fd_sc_hd__a31o_2 *I *201:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 mgmt_gpio_oeb 0.00198133 -2 *358:A 2.37921e-05 -3 *356:A2 0 -4 *199:DIODE 0.000168032 -5 *201:DIODE 0.000211779 -6 *15:30 0.000814872 -7 *15:10 0.000944899 -8 *15:8 0.0020914 -9 *199:DIODE pad_gpio_outenb 2.58757e-05 -10 *199:DIODE *356:A3 1.02356e-05 -11 *199:DIODE *91:14 9.80242e-07 -12 *201:DIODE *34:13 0.000298986 -13 *15:8 one 3.84497e-05 -14 *15:8 pad_gpio_ana_en 0 -15 *15:8 *360:B1 0.000160732 -16 *15:8 *364:A 0 -17 *15:8 *451:RESET_B 0 -18 *15:8 *468:A 0.000311432 -19 *15:8 *490:A 0.000639329 -20 *15:8 *507:A 0.000220828 -21 *15:8 *49:10 0 -22 *15:8 *91:14 2.81678e-06 -23 *15:10 *360:B1 3.96412e-05 -24 *15:10 *91:14 1.65292e-05 -25 *15:10 *105:10 6.58409e-05 -26 *15:30 pad_gpio_dm[0] 6.01721e-05 -27 *15:30 pad_gpio_inenb 0.000260946 -28 *15:30 *356:A1 1.97124e-05 -29 *15:30 *356:A3 1.5562e-05 -30 *15:30 *360:B1 5.0187e-05 -31 *15:30 *361:A2 0.000284286 -32 *15:30 *361:A3 5.9639e-05 -33 *15:30 *445:RESET_B 1.5714e-05 -34 *15:30 *463:D 8.08566e-05 -35 *15:30 *508:A 0.000154145 -36 *15:30 *27:12 0.000293026 -37 *15:30 *91:14 4.22136e-05 -38 *15:30 *103:9 3.95516e-05 -39 *15:30 *105:10 3.39453e-05 -40 mgmt_gpio_in *15:8 0 +1 mgmt_gpio_oeb 0.00150639 +2 *361:A 2.0472e-05 +3 *199:DIODE 0.000270299 +4 *359:A2 0 +5 *201:DIODE 0 +6 *15:28 0.00127573 +7 *15:19 0.00116026 +8 *15:11 0.00164075 +9 *199:DIODE *359:A1 9.89388e-06 +10 *199:DIODE *483:A 3.5496e-05 +11 *199:DIODE *131:30 8.49356e-05 +12 *199:DIODE *166:8 5.99586e-05 +13 *15:11 zero 0.000252764 +14 *15:11 *357:A 0.000216666 +15 *15:11 *361:B 1.00766e-05 +16 *15:11 *471:TE_B 1.59968e-05 +17 *15:11 *16:7 0.000733097 +18 *15:11 *17:18 0.00051903 +19 *15:11 *23:8 8.45797e-05 +20 *15:11 *23:17 3.76888e-05 +21 *15:11 *26:23 0 +22 *15:19 *361:B 0.000109427 +23 *15:19 *22:7 0 +24 *15:28 *359:A1 0.00015765 +25 *15:28 *420:A 3.96696e-05 +26 *15:28 *423:A 0.000454157 +27 *15:28 *476:A 7.25635e-05 +28 *15:28 *479:A 0.000201943 +29 *15:28 *483:A 0.000487482 +30 *15:28 *89:21 5.33826e-05 +31 *15:28 *89:38 0.000129115 +32 *15:28 *89:49 0.000206534 +33 *15:28 *105:8 4.87301e-05 +34 *15:28 *113:50 0.000189697 +35 *15:28 *131:30 0.000250377 +36 mgmt_gpio_in *15:11 7.45724e-05 +37 *415:B_N *199:DIODE 2.16355e-05 +38 *420:B_N *15:28 6.27718e-05 +39 *422:B *15:28 0.000109421 +40 *2:13 *199:DIODE 4.80576e-05 +41 *2:20 *199:DIODE 0.00016698 *RES -1 mgmt_gpio_oeb *15:8 29.2719 -2 *15:8 *15:10 2.24725 -3 *15:10 *201:DIODE 17.2456 -4 *15:10 *15:30 25.7248 -5 *15:30 *199:DIODE 11.6364 -6 *15:30 *356:A2 9.24915 -7 *15:8 *358:A 14.4819 +1 mgmt_gpio_oeb *15:11 32.6376 +2 *15:11 *201:DIODE 9.24915 +3 *15:11 *15:19 3.37585 +4 *15:19 *15:28 38.1613 +5 *15:28 *359:A2 13.7491 +6 *15:28 *199:DIODE 20.9456 +7 *15:19 *361:A 9.82786 *END -*D_NET *16 0.00521952 +*D_NET *16 0.00350824 *CONN *P mgmt_gpio_out I -*I *359:A I *D sky130_fd_sc_hd__inv_2 +*I *362:A I *D sky130_fd_sc_hd__inv_2 *I *202:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 mgmt_gpio_out 0.000155649 -2 *359:A 0 -3 *202:DIODE 0.000304554 -4 *16:31 2.68684e-05 -5 *16:14 0.0013667 -6 *16:10 0.00119093 -7 *202:DIODE *364:A 7.26748e-05 -8 *202:DIODE *448:D 1.41181e-05 -9 *202:DIODE *490:A 9.49153e-05 -10 *16:10 pad_gpio_ana_pol 6.39303e-05 -11 *16:10 *19:5 1.51803e-05 -12 *16:14 pad_gpio_ana_pol 0 -13 *16:14 *19:5 0.00189454 -14 mgmt_gpio_in *202:DIODE 1.94615e-05 +1 mgmt_gpio_out 0.000616678 +2 *362:A 6.63571e-05 +3 *202:DIODE 0.000151183 +4 *16:7 0.000834218 +5 *202:DIODE *367:A 2.58616e-05 +6 *202:DIODE *367:B 2.8322e-05 +7 *202:DIODE *17:8 0.000201511 +8 *202:DIODE *17:18 5.04829e-06 +9 *362:A *17:8 5.97738e-05 +10 *16:7 pad_gpio_ana_en 0.000761733 +11 mgmt_gpio_in *362:A 2.44579e-05 +12 *15:11 *16:7 0.000733097 *RES -1 mgmt_gpio_out *16:10 10.9332 -2 *16:10 *16:14 44.8385 -3 *16:14 *202:DIODE 23.9928 -4 *16:14 *359:A 9.24915 -5 mgmt_gpio_out *16:31 0.0631875 +1 mgmt_gpio_out *16:7 7.40946 +2 *16:7 *202:DIODE 18.1732 +3 *16:7 *362:A 15.7888 *END -*D_NET *17 0.00618086 +*D_NET *17 0.00475316 *CONN *P one O -*I *364:A I *D sky130_fd_sc_hd__and2_2 -*I *481:HI O *D sky130_fd_sc_hd__conb_1 +*I *367:A I *D sky130_fd_sc_hd__and2_2 +*I *484:HI O *D sky130_fd_sc_hd__conb_1 *CAP -1 one 0.000962179 -2 *364:A 0.00038794 -3 *481:HI 0 -4 *17:4 0.00135012 -5 one *19:5 0.00258365 -6 *364:A *364:B 0.000177539 -7 *364:A *483:A 0.000265269 -8 mgmt_gpio_in one 4.31122e-05 -9 mgmt_gpio_in *364:A 0.000299932 -10 *202:DIODE *364:A 7.26748e-05 -11 *15:8 one 3.84497e-05 -12 *15:8 *364:A 0 +1 one 2.68684e-05 +2 *367:A 2.27822e-05 +3 *484:HI 0.000115278 +4 *17:22 2.68684e-05 +5 *17:18 0.00164838 +6 *17:8 0.00178644 +7 *17:8 *367:B 1.67286e-05 +8 mgmt_gpio_in *17:8 0.000298582 +9 *202:DIODE *367:A 2.58616e-05 +10 *202:DIODE *17:8 0.000201511 +11 *202:DIODE *17:18 5.04829e-06 +12 *362:A *17:8 5.97738e-05 +13 *15:11 *17:18 0.00051903 *RES -1 *481:HI *17:4 9.24915 -2 *17:4 *364:A 31.1971 -3 *17:4 one 41.6215 +1 *484:HI *17:8 23.8184 +2 *17:8 *367:A 9.97254 +3 *17:8 *17:18 49.2763 +4 *17:18 one 3.36879 +5 one *17:22 0.0631875 *END -*D_NET *18 0.00521753 +*D_NET *18 0.00542943 *CONN *P pad_gpio_ana_en O -*I *449:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *452:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_ana_en 0.00250168 -2 *449:Q 0.00250168 -3 pad_gpio_ana_en pad_gpio_ana_pol 4.31122e-05 +1 pad_gpio_ana_en 0.00183564 +2 *452:Q 0.00183564 +3 pad_gpio_ana_en pad_gpio_ana_pol 0.000879455 4 pad_gpio_ana_en pad_gpio_ana_sel 0 -5 pad_gpio_ana_en *451:D 7.27245e-06 -6 pad_gpio_ana_en *464:CLK 0.000161669 -7 pad_gpio_ana_en *32:207 2.11837e-06 -8 pad_gpio_ana_en *32:215 0 -9 *15:8 pad_gpio_ana_en 0 +5 pad_gpio_ana_en *467:CLK 9.4732e-05 +6 pad_gpio_ana_en *467:D 2.22234e-05 +7 pad_gpio_ana_en *100:10 0 +8 *16:7 pad_gpio_ana_en 0.000761733 *RES -1 *449:Q pad_gpio_ana_en 28.9276 +1 *452:Q pad_gpio_ana_en 28.9276 *END -*D_NET *19 0.00854359 +*D_NET *19 0.00402442 *CONN *P pad_gpio_ana_pol O -*I *451:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *454:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_ana_pol 0.000895303 -2 *451:Q 0 -3 *19:5 0.00181602 -4 *19:4 0.000920718 -5 pad_gpio_ana_pol pad_gpio_ana_sel 3.84497e-05 -6 *19:5 *360:B1 1.36606e-05 -7 *19:5 *451:RESET_B 0.000151533 -8 *19:5 *507:A 0.000107496 -9 one *19:5 0.00258365 -10 pad_gpio_ana_en pad_gpio_ana_pol 4.31122e-05 -11 *16:10 pad_gpio_ana_pol 6.39303e-05 -12 *16:10 *19:5 1.51803e-05 -13 *16:14 pad_gpio_ana_pol 0 -14 *16:14 *19:5 0.00189454 +1 pad_gpio_ana_pol 0.00101691 +2 *454:Q 0.00101691 +3 pad_gpio_ana_pol pad_gpio_ana_sel 0.00086856 +4 pad_gpio_ana_pol *485:A 5.23032e-05 +5 pad_gpio_ana_pol *496:A 0.000190287 +6 pad_gpio_ana_en pad_gpio_ana_pol 0.000879455 *RES -1 *451:Q *19:4 9.24915 -2 *19:4 *19:5 50.8427 -3 *19:5 pad_gpio_ana_pol 40.3127 +1 *454:Q pad_gpio_ana_pol 25.974 *END -*D_NET *20 0.00572578 +*D_NET *20 0.00544657 *CONN *P pad_gpio_ana_sel O -*I *450:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *453:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_ana_sel 0.0021197 -2 *450:Q 0.0021197 -3 pad_gpio_ana_sel pad_gpio_dm[0] 0.000787398 -4 pad_gpio_ana_sel *421:A 1.88563e-05 -5 pad_gpio_ana_sel *435:A 0 -6 pad_gpio_ana_sel *447:CLK_N 0.000142713 -7 pad_gpio_ana_sel *450:SET_B 0.00016023 -8 pad_gpio_ana_sel *464:D 8.66852e-05 -9 pad_gpio_ana_sel *32:157 0.00025204 -10 pad_gpio_ana_en pad_gpio_ana_sel 0 -11 pad_gpio_ana_pol pad_gpio_ana_sel 3.84497e-05 +1 pad_gpio_ana_sel 0.0016455 +2 *453:Q 0.0016455 +3 pad_gpio_ana_sel pad_gpio_dm[0] 0.000792546 +4 pad_gpio_ana_sel *424:A 0.000101411 +5 pad_gpio_ana_sel *100:10 0.000200159 +6 pad_gpio_ana_en pad_gpio_ana_sel 0 +7 pad_gpio_ana_pol pad_gpio_ana_sel 0.00086856 +8 *224:DIODE pad_gpio_ana_sel 0.000192886 *RES -1 *450:Q pad_gpio_ana_sel 30.3811 +1 *453:Q pad_gpio_ana_sel 28.0536 *END -*D_NET *21 0.00603946 +*D_NET *21 0.00547828 *CONN *P pad_gpio_dm[0] O -*I *446:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *449:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_dm[0] 0.00165535 -2 *446:Q 0.00165535 +1 pad_gpio_dm[0] 0.00165425 +2 *449:Q 0.00165425 3 pad_gpio_dm[0] pad_gpio_dm[1] 4.31122e-05 4 pad_gpio_dm[0] pad_gpio_dm[2] 0 -5 pad_gpio_dm[0] pad_gpio_inenb 0.000269788 -6 pad_gpio_dm[0] *354:A 0.000217692 -7 pad_gpio_dm[0] *360:B1 0.000622424 -8 pad_gpio_dm[0] *418:A 0.000156537 -9 pad_gpio_dm[0] *448:RESET_B 9.02151e-05 -10 pad_gpio_dm[0] *26:15 0.000315931 -11 pad_gpio_dm[0] *27:12 6.40849e-05 -12 pad_gpio_ana_sel pad_gpio_dm[0] 0.000787398 -13 *220:DIODE pad_gpio_dm[0] 0.000101411 -14 *15:30 pad_gpio_dm[0] 6.01721e-05 +5 pad_gpio_dm[0] pad_gpio_holdover 0 +6 pad_gpio_dm[0] *363:B1 0.000610677 +7 pad_gpio_dm[0] *364:A2 0.000283749 +8 pad_gpio_dm[0] *364:A3 4.93817e-05 +9 pad_gpio_dm[0] *418:A 2.6233e-05 +10 pad_gpio_dm[0] *421:A 4.57412e-05 +11 pad_gpio_dm[0] *85:13 5.51386e-07 +12 pad_gpio_dm[0] *104:8 0.000153389 +13 pad_gpio_dm[0] *105:8 7.3068e-05 +14 pad_gpio_dm[0] *109:10 9.13291e-05 +15 pad_gpio_ana_sel pad_gpio_dm[0] 0.000792546 *RES -1 *446:Q pad_gpio_dm[0] 43.582 +1 *449:Q pad_gpio_dm[0] 42.7463 *END -*D_NET *22 0.0044523 +*D_NET *22 0.00440973 *CONN *P pad_gpio_dm[1] O -*I *358:B I *D sky130_fd_sc_hd__nand2_2 -*I *447:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *361:B I *D sky130_fd_sc_hd__nand2_2 +*I *450:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_dm[1] 0.00101382 -2 *358:B 0.000293176 -3 *447:Q 0.000390814 -4 *22:5 0.00169781 -5 pad_gpio_dm[1] pad_gpio_dm[2] 0.000453349 -6 pad_gpio_dm[1] *26:15 0.000245767 -7 *358:B *418:A 1.41976e-05 -8 *22:5 *420:A 0.000300242 -9 pad_gpio_dm[0] pad_gpio_dm[1] 4.31122e-05 +1 pad_gpio_dm[1] 0.00116773 +2 *361:B 0.000139605 +3 *450:Q 0.000502817 +4 *22:7 0.00181015 +5 pad_gpio_dm[1] pad_gpio_dm[2] 3.84497e-05 +6 pad_gpio_dm[1] pad_gpio_holdover 0.00039104 +7 pad_gpio_dm[1] *34:21 0 +8 *22:7 *421:A 9.36714e-05 +9 *22:7 *450:RESET_B 0.000103653 +10 pad_gpio_dm[0] pad_gpio_dm[1] 4.31122e-05 +11 *15:11 *361:B 1.00766e-05 +12 *15:19 *361:B 0.000109427 +13 *15:19 *22:7 0 *RES -1 *447:Q *22:5 15.4462 -2 *22:5 *358:B 16.0973 -3 *22:5 pad_gpio_dm[1] 36.2176 +1 *450:Q *22:7 18.0746 +2 *22:7 *361:B 12.7456 +3 *22:7 pad_gpio_dm[1] 39.0147 *END -*D_NET *23 0.0056261 +*D_NET *23 0.00539789 *CONN *P pad_gpio_dm[2] O -*I *361:A1 I *D sky130_fd_sc_hd__o31ai_2 -*I *360:A1 I *D sky130_fd_sc_hd__o21a_2 -*I *448:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *364:A1 I *D sky130_fd_sc_hd__o31ai_2 +*I *363:A1 I *D sky130_fd_sc_hd__o21a_2 +*I *451:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_dm[2] 0.000829904 -2 *361:A1 0.000204344 -3 *360:A1 3.23952e-05 -4 *448:Q 0.000334899 -5 *23:19 0.000558257 -6 *23:8 0.00148632 -7 pad_gpio_dm[2] pad_gpio_holdover 0.000616199 -8 *360:A1 *34:13 0.000157107 -9 *360:A1 *40:5 0.000157107 -10 *361:A1 *354:A 8.70483e-06 -11 *361:A1 *415:A 0.000199095 -12 *23:8 *354:A 0.000300128 -13 *23:8 *448:RESET_B 2.66359e-05 -14 *23:19 *354:A 7.35028e-05 -15 *23:19 *415:A 0.000162275 -16 pad_gpio_dm[0] pad_gpio_dm[2] 0 -17 pad_gpio_dm[1] pad_gpio_dm[2] 0.000453349 -18 *222:DIODE *361:A1 2.58757e-05 +1 pad_gpio_dm[2] 0.000799176 +2 *364:A1 0.000299996 +3 *363:A1 0.000116309 +4 *451:Q 0.000504372 +5 *23:17 0.000766305 +6 *23:8 0.00165355 +7 pad_gpio_dm[2] pad_gpio_holdover 0.000585119 +8 *363:A1 *34:21 0.000305874 +9 *364:A1 pad_gpio_inenb 0 +10 *364:A1 *40:17 0.00010886 +11 *23:8 *357:A 1.43832e-05 +12 *23:8 *451:RESET_B 2.04574e-05 +13 *23:17 *357:A 0 +14 pad_gpio_dm[0] pad_gpio_dm[2] 0 +15 pad_gpio_dm[1] pad_gpio_dm[2] 3.84497e-05 +16 *222:DIODE *364:A1 6.27718e-05 +17 *15:11 *23:8 8.45797e-05 +18 *15:11 *23:17 3.76888e-05 *RES -1 *448:Q *23:8 21.4257 -2 *23:8 pad_gpio_dm[2] 7.17999 -3 *23:8 *23:19 7.75545 -4 *23:19 *360:A1 15.5817 -5 *23:19 *361:A1 19.49 +1 *451:Q *23:8 23.6441 +2 *23:8 pad_gpio_dm[2] 6.87403 +3 *23:8 *23:17 7.02265 +4 *23:17 *363:A1 17.2456 +5 *23:17 *364:A1 21.1538 *END -*D_NET *24 0.0106517 +*D_NET *24 0.0104675 *CONN *P pad_gpio_holdover O -*I *440:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *443:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_holdover 0.00249442 -2 *440:Q 0.000559712 -3 *24:10 0.00305413 -4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.00131154 -5 pad_gpio_holdover *446:SET_B 8.24361e-06 -6 pad_gpio_holdover *34:23 0.000760584 -7 pad_gpio_holdover *89:49 0.000109978 -8 *24:10 *456:CLK 3.20264e-05 -9 *24:10 *100:12 6.41613e-05 -10 *24:10 *165:16 0.000154145 -11 pad_gpio_dm[2] pad_gpio_holdover 0.000616199 -12 *217:DIODE *24:10 0.000618246 -13 *396:B *24:10 1.65872e-05 -14 *5:27 *24:10 0.000497428 -15 *5:38 *24:10 0 -16 *8:38 *24:10 9.34558e-05 -17 *8:43 *24:10 0.000144464 -18 *11:23 *24:10 0.000116419 +1 pad_gpio_holdover 0.00334254 +2 *443:Q 0.00334254 +3 pad_gpio_holdover pad_gpio_ib_mode_sel 0.00131113 +4 pad_gpio_holdover *407:A 0.000200794 +5 pad_gpio_holdover *448:RESET_B 0.000407267 +6 pad_gpio_holdover *448:SET_B 0.000330109 +7 pad_gpio_holdover *32:93 0.000180318 +8 pad_gpio_dm[0] pad_gpio_holdover 0 +9 pad_gpio_dm[1] pad_gpio_holdover 0.00039104 +10 pad_gpio_dm[2] pad_gpio_holdover 0.000585119 +11 *225:DIODE pad_gpio_holdover 0 +12 *11:14 pad_gpio_holdover 1.80447e-05 +13 *11:19 pad_gpio_holdover 0.000358627 *RES -1 *440:Q *24:10 39.4241 -2 *24:10 pad_gpio_holdover 34.0559 +1 *443:Q pad_gpio_holdover 48.3625 *END -*D_NET *25 0.00742644 +*D_NET *25 0.0064107 *CONN *P pad_gpio_ib_mode_sel O -*I *444:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *447:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_ib_mode_sel 0.00179616 -2 *444:Q 0.00179616 -3 pad_gpio_ib_mode_sel pad_gpio_inenb 0 -4 pad_gpio_ib_mode_sel *361:B1 0 -5 pad_gpio_ib_mode_sel *362:B2 6.27718e-05 -6 pad_gpio_ib_mode_sel *423:A 6.34391e-05 -7 pad_gpio_ib_mode_sel *446:SET_B 2.1716e-05 -8 pad_gpio_ib_mode_sel *26:15 5.37048e-05 -9 pad_gpio_ib_mode_sel *34:13 0.000500188 -10 pad_gpio_ib_mode_sel *40:5 0.000209822 -11 pad_gpio_ib_mode_sel *109:14 0.000248685 -12 pad_gpio_ib_mode_sel *134:7 6.08467e-05 -13 pad_gpio_holdover pad_gpio_ib_mode_sel 0.00131154 -14 *217:DIODE pad_gpio_ib_mode_sel 0.000107169 -15 *222:DIODE pad_gpio_ib_mode_sel 1.3808e-05 -16 *422:B_N pad_gpio_ib_mode_sel 0.000720099 -17 *3:28 pad_gpio_ib_mode_sel 0.000460336 +1 pad_gpio_ib_mode_sel 0.00177287 +2 *447:Q 0.00177287 +3 pad_gpio_ib_mode_sel *368:A 3.34347e-05 +4 pad_gpio_ib_mode_sel *426:A 8.64272e-06 +5 pad_gpio_ib_mode_sel *447:RESET_B 8.31361e-05 +6 pad_gpio_ib_mode_sel *26:10 0.000744834 +7 pad_gpio_ib_mode_sel *34:21 8.23577e-05 +8 pad_gpio_ib_mode_sel *89:49 0.000262033 +9 pad_gpio_ib_mode_sel *113:50 1.69448e-05 +10 pad_gpio_holdover pad_gpio_ib_mode_sel 0.00131113 +11 *222:DIODE pad_gpio_ib_mode_sel 0.000109421 +12 *3:55 pad_gpio_ib_mode_sel 0.000213027 *RES -1 *444:Q pad_gpio_ib_mode_sel 42.0171 +1 *447:Q pad_gpio_ib_mode_sel 42.0171 *END -*D_NET *26 0.0110015 +*D_NET *26 0.00772526 *CONN *P pad_gpio_in I -*I *363:A I *D sky130_fd_sc_hd__inv_2 -*I *468:A I *D sky130_fd_sc_hd__ebufn_2 *I *203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *366:A I *D sky130_fd_sc_hd__inv_2 +*I *471:A I *D sky130_fd_sc_hd__ebufn_2 *I *247:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 pad_gpio_in 0.00140782 -2 *363:A 1.90687e-05 -3 *468:A 0.000196797 -4 *203:DIODE 0 -5 *247:DIODE 0.00174211 -6 *26:21 0.00192892 -7 *26:17 0.000434229 -8 *26:15 0.00147751 -9 *247:DIODE *416:A 0.000145849 -10 *247:DIODE *421:A 0.00082687 -11 *247:DIODE *428:A 1.38544e-05 -12 *247:DIODE *433:A 3.18656e-05 -13 *247:DIODE *449:CLK_N 7.28157e-05 -14 *247:DIODE *449:SET_B 6.08467e-05 -15 *247:DIODE *450:CLK_N 4.24488e-05 -16 *247:DIODE *450:SET_B 7.28567e-06 -17 *247:DIODE *457:D 4.98339e-05 -18 *247:DIODE *458:RESET_B 9.94284e-06 -19 *247:DIODE *473:A 2.73863e-06 -20 *247:DIODE *143:17 3.73375e-05 -21 *363:A *435:A 1.02267e-05 -22 *468:A *451:RESET_B 0 -23 *26:15 pad_gpio_inenb 4.80459e-05 -24 *26:15 user_gpio_in 4.89469e-06 -25 *26:15 *435:A 0.000128309 -26 *26:15 *451:RESET_B 4.76794e-05 -27 *26:15 *34:10 6.75696e-05 -28 *26:17 *435:A 2.08274e-05 -29 *26:17 *451:RESET_B 0.000239484 -30 *26:17 *49:10 0.000205101 -31 *26:21 *435:A 0.000234891 -32 *26:21 *448:SET_B 2.58616e-05 -33 *26:21 *451:RESET_B 0.000213676 -34 *26:21 *49:10 0.000165521 -35 pad_gpio_dm[0] *26:15 0.000315931 -36 pad_gpio_dm[1] *26:15 0.000245767 -37 pad_gpio_ib_mode_sel *26:15 5.37048e-05 -38 *228:DIODE *247:DIODE 6.08467e-05 -39 *229:DIODE *247:DIODE 9.35846e-05 -40 *15:8 *468:A 0.000311432 +1 pad_gpio_in 0.000646521 +2 *203:DIODE 0 +3 *366:A 0 +4 *471:A 0 +5 *247:DIODE 0.000471179 +6 *26:23 0.000808195 +7 *26:18 0.00067288 +8 *26:10 0.000982385 +9 *247:DIODE *245:DIODE 2.79596e-05 +10 *247:DIODE *363:B1 2.7369e-05 +11 *247:DIODE *367:B 3.8697e-05 +12 *247:DIODE *32:39 3.25052e-05 +13 *247:DIODE *109:10 0.000608003 +14 *26:10 pad_gpio_inenb 0.000734257 +15 *26:10 *357:A 1.85244e-05 +16 *26:10 *34:21 0.000328408 +17 *26:18 *357:A 0.000527676 +18 *26:18 *363:B1 4.61714e-05 +19 *26:18 *418:A 0.000408425 +20 *26:18 *34:21 0.000109427 +21 *26:23 *357:A 3.16904e-05 +22 *26:23 *363:B1 0.000161681 +23 *26:23 *451:RESET_B 0.000137113 +24 *26:23 *471:TE_B 0.000159244 +25 mgmt_gpio_in *247:DIODE 0 +26 mgmt_gpio_in *26:23 2.1203e-06 +27 pad_gpio_ib_mode_sel *26:10 0.000744834 +28 *15:11 *26:23 0 *RES -1 pad_gpio_in *26:15 46.598 -2 *26:15 *26:17 2.94181 -3 *26:17 *26:21 7.25807 -4 *26:21 *247:DIODE 49.8312 -5 *26:21 *203:DIODE 9.24915 -6 *26:17 *468:A 24.2337 -7 *26:15 *363:A 9.82786 +1 pad_gpio_in *26:10 12.0586 +2 *26:10 *26:18 17.2341 +3 *26:18 *26:23 14.6721 +4 *26:23 *247:DIODE 32.8525 +5 *26:23 *471:A 9.24915 +6 *26:18 *366:A 13.7491 +7 *26:10 *203:DIODE 9.24915 *END -*D_NET *27 0.00803528 +*D_NET *27 0.00858847 *CONN *P pad_gpio_inenb O *I *197:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *353:A I *D sky130_fd_sc_hd__or2b_2 -*I *443:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *356:A I *D sky130_fd_sc_hd__or2b_2 +*I *446:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_inenb 0.00131096 -2 *197:DIODE 0.000146776 -3 *353:A 0 -4 *443:Q 0.00164704 -5 *27:23 0.000146776 -6 *27:12 0.00295801 -7 pad_gpio_inenb pad_gpio_out 5.27207e-05 -8 pad_gpio_inenb pad_gpio_outenb 0 -9 *197:DIODE *28:8 8.859e-05 -10 *27:12 *365:A 0.000134006 -11 *27:12 *381:A 0.000112378 -12 *27:12 *383:A 7.92757e-06 -13 *27:12 *404:A 2.99887e-05 -14 *27:12 *441:CLK_N 2.44626e-05 -15 *27:12 *442:CLK_N 4.7712e-05 -16 *27:12 *442:RESET_B 4.99573e-05 -17 *27:12 *462:RESET_B 1.00114e-05 -18 *27:12 *463:D 3.10499e-05 -19 *27:12 *502:A 2.02949e-05 -20 *27:12 *103:9 0.000217527 -21 *27:12 *171:15 6.31991e-05 -22 pad_gpio_dm[0] pad_gpio_inenb 0.000269788 -23 pad_gpio_dm[0] *27:12 6.40849e-05 -24 pad_gpio_ib_mode_sel pad_gpio_inenb 0 -25 *15:30 pad_gpio_inenb 0.000260946 -26 *15:30 *27:12 0.000293026 -27 *26:15 pad_gpio_inenb 4.80459e-05 +1 pad_gpio_inenb 0.00107856 +2 *197:DIODE 0 +3 *356:A 0 +4 *446:Q 0.00157138 +5 *27:17 0.00129231 +6 *27:11 0.000298627 +7 *27:10 0.00165626 +8 pad_gpio_inenb pad_gpio_out 5.27207e-05 +9 pad_gpio_inenb pad_gpio_outenb 0 +10 pad_gpio_inenb *357:A 0.000153334 +11 pad_gpio_inenb *28:8 1.88422e-05 +12 pad_gpio_inenb *40:17 0.00021387 +13 *27:10 *356:B_N 8.44903e-05 +14 *27:10 *368:A 0.000210126 +15 *27:10 *385:A 8.90629e-06 +16 *27:10 *386:A 5.31465e-05 +17 *27:10 *445:D 0.000127809 +18 *27:10 *445:RESET_B 2.7837e-05 +19 *27:10 *449:SET_B 4.10969e-05 +20 *27:10 *508:A 1.29078e-05 +21 *27:10 *32:14 0.000259665 +22 *27:10 *32:62 7.94529e-05 +23 *27:10 *32:68 0.000146741 +24 *27:10 *32:273 0.000125844 +25 *27:11 *28:8 4.2372e-05 +26 *27:17 *28:8 0.000123193 +27 *364:A1 pad_gpio_inenb 0 +28 *3:21 *27:10 0.00017472 +29 *26:10 pad_gpio_inenb 0.000734257 *RES -1 *443:Q *27:12 48.1544 -2 *27:12 pad_gpio_inenb 12.5088 -3 *27:12 *27:23 4.5 -4 *27:23 *353:A 9.24915 -5 *27:23 *197:DIODE 11.6364 +1 *446:Q *27:10 49.6885 +2 *27:10 *27:11 1.278 +3 *27:11 *356:A 9.24915 +4 *27:11 *27:17 3.49641 +5 *27:17 *197:DIODE 9.24915 +6 *27:17 pad_gpio_inenb 17.3498 *END -*D_NET *28 0.00345181 +*D_NET *28 0.0031677 *CONN *P pad_gpio_out O -*I *362:Y O *D sky130_fd_sc_hd__o22ai_2 +*I *365:Y O *D sky130_fd_sc_hd__o22ai_2 *CAP 1 pad_gpio_out 5.24418e-05 -2 *362:Y 0.00125741 -3 *28:8 0.00130985 +2 *365:Y 0.00122575 +3 *28:8 0.00127819 4 pad_gpio_out pad_gpio_outenb 5.27207e-05 -5 *28:8 pad_gpio_outenb 0.000255075 -6 *28:8 user_gpio_in 3.16582e-05 -7 *28:8 *353:B_N 5.8973e-05 -8 *28:8 *354:A 1.43698e-05 -9 *28:8 *362:B2 2.58757e-05 -10 *28:8 *463:D 9.57314e-05 -11 *28:8 *34:10 9.3612e-05 -12 *28:8 *104:15 6.27782e-05 -13 pad_gpio_inenb pad_gpio_out 5.27207e-05 -14 *197:DIODE *28:8 8.859e-05 +5 *28:8 user_gpio_in 3.16582e-05 +6 *28:8 *357:A 1.83992e-05 +7 *28:8 *365:A2 0.00017779 +8 *28:8 *34:10 9.3612e-05 +9 *28:8 *40:17 0 +10 pad_gpio_inenb pad_gpio_out 5.27207e-05 +11 pad_gpio_inenb *28:8 1.88422e-05 +12 *27:11 *28:8 4.2372e-05 +13 *27:17 *28:8 0.000123193 *RES -1 *362:Y *28:8 48.8185 +1 *365:Y *28:8 48.8185 2 *28:8 pad_gpio_out 3.66144 *END -*D_NET *29 0.00538017 +*D_NET *29 0.00623673 *CONN *P pad_gpio_outenb O -*I *356:X O *D sky130_fd_sc_hd__a31o_2 +*I *359:X O *D sky130_fd_sc_hd__a31o_2 *CAP -1 pad_gpio_outenb 0.0016316 -2 *356:X 0.0016316 -3 pad_gpio_outenb pad_gpio_slow_sel 0.000818413 -4 pad_gpio_outenb *361:B1 3.68023e-05 -5 pad_gpio_outenb *365:A 0.000245417 -6 pad_gpio_outenb *404:A 0.000192158 -7 pad_gpio_outenb *445:RESET_B 1.78819e-05 -8 pad_gpio_outenb *446:SET_B 8.55406e-05 -9 pad_gpio_outenb *472:A 7.09961e-05 -10 pad_gpio_outenb *145:27 0.000121115 -11 pad_gpio_outenb *169:17 0.000189916 -12 pad_gpio_inenb pad_gpio_outenb 0 -13 pad_gpio_out pad_gpio_outenb 5.27207e-05 -14 *199:DIODE pad_gpio_outenb 2.58757e-05 -15 *220:DIODE pad_gpio_outenb 5.04829e-06 -16 *28:8 pad_gpio_outenb 0.000255075 +1 pad_gpio_outenb 0.0023223 +2 *359:X 0.0023223 +3 pad_gpio_outenb pad_gpio_slow_sel 8.32308e-05 +4 pad_gpio_outenb pad_gpio_vtrip_sel 0.000350587 +5 pad_gpio_outenb *483:A 0.000149032 +6 pad_gpio_outenb *504:A 0.000151281 +7 pad_gpio_outenb *32:7 0 +8 pad_gpio_outenb *32:14 0.000780455 +9 pad_gpio_outenb *145:23 2.48169e-05 +10 pad_gpio_inenb pad_gpio_outenb 0 +11 pad_gpio_out pad_gpio_outenb 5.27207e-05 +12 *225:DIODE pad_gpio_outenb 0 *RES -1 *356:X pad_gpio_outenb 35.904 +1 *359:X pad_gpio_outenb 26.9553 *END -*D_NET *30 0.00539839 +*D_NET *30 0.00535276 *CONN *P pad_gpio_slow_sel O -*I *441:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *444:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_slow_sel 0.00134531 -2 *441:Q 0.00134531 -3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.000726202 -4 pad_gpio_slow_sel *404:A 2.9654e-05 -5 pad_gpio_slow_sel *445:RESET_B 0.000137566 -6 pad_gpio_slow_sel *462:RESET_B 0.000203305 -7 pad_gpio_slow_sel *463:D 0.000287275 -8 pad_gpio_slow_sel *502:A 1.99542e-05 -9 pad_gpio_slow_sel *508:A 3.29578e-05 -10 pad_gpio_slow_sel *91:14 0.000203914 -11 pad_gpio_slow_sel *169:17 0.000248528 -12 pad_gpio_outenb pad_gpio_slow_sel 0.000818413 +1 pad_gpio_slow_sel 0.000281017 +2 *444:Q 0.00117139 +3 *30:7 0.00145241 +4 pad_gpio_slow_sel pad_gpio_vtrip_sel 8.32308e-05 +5 pad_gpio_slow_sel serial_data_out 0.000615803 +6 pad_gpio_slow_sel user_gpio_in 0.000950399 +7 pad_gpio_slow_sel *34:10 9.98684e-05 +8 *30:7 *445:D 0.000156654 +9 *30:7 *36:11 0.000430788 +10 *30:7 *41:11 0 +11 *30:7 *145:65 2.79581e-05 +12 pad_gpio_outenb pad_gpio_slow_sel 8.32308e-05 *RES -1 *441:Q pad_gpio_slow_sel 38.1509 +1 *444:Q *30:7 44.3488 +2 *30:7 pad_gpio_slow_sel 19.5574 *END -*D_NET *31 0.00354844 +*D_NET *31 0.00352335 *CONN *P pad_gpio_vtrip_sel O -*I *442:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *445:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 pad_gpio_vtrip_sel 0.00121894 -2 *442:Q 0.00121894 -3 pad_gpio_vtrip_sel serial_data_out 0.000254295 -4 pad_gpio_vtrip_sel *404:A 1.63798e-05 -5 pad_gpio_vtrip_sel *496:A 4.57184e-05 -6 pad_gpio_vtrip_sel *32:11 6.79757e-05 -7 pad_gpio_vtrip_sel *103:9 0 -8 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.000726202 +1 pad_gpio_vtrip_sel 0.00109125 +2 *445:Q 0.00109125 +3 pad_gpio_vtrip_sel *503:A 0.000144401 +4 pad_gpio_vtrip_sel *32:7 0.000715613 +5 pad_gpio_vtrip_sel *169:6 4.70167e-05 +6 pad_gpio_outenb pad_gpio_vtrip_sel 0.000350587 +7 pad_gpio_slow_sel pad_gpio_vtrip_sel 8.32308e-05 *RES -1 *442:Q pad_gpio_vtrip_sel 32.1271 +1 *445:Q pad_gpio_vtrip_sel 32.1271 *END -*D_NET *32 0.0387524 +*D_NET *32 0.040548 *CONN *P resetn I -*I *462:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *465:A I *D sky130_fd_sc_hd__buf_2 -*I *242:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *243:DIODE I *D sky130_fd_sc_hd__diode_2 *I *244:DIODE I *D sky130_fd_sc_hd__diode_2 *I *246:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *468:A I *D sky130_fd_sc_hd__buf_2 +*I *374:A I *D sky130_fd_sc_hd__buf_1 +*I *455:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 *I *206:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *371:A I *D sky130_fd_sc_hd__buf_1 -*I *463:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *464:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *241:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *460:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *459:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *240:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *457:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *369:A I *D sky130_fd_sc_hd__buf_1 +*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 *I *239:DIODE I *D sky130_fd_sc_hd__diode_2 *I *238:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *457:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *452:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *454:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *462:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *460:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *461:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 *I *235:DIODE I *D sky130_fd_sc_hd__diode_2 *I *236:DIODE I *D sky130_fd_sc_hd__diode_2 *I *237:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *456:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *455:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *366:A I *D sky130_fd_sc_hd__buf_1 +*I *459:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *234:DIODE I *D sky130_fd_sc_hd__diode_2 *I *458:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *453:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *461:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *456:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *464:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *465:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *466:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *467:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *463:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 resetn 0.00107141 -2 *462:RESET_B 0.000129172 -3 *465:A 0 -4 *242:DIODE 0.000242656 -5 *243:DIODE 0 -6 *244:DIODE 0 -7 *246:DIODE 0 -8 *233:DIODE 0.00239396 -9 *206:DIODE 0.000112378 -10 *371:A 0 -11 *463:RESET_B 0 -12 *464:RESET_B 6.45907e-05 -13 *241:DIODE 0.000125242 -14 *460:RESET_B 5.52706e-06 -15 *459:RESET_B 2.06339e-05 -16 *240:DIODE 2.33474e-05 -17 *245:DIODE 0 -18 *239:DIODE 0 -19 *238:DIODE 0 -20 *457:RESET_B 0.000124178 -21 *452:RESET_B 0.00035838 -22 *454:RESET_B 0 -23 *234:DIODE 7.22033e-05 -24 *235:DIODE 0 -25 *236:DIODE 8.79392e-05 -26 *237:DIODE 7.68978e-05 -27 *456:RESET_B 9.58075e-05 -28 *455:RESET_B 0.000448166 -29 *366:A 5.91407e-05 -30 *458:RESET_B 0.000459448 -31 *204:DIODE 0 -32 *453:RESET_B 1.75736e-05 -33 *461:RESET_B 0.000690221 -34 *32:289 0.0003153 -35 *32:283 0.000154019 -36 *32:263 0.00241611 -37 *32:261 0.000717124 -38 *32:246 0.00089372 -39 *32:215 0.000713211 -40 *32:207 0.000887781 -41 *32:170 0.000155459 -42 *32:168 8.01936e-05 -43 *32:167 0.000196335 -44 *32:163 0.000498193 -45 *32:157 0.00118057 -46 *32:153 0.00081711 -47 *32:139 0.000482388 -48 *32:120 0.000137812 -49 *32:101 0.000327938 -50 *32:99 0.000293889 -51 *32:97 0.000203757 -52 *32:95 0.000556553 -53 *32:57 0.000793315 -54 *32:52 0.000719939 -55 *32:50 0.000625037 -56 *32:41 0.000842223 -57 *32:32 0.000905093 -58 *32:30 9.67655e-05 -59 *32:29 0.000737334 -60 *32:15 0.00026145 -61 *32:11 0.00133402 -62 *206:DIODE *467:A 0.000209407 -63 *206:DIODE *142:5 1.65872e-05 -64 *233:DIODE *249:DIODE 2.1172e-05 -65 *233:DIODE *370:A 4.20184e-06 -66 *233:DIODE *148:16 2.02035e-05 -67 *234:DIODE *455:CLK 3.00829e-05 -68 *234:DIODE *455:D 1.67179e-05 -69 *237:DIODE *34:23 4.89251e-05 -70 *240:DIODE *501:A 5.04756e-05 -71 *241:DIODE *448:D 0.000127527 -72 *242:DIODE *390:A 2.99199e-05 -73 *242:DIODE *442:CLK_N 0.00016182 -74 *242:DIODE *466:A 6.64392e-05 -75 *242:DIODE *148:16 7.5301e-06 -76 *452:RESET_B *405:A 4.78554e-05 -77 *452:RESET_B *453:CLK 1.84519e-05 -78 *452:RESET_B *453:D 0.000591589 -79 *452:RESET_B *505:A 2.36748e-05 -80 *453:RESET_B *445:CLK_N 5.37479e-05 -81 *453:RESET_B *454:D 1.91114e-05 -82 *456:RESET_B *34:23 0.000203999 -83 *456:RESET_B *191:7 9.57639e-05 -84 *457:RESET_B *458:D 4.21956e-05 -85 *457:RESET_B *501:A 0.00016553 -86 *458:RESET_B *449:SET_B 0.000105748 -87 *458:RESET_B *450:CLK_N 1.3262e-05 -88 *458:RESET_B *451:SET_B 3.51133e-05 -89 *458:RESET_B *457:D 0.000304088 -90 *458:RESET_B *138:11 1.60278e-05 -91 *458:RESET_B *143:17 0.000120843 -92 *459:RESET_B *460:CLK 5.52256e-05 -93 *460:RESET_B *448:D 2.6437e-05 -94 *461:RESET_B *365:A 6.08467e-05 -95 *461:RESET_B *196:8 0.000176936 -96 *462:RESET_B *502:A 0.000156763 -97 *32:11 resetn_out 5.27207e-05 -98 *32:11 user_gpio_in 6.83646e-05 -99 *32:11 *365:A 1.65872e-05 -100 *32:11 *502:A 4.66492e-05 -101 *32:11 *33:8 0.000743145 -102 *32:11 *34:10 0.000104444 -103 *32:11 *36:17 0.000154739 -104 *32:15 *365:A 9.06232e-05 -105 *32:15 *502:A 1.41976e-05 -106 *32:29 *404:A 9.1903e-05 -107 *32:29 *466:A 2.23978e-05 -108 *32:29 *113:52 0.000349543 -109 *32:29 *141:68 3.40063e-05 -110 *32:29 *196:8 0.000150154 -111 *32:30 *480:A 1.2014e-05 -112 *32:32 *480:A 0.000160483 -113 *32:41 *402:A 1.03403e-05 -114 *32:41 *445:CLK_N 0.000142909 -115 *32:41 *454:D 3.96696e-05 -116 *32:41 *479:A 4.59702e-05 -117 *32:41 *480:A 1.66771e-05 -118 *32:41 *503:A 0.000159917 -119 *32:41 *85:39 0.000114634 -120 *32:41 *88:10 4.00648e-05 -121 *32:41 *141:7 0.000886031 -122 *32:50 *444:CLK_N 7.71949e-05 -123 *32:50 *110:39 8.25765e-05 -124 *32:50 *141:10 0.000141811 -125 *32:52 *444:CLK_N 8.70662e-06 -126 *32:52 *456:D 0.000344993 -127 *32:52 *110:11 1.6989e-05 -128 *32:52 *110:39 1.51844e-05 -129 *32:57 *110:11 4.14089e-05 -130 *32:57 *143:17 0.000262481 -131 *32:95 *455:D 1.62266e-06 -132 *32:95 *506:A 9.19001e-06 -133 *32:97 *455:CLK 4.4426e-06 -134 *32:120 *488:A 2.41274e-06 -135 *32:139 *453:CLK 2.53533e-05 -136 *32:139 *455:CLK 2.09602e-05 -137 *32:153 *479:A 0.000311545 -138 *32:153 *480:A 1.03844e-05 -139 *32:153 *88:10 0.000306573 -140 *32:157 *447:CLK_N 5.57092e-05 -141 *32:157 *464:D 8.48283e-06 -142 *32:157 *475:A 0.00067581 -143 *32:157 *479:A 0.00036817 -144 *32:157 *88:10 0.000691942 -145 *32:157 *98:20 1.35725e-05 -146 *32:163 *464:CLK 6.90063e-05 -147 *32:163 *98:20 0.000114594 -148 *32:163 *175:14 0.000276244 -149 *32:167 *175:14 0.000245159 -150 *32:168 *501:A 0.000148767 -151 *32:170 *501:A 0.000100999 -152 *32:215 *448:D 8.21861e-05 -153 *32:215 *464:D 3.44412e-06 -154 *32:215 *195:7 6.12919e-06 -155 *32:215 *195:12 0.000349284 -156 *32:246 *480:A 0.000101824 -157 *32:261 *249:DIODE 4.17264e-05 -158 *32:261 *382:A 9.29777e-05 -159 *32:261 *387:A 0.000143175 -160 *32:261 *467:A 0.000106506 -161 *32:261 *478:A 1.47716e-05 -162 *32:261 *78:19 0.000427745 -163 *32:261 *139:44 2.39228e-05 -164 *32:283 *388:A 5.04829e-06 -165 *32:283 *139:44 8.21761e-06 -166 *32:283 *148:16 7.92757e-06 -167 *32:289 *388:A 1.65872e-05 -168 *32:289 *442:CLK_N 6.27782e-05 -169 pad_gpio_ana_en *32:207 2.11837e-06 -170 pad_gpio_ana_en *32:215 0 -171 pad_gpio_ana_sel *32:157 0.00025204 -172 pad_gpio_slow_sel *462:RESET_B 0.000203305 -173 pad_gpio_vtrip_sel *32:11 6.79757e-05 -174 *216:DIODE *452:RESET_B 0.000168186 -175 *218:DIODE *461:RESET_B 0.000242692 -176 *218:DIODE *32:29 0.00016243 -177 *227:DIODE *458:RESET_B 0.000107496 -178 *227:DIODE *32:57 6.36477e-05 -179 *247:DIODE *458:RESET_B 9.94284e-06 -180 *401:B_N *32:41 6.08467e-05 -181 *2:19 *32:246 2.56232e-05 -182 *2:28 *32:41 0.000110306 -183 *4:19 *32:30 7.86825e-06 -184 *4:19 *32:32 6.42402e-05 -185 *4:19 *32:153 5.76716e-05 -186 *4:19 *32:246 6.49051e-05 -187 *4:19 *32:261 0.000159607 -188 *4:26 *464:RESET_B 2.52414e-06 -189 *5:12 *452:RESET_B 6.57318e-05 -190 *5:38 *32:41 0.000162739 -191 *27:12 *462:RESET_B 1.00114e-05 +1 resetn 0.00112591 +2 *244:DIODE 0 +3 *246:DIODE 7.17515e-05 +4 *242:DIODE 0 +5 *243:DIODE 0.000218868 +6 *468:A 0 +7 *374:A 0 +8 *455:RESET_B 6.62203e-05 +9 *206:DIODE 0.00159344 +10 *233:DIODE 0 +11 *457:RESET_B 6.1696e-05 +12 *369:A 0 +13 *204:DIODE 0 +14 *239:DIODE 0 +15 *238:DIODE 0.0001485 +16 *462:RESET_B 1.73725e-05 +17 *460:RESET_B 0.000125251 +18 *461:RESET_B 0.000513329 +19 *235:DIODE 0 +20 *236:DIODE 5.39827e-05 +21 *237:DIODE 0 +22 *459:RESET_B 0.000173589 +23 *234:DIODE 5.39827e-05 +24 *458:RESET_B 1.76712e-05 +25 *456:RESET_B 1.00057e-05 +26 *464:RESET_B 0.00132804 +27 *465:RESET_B 0 +28 *466:RESET_B 9.64397e-05 +29 *467:RESET_B 0 +30 *245:DIODE 4.47812e-05 +31 *241:DIODE 0 +32 *240:DIODE 0 +33 *463:RESET_B 2.64046e-05 +34 *32:292 0.000699924 +35 *32:273 0.00137147 +36 *32:236 0.00211413 +37 *32:228 0.00091249 +38 *32:221 0.000519884 +39 *32:185 0.000498951 +40 *32:176 0.000513692 +41 *32:174 0.000113088 +42 *32:163 0.000585277 +43 *32:158 0.000625584 +44 *32:144 5.39827e-05 +45 *32:128 0.000298132 +46 *32:126 0.000198472 +47 *32:117 0.000771736 +48 *32:115 0.00116101 +49 *32:108 0.000371316 +50 *32:101 0.000489558 +51 *32:93 0.0006311 +52 *32:86 0.00176341 +53 *32:71 0.000252761 +54 *32:68 0.000709508 +55 *32:62 0.000133377 +56 *32:39 0.000163521 +57 *32:33 0.000333612 +58 *32:22 0.000501654 +59 *32:20 0.00113066 +60 *32:14 0.00173338 +61 *32:7 0.00193249 +62 *206:DIODE *232:DIODE 2.69338e-05 +63 *206:DIODE *377:A 0.000114659 +64 *206:DIODE *398:A 6.82768e-05 +65 *206:DIODE *442:CLK_N 7.60183e-05 +66 *206:DIODE *442:D 1.03403e-05 +67 *206:DIODE *442:RESET_B 3.82228e-05 +68 *206:DIODE *145:9 4.79321e-06 +69 *238:DIODE *454:D 2.81678e-06 +70 *238:DIODE *499:A 3.05632e-05 +71 *238:DIODE *137:36 9.09838e-05 +72 *243:DIODE *360:A 0.000114271 +73 *243:DIODE *42:11 1.04731e-05 +74 *246:DIODE *393:A 0.000114271 +75 *456:RESET_B *448:CLK_N 5.37479e-05 +76 *457:RESET_B *458:D 0.00016697 +77 *459:RESET_B *489:A 5.03545e-06 +78 *459:RESET_B *34:31 0.00011462 +79 *460:RESET_B *460:D 0.000165682 +80 *461:RESET_B *452:CLK_N 0.000113224 +81 *461:RESET_B *452:D 0.000192956 +82 *461:RESET_B *459:CLK 3.87022e-06 +83 *461:RESET_B *460:D 0.000164917 +84 *461:RESET_B *137:12 4.35447e-05 +85 *461:RESET_B *173:12 9.89388e-06 +86 *462:RESET_B *137:36 2.38081e-06 +87 *464:RESET_B *469:A 8.02797e-05 +88 *466:RESET_B *466:CLK 2.16355e-05 +89 *466:RESET_B *466:D 2.9082e-05 +90 *466:RESET_B *145:23 0.000293365 +91 *32:7 resetn_out 5.27207e-05 +92 *32:7 *37:7 0.000564805 +93 *32:14 *466:CLK 0.000190344 +94 *32:14 *475:A 0 +95 *32:14 *85:13 3.88358e-05 +96 *32:14 *85:17 1.79484e-05 +97 *32:14 *104:8 5.75895e-05 +98 *32:20 *451:D 0.000122858 +99 *32:20 *496:A 0 +100 *32:20 *49:13 0.000450245 +101 *32:20 *85:17 0.000271135 +102 *32:22 *454:RESET_B 5.62448e-05 +103 *32:22 *496:A 0 +104 *32:22 *100:10 0 +105 *32:33 *438:A 0.000134761 +106 *32:33 *454:RESET_B 0.000248144 +107 *32:62 *104:8 2.27135e-05 +108 *32:68 *104:8 3.74935e-05 +109 *32:71 *508:A 8.41325e-05 +110 *32:71 *33:8 6.53173e-05 +111 *32:86 *444:SET_B 2.02035e-05 +112 *32:86 *36:11 0 +113 *32:86 *76:14 6.64671e-06 +114 *32:86 *91:25 7.7834e-05 +115 *32:86 *103:28 9.92016e-06 +116 *32:93 *375:A 0.000110458 +117 *32:93 *509:A 7.18098e-05 +118 *32:93 *510:A 6.08467e-05 +119 *32:93 *76:14 5.50734e-05 +120 *32:93 *113:23 1.65872e-05 +121 *32:93 *131:30 0.000208062 +122 *32:93 *139:9 6.07928e-05 +123 *32:93 *158:8 0.000443239 +124 *32:108 *448:CLK_N 9.09456e-05 +125 *32:108 *457:D 4.75721e-06 +126 *32:108 *459:D 3.20407e-05 +127 *32:108 *110:10 0.00011719 +128 *32:117 *458:D 2.10315e-05 +129 *32:128 *489:A 5.62448e-05 +130 *32:158 *447:CLK_N 4.78409e-05 +131 *32:158 *459:CLK 0.000363404 +132 *32:158 *459:D 7.78924e-05 +133 *32:158 *110:10 7.06262e-05 +134 *32:163 *434:A 2.16355e-05 +135 *32:163 *453:CLK_N 1.17054e-05 +136 *32:163 *459:CLK 0.000126335 +137 *32:174 *454:SET_B 1.37421e-05 +138 *32:174 *460:D 8.36907e-05 +139 *32:176 *460:D 6.74389e-05 +140 *32:185 *462:D 3.00829e-05 +141 *32:185 *137:24 0.000112367 +142 *32:185 *137:36 0.000172748 +143 *32:221 *448:CLK_N 9.97764e-05 +144 *32:228 *458:D 0.00015661 +145 *32:228 *160:8 0.00013832 +146 *32:236 *373:A 0.000211635 +147 *32:236 *477:A 0.000198334 +148 *32:236 *136:13 0.000409968 +149 *32:273 *445:RESET_B 2.5799e-05 +150 *32:273 *449:SET_B 0 +151 *32:273 *104:8 0.00023496 +152 *32:273 *145:65 0.000113237 +153 *32:292 *393:A 0.000201938 +154 *32:292 *413:A 7.92757e-06 +155 *32:292 *445:SET_B 6.27718e-05 +156 *32:292 *449:SET_B 6.27718e-05 +157 *32:292 *91:25 4.00653e-05 +158 pad_gpio_holdover *32:93 0.000180318 +159 pad_gpio_outenb *32:7 0 +160 pad_gpio_outenb *32:14 0.000780455 +161 pad_gpio_vtrip_sel *32:7 0.000715613 +162 *218:DIODE *464:RESET_B 0.000157107 +163 *218:DIODE *32:14 0 +164 *218:DIODE *32:86 0.000151708 +165 *224:DIODE *32:20 0 +166 *230:DIODE *457:RESET_B 0.000163478 +167 *230:DIODE *32:228 0.000114659 +168 *247:DIODE *245:DIODE 2.79596e-05 +169 *247:DIODE *32:39 3.25052e-05 +170 *392:B_N *206:DIODE 4.87439e-05 +171 *397:B_N *206:DIODE 2.16355e-05 +172 *1:21 *206:DIODE 3.99086e-06 +173 *3:27 *32:20 7.66829e-05 +174 *5:12 *32:93 5.33198e-05 +175 *5:12 *32:221 0.000203319 +176 *5:12 *32:236 0.000231941 +177 *5:14 *32:93 8.71622e-05 +178 *6:11 *206:DIODE 0.000165511 +179 *6:11 *32:236 1.37421e-05 +180 *7:10 *206:DIODE 1.59362e-06 +181 *9:13 *32:158 0.000641017 +182 *9:17 *461:RESET_B 0.000177417 +183 *9:17 *32:158 9.89388e-06 +184 *9:17 *32:163 0.000117754 +185 *11:19 *32:93 0.000220952 +186 *27:10 *32:14 0.000259665 +187 *27:10 *32:62 7.94529e-05 +188 *27:10 *32:68 0.000146741 +189 *27:10 *32:273 0.000125844 *RES -1 resetn *32:11 42.7471 -2 *32:11 *32:15 3.52053 -3 *32:15 *461:RESET_B 4.69002 -4 *461:RESET_B *32:29 15.3049 -5 *32:29 *32:30 0.378612 -6 *32:30 *32:32 3.28538 -7 *32:32 *32:41 24.5068 -8 *32:41 *453:RESET_B 0.639 -9 *32:41 *32:50 11.315 -10 *32:50 *32:52 9.30653 -11 *32:52 *32:57 13.8416 -12 *32:57 *204:DIODE 9.24915 -13 *32:57 *458:RESET_B 22.7039 -14 *32:52 *366:A 15.0271 -15 *32:50 *455:RESET_B 5.6936 -16 *455:RESET_B *32:95 13.8943 -17 *32:95 *32:97 1.20912 -18 *32:97 *32:99 1.832 -19 *32:99 *32:101 3.493 -20 *32:101 *456:RESET_B 8.21955 -21 *32:101 *237:DIODE 15.0271 -22 *32:99 *32:120 5.2234 -23 *32:120 *236:DIODE 10.5271 -24 *32:120 *235:DIODE 9.24915 -25 *32:97 *234:DIODE 15.5817 -26 *32:95 *32:139 3.28538 -27 *32:139 *454:RESET_B 4.5 -28 *32:139 *452:RESET_B 17.9591 -29 *32:32 *32:153 8.1707 -30 *32:153 *32:157 26.6794 -31 *32:157 *32:163 14.8355 -32 *32:163 *32:167 8.82351 -33 *32:167 *32:168 1.8326 -34 *32:168 *32:170 1.278 -35 *32:170 *457:RESET_B 3.96662 -36 *32:170 *238:DIODE 9.24915 -37 *32:168 *239:DIODE 9.24915 -38 *32:167 *245:DIODE 9.24915 -39 *32:167 *240:DIODE 9.97254 -40 *32:163 *459:RESET_B 5.139 -41 *32:157 *32:207 4.24392 -42 *32:207 *32:215 21.6933 -43 *32:215 *460:RESET_B 0.639 -44 *32:215 *241:DIODE 12.7456 -45 *32:207 *464:RESET_B 1.1936 -46 *32:153 *463:RESET_B 4.5 -47 *32:30 *371:A 13.7491 -48 *32:29 *32:246 8.20063 -49 *32:246 *206:DIODE 12.191 -50 *32:246 *32:261 25.7359 -51 *32:261 *32:263 0.578717 -52 *32:263 *233:DIODE 30.0008 -53 *32:263 *246:DIODE 9.24915 -54 *32:261 *32:283 1.278 -55 *32:283 *244:DIODE 9.24915 -56 *32:283 *32:289 1.278 -57 *32:289 *243:DIODE 9.24915 -58 *32:289 *242:DIODE 22.7765 -59 *32:15 *465:A 9.24915 -60 *32:11 *462:RESET_B 13.487 +1 resetn *32:7 7.79191 +2 *32:7 *32:14 17.5435 +3 *32:14 *32:20 23.6449 +4 *32:20 *32:22 6.81502 +5 *32:22 *463:RESET_B 5.2328 +6 *32:22 *32:33 11.4894 +7 *32:33 *240:DIODE 9.24915 +8 *32:33 *32:39 2.94181 +9 *32:39 *241:DIODE 9.24915 +10 *32:39 *245:DIODE 10.5271 +11 *32:20 *467:RESET_B 4.5 +12 *32:14 *466:RESET_B 7.91202 +13 *32:7 *32:62 1.62437 +14 *32:62 *465:RESET_B 4.5 +15 *32:62 *32:68 3.07775 +16 *32:68 *32:71 7.44181 +17 *32:71 *464:RESET_B 4.52122 +18 *464:RESET_B *32:86 18.6099 +19 *32:86 *32:93 28.2145 +20 *32:93 *456:RESET_B 0.639 +21 *32:93 *32:101 0.578717 +22 *32:101 *32:108 16.9631 +23 *32:108 *458:RESET_B 0.639 +24 *32:108 *32:115 0.578717 +25 *32:115 *32:117 9.59705 +26 *32:117 *234:DIODE 9.97254 +27 *32:117 *32:126 5.91674 +28 *32:126 *32:128 3.493 +29 *32:128 *459:RESET_B 9.18941 +30 *32:128 *237:DIODE 13.7491 +31 *32:126 *32:144 4.5 +32 *32:144 *236:DIODE 9.97254 +33 *32:144 *235:DIODE 9.24915 +34 *32:115 *32:158 20.0704 +35 *32:158 *32:163 8.02525 +36 *32:163 *461:RESET_B 23.1009 +37 *461:RESET_B *32:174 6.332 +38 *32:174 *32:176 1.41674 +39 *32:176 *460:RESET_B 8.40826 +40 *32:176 *32:185 14.0971 +41 *32:185 *462:RESET_B 0.494321 +42 *32:185 *238:DIODE 22.329 +43 *32:174 *239:DIODE 13.7491 +44 *32:163 *204:DIODE 9.24915 +45 *32:158 *369:A 13.7491 +46 *32:101 *32:221 10.2389 +47 *32:221 *457:RESET_B 7.57775 +48 *32:221 *32:228 8.89128 +49 *32:228 *32:236 29.7643 +50 *32:236 *233:DIODE 9.24915 +51 *32:236 *206:DIODE 43.1547 +52 *32:228 *455:RESET_B 6.332 +53 *32:86 *374:A 9.24915 +54 *32:71 *468:A 9.24915 +55 *32:68 *32:273 18.4476 +56 *32:273 *243:DIODE 14.0718 +57 *32:273 *242:DIODE 9.24915 +58 *32:273 *32:292 10.8509 +59 *32:292 *246:DIODE 10.5271 +60 *32:292 *244:DIODE 9.24915 *END -*D_NET *33 0.00380327 +*D_NET *33 0.00326557 *CONN *P resetn_out O -*I *465:X O *D sky130_fd_sc_hd__buf_2 +*I *468:X O *D sky130_fd_sc_hd__buf_2 *CAP 1 resetn_out 6.25355e-05 -2 *465:X 0.00117556 -3 *33:8 0.00123809 +2 *468:X 0.00129868 +3 *33:8 0.00136122 4 resetn_out *34:10 3.84497e-05 -5 *33:8 *365:A 0.000254532 -6 *33:8 *34:10 6.7566e-05 -7 *33:8 *36:17 0.000170668 -8 *32:11 resetn_out 5.27207e-05 -9 *32:11 *33:8 0.000743145 +5 *33:8 serial_data_out 0.000176426 +6 *33:8 *507:A 4.89392e-05 +7 *33:8 *34:10 0.000161275 +8 *32:7 resetn_out 5.27207e-05 +9 *32:71 *33:8 6.53173e-05 *RES -1 *465:X *33:8 46.7395 +1 *468:X *33:8 48.4005 2 *33:8 resetn_out 3.66144 *END -*D_NET *34 0.0118751 +*D_NET *34 0.0114189 *CONN *P serial_clock I -*I *471:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *474:A I *D sky130_fd_sc_hd__clkbuf_16 *I *248:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 serial_clock 0.000775993 -2 *471:A 0 +1 serial_clock 0.000720521 +2 *474:A 0 3 *248:DIODE 0 -4 *34:23 0.00108481 -5 *34:13 0.0021063 -6 *34:10 0.00179748 +4 *34:31 0.00133328 +5 *34:21 0.00267212 +6 *34:10 0.00205936 7 *34:10 serial_clock_out 3.84497e-05 -8 *34:10 user_gpio_in 0 -9 *34:10 *36:17 3.11933e-06 -10 *34:13 *40:5 0.00118168 -11 *34:23 *456:CLK 0.000124034 -12 *34:23 *456:D 2.16355e-05 -13 *34:23 *484:A 8.61608e-05 -14 *34:23 *486:A 0.000422012 -15 *34:23 *489:A 6.08467e-05 -16 *34:23 *40:5 0.00104356 -17 *34:23 *143:17 0.000350971 -18 *34:23 *191:7 0.000184425 -19 pad_gpio_holdover *34:23 0.000760584 -20 pad_gpio_ib_mode_sel *34:13 0.000500188 -21 resetn_out *34:10 3.84497e-05 -22 *201:DIODE *34:13 0.000298986 -23 *237:DIODE *34:23 4.89251e-05 -24 *360:A1 *34:13 0.000157107 -25 *422:B_N *34:13 0.000252179 -26 *456:RESET_B *34:23 0.000203999 -27 *26:15 *34:10 6.75696e-05 -28 *28:8 *34:10 9.3612e-05 -29 *32:11 *34:10 0.000104444 -30 *33:8 *34:10 6.7566e-05 +8 *34:10 serial_data_out 5.36602e-05 +9 *34:10 user_gpio_in 0 +10 *34:21 *363:A2 0.000257651 +11 *34:21 *365:B1 1.37531e-05 +12 *34:21 *368:A 1.98565e-05 +13 *34:21 *426:A 3.00174e-06 +14 *34:21 *40:10 0.000221612 +15 *34:21 *40:17 4.28099e-05 +16 *34:31 *405:A 0.000192163 +17 *34:31 *487:TE 0.000163806 +18 *34:31 *40:10 0.00011744 +19 *34:31 *49:13 0.000948751 +20 *34:31 *133:7 0.000209388 +21 pad_gpio_dm[1] *34:21 0 +22 pad_gpio_ib_mode_sel *34:21 8.23577e-05 +23 pad_gpio_slow_sel *34:10 9.98684e-05 +24 resetn_out *34:10 3.84497e-05 +25 *363:A1 *34:21 0.000305874 +26 *404:B_N *34:31 0.000358177 +27 *425:B_N *34:21 6.27718e-05 +28 *425:B_N *34:31 0.000596431 +29 *459:RESET_B *34:31 0.00011462 +30 *26:10 *34:21 0.000328408 +31 *26:18 *34:21 0.000109427 +32 *28:8 *34:10 9.3612e-05 +33 *33:8 *34:10 0.000161275 *RES -1 serial_clock *34:10 29.2262 -2 *34:10 *34:13 36.2421 -3 *34:13 *34:23 42.0052 -4 *34:23 *248:DIODE 9.24915 -5 *34:13 *471:A 9.24915 +1 serial_clock *34:10 28.3957 +2 *34:10 *34:21 46.9965 +3 *34:21 *34:31 41.5953 +4 *34:31 *248:DIODE 9.24915 +5 *34:21 *474:A 9.24915 *END -*D_NET *35 0.00444539 +*D_NET *35 0.00616355 *CONN *P serial_clock_out O -*I *466:X O *D sky130_fd_sc_hd__buf_2 +*I *469:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 serial_clock_out 0.00147873 -2 *466:X 4.05453e-05 -3 *35:8 0.00151928 -4 serial_clock_out serial_data_out 0.00061435 -5 serial_clock_out user_gpio_in 0 -6 serial_clock_out *36:17 9.587e-05 -7 serial_clock_out *39:7 0.000430856 -8 serial_clock_out *169:17 9.75148e-06 -9 serial_clock_out *171:15 0.000114584 -10 *35:8 *441:RESET_B 7.60183e-05 -11 *35:8 *171:15 2.69587e-05 +1 serial_clock_out 0.00035355 +2 *469:X 0.000988331 +3 *35:7 0.00134188 +4 serial_clock_out serial_data_out 9.89066e-05 +5 serial_clock_out serial_load_out 2.69795e-05 +6 serial_clock_out user_gpio_in 0 +7 serial_clock_out *36:11 4.31122e-05 +8 serial_clock_out *41:11 1.56202e-05 +9 *35:7 *38:5 0.000282402 +10 *35:7 *39:9 0.00297432 +11 *35:7 *42:11 0 12 *34:10 serial_clock_out 3.84497e-05 *RES -1 *466:X *35:8 19.6659 -2 *35:8 serial_clock_out 45.3671 +1 *469:X *35:7 46.0849 +2 *35:7 serial_clock_out 12.5862 *END -*D_NET *36 0.0123552 +*D_NET *36 0.0112681 *CONN *P serial_data_in I -*I *452:D I *D sky130_fd_sc_hd__dfrtp_2 *I *232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *455:D I *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 serial_data_in 0.00134213 -2 *452:D 0 -3 *232:DIODE 0.000688927 -4 *36:37 0.0009011 -5 *36:33 0.0024456 -6 *36:17 0.00357556 -7 *232:DIODE *504:A 0.000126335 -8 *232:DIODE *185:7 0.000203756 -9 *36:17 serial_data_out 7.85684e-05 -10 *36:17 user_gpio_in 0.00047883 -11 *36:17 *365:A 0.000206557 -12 *36:17 *186:7 0.000211319 -13 *36:33 *381:A 0.000104013 -14 *36:33 *443:RESET_B 1.78942e-05 -15 *36:33 *76:7 3.59651e-05 -16 *36:33 *78:19 0.000300889 -17 *36:33 *85:8 0.000250405 -18 *36:33 *113:8 0.000110458 -19 *36:33 *170:11 4.87301e-05 -20 *36:37 *452:CLK 2.16355e-05 -21 serial_clock_out *36:17 9.587e-05 -22 *216:DIODE *232:DIODE 3.89804e-05 -23 *218:DIODE *36:33 0.000119469 -24 *2:19 *36:33 9.11892e-05 -25 *3:7 *36:33 0.000334167 -26 *5:12 *232:DIODE 0.000198319 -27 *32:11 *36:17 0.000154739 -28 *33:8 *36:17 0.000170668 -29 *34:10 *36:17 3.11933e-06 +1 serial_data_in 0.0040721 +2 *232:DIODE 0.000528699 +3 *455:D 9.12046e-05 +4 *36:11 0.004692 +5 *232:DIODE *373:A 0.000209636 +6 *232:DIODE *377:A 1.07549e-05 +7 *232:DIODE *442:SET_B 3.37843e-05 +8 *36:11 serial_data_out 6.33133e-05 +9 *36:11 *249:DIODE 2.73764e-05 +10 *36:11 *38:5 0.000280737 +11 *36:11 *103:28 1.60059e-05 +12 serial_clock_out *36:11 4.31122e-05 +13 *206:DIODE *232:DIODE 2.69338e-05 +14 *376:B_N *232:DIODE 0.000316135 +15 *1:21 *232:DIODE 3.31745e-05 +16 *1:33 *232:DIODE 2.16355e-05 +17 *6:11 *232:DIODE 0.000307799 +18 *6:28 *455:D 5.21825e-05 +19 *8:7 *232:DIODE 8.65953e-06 +20 *8:7 *455:D 2.0456e-06 +21 *30:7 *36:11 0.000430788 +22 *32:86 *36:11 0 *RES -1 serial_data_in *36:17 48.2864 -2 *36:17 *36:33 49.954 -3 *36:33 *36:37 3.41202 -4 *36:37 *232:DIODE 32.747 -5 *36:37 *452:D 9.24915 +1 serial_data_in *36:11 12.9766 +2 *36:11 *455:D 16.204 +3 *36:11 *232:DIODE 28.406 *END -*D_NET *37 0.00456404 +*D_NET *37 0.00413983 *CONN *P serial_data_out O -*I *365:X O *D sky130_fd_sc_hd__buf_1 +*I *368:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 serial_data_out 0.00110979 -2 *365:X 0.00110979 -3 serial_data_out *494:A 0.000312945 -4 serial_data_out *496:A 0.00011477 -5 serial_data_out *38:5 0.000734513 -6 serial_data_out *39:7 0.000235021 -7 pad_gpio_vtrip_sel serial_data_out 0.000254295 -8 serial_clock_out serial_data_out 0.00061435 -9 *36:17 serial_data_out 7.85684e-05 +1 serial_data_out 0.00026898 +2 *368:X 0.000954981 +3 *37:7 0.00122396 +4 serial_data_out user_gpio_in 5.5686e-05 +5 serial_data_out *38:5 6.33133e-05 +6 pad_gpio_slow_sel serial_data_out 0.000615803 +7 serial_clock_out serial_data_out 9.89066e-05 +8 *32:7 *37:7 0.000564805 +9 *33:8 serial_data_out 0.000176426 +10 *34:10 serial_data_out 5.36602e-05 +11 *36:11 serial_data_out 6.33133e-05 *RES -1 *365:X serial_data_out 31.2738 +1 *368:X *37:7 38.8751 +2 *37:7 serial_data_out 15.7436 *END -*D_NET *38 0.00854537 +*D_NET *38 0.00903271 *CONN *P serial_load I -*I *472:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *475:A I *D sky130_fd_sc_hd__clkbuf_16 *I *249:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 serial_load 0.00146086 -2 *472:A 0.000906667 -3 *249:DIODE 0.000688379 -4 *38:5 0.0030559 -5 *249:DIODE *387:A 4.2664e-06 -6 *249:DIODE *148:16 4.21322e-05 -7 *472:A *355:A_N 7.22685e-05 -8 *472:A *362:A1 4.36876e-05 -9 *472:A *365:A 7.97691e-06 -10 *472:A *446:SET_B 0.000294013 -11 *472:A *463:CLK 0 -12 *472:A *466:A 0 -13 *472:A *508:A 4.75721e-06 -14 *472:A *89:49 3.06627e-05 -15 *472:A *145:14 0.000813221 -16 *472:A *145:27 2.53321e-05 -17 *472:A *196:8 4.59923e-05 -18 *38:5 serial_load_out 3.84497e-05 -19 *38:5 user_gpio_in 0 -20 pad_gpio_outenb *472:A 7.09961e-05 -21 serial_data_out *38:5 0.000734513 -22 *220:DIODE *472:A 0.000142396 -23 *233:DIODE *249:DIODE 2.1172e-05 -24 *32:261 *249:DIODE 4.17264e-05 +1 serial_load 0.00164535 +2 *475:A 0.000887368 +3 *249:DIODE 0.000548048 +4 *38:5 0.00308077 +5 *249:DIODE *393:A 0.000128309 +6 *249:DIODE *148:13 4.96323e-05 +7 *475:A *359:B1 0 +8 *475:A *412:A 0.000194341 +9 *475:A *413:A 0.000122775 +10 *475:A *444:SET_B 0 +11 *475:A *445:D 0.000138523 +12 *475:A *445:SET_B 0.000342049 +13 *475:A *497:A 0.000259137 +14 *475:A *64:17 1.71187e-05 +15 *475:A *85:13 0 +16 *475:A *89:49 5.03545e-06 +17 *475:A *91:25 0 +18 *475:A *103:28 2.15555e-05 +19 *475:A *113:76 9.49838e-05 +20 *38:5 serial_load_out 3.74656e-05 +21 *38:5 user_gpio_in 0 +22 *38:5 *39:9 0.000370189 +23 *38:5 *41:11 0.000308849 +24 serial_data_out *38:5 6.33133e-05 +25 *218:DIODE *475:A 0.000127384 +26 *32:14 *475:A 0 +27 *35:7 *38:5 0.000282402 +28 *36:11 *249:DIODE 2.73764e-05 +29 *36:11 *38:5 0.000280737 *RES 1 serial_load *38:5 5.11154 -2 *38:5 *249:DIODE 20.5406 -3 *38:5 *472:A 43.6574 +2 *38:5 *249:DIODE 20.3876 +3 *38:5 *475:A 43.6574 *END -*D_NET *39 0.00367224 +*D_NET *39 0.00610144 *CONN *P serial_load_out O -*I *467:X O *D sky130_fd_sc_hd__buf_2 +*I *470:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 serial_load_out 0.000186769 -2 *467:X 0.00120089 -3 *39:7 0.00138766 +1 serial_load_out 0.000105485 +2 *470:X 0.000464391 +3 *39:9 0.000569876 4 serial_load_out user_gpio_in 3.84497e-05 -5 *39:7 *441:RESET_B 0.000154145 -6 serial_clock_out *39:7 0.000430856 -7 serial_data_out *39:7 0.000235021 -8 *38:5 serial_load_out 3.84497e-05 +5 serial_load_out *41:11 0.000142702 +6 *39:9 *358:B 9.92736e-05 +7 *39:9 *390:A 0 +8 *39:9 *469:A 0.000107496 +9 *39:9 *41:11 0.00110084 +10 *39:9 *103:28 6.39751e-05 +11 serial_clock_out serial_load_out 2.69795e-05 +12 *35:7 *39:9 0.00297432 +13 *38:5 serial_load_out 3.74656e-05 +14 *38:5 *39:9 0.000370189 *RES -1 *467:X *39:7 48.3034 -2 *39:7 serial_load_out 7.28558 +1 *470:X *39:9 48.3275 +2 *39:9 serial_load_out 6.03983 *END -*D_NET *40 0.00988127 +*D_NET *40 0.00962163 *CONN *P user_gpio_in O -*I *484:Z O *D sky130_fd_sc_hd__einvp_8 +*I *487:Z O *D sky130_fd_sc_hd__einvp_8 *CAP -1 user_gpio_in 0.001359 -2 *484:Z 0 -3 *40:5 0.00236603 -4 *40:4 0.00100703 -5 user_gpio_in *41:11 3.84497e-05 -6 user_gpio_in *42:8 0 -7 *40:5 *360:A2 0.000256643 -8 *40:5 *362:B1 3.73237e-05 -9 *40:5 *484:A 0.00135217 -10 pad_gpio_ib_mode_sel *40:5 0.000209822 -11 serial_clock_out user_gpio_in 0 -12 serial_load_out user_gpio_in 3.84497e-05 -13 *360:A1 *40:5 0.000157107 -14 *422:B_N *40:5 0.000250254 -15 *26:15 user_gpio_in 4.89469e-06 -16 *28:8 user_gpio_in 3.16582e-05 -17 *32:11 user_gpio_in 6.83646e-05 -18 *34:10 user_gpio_in 0 -19 *34:13 *40:5 0.00118168 -20 *34:23 *40:5 0.00104356 -21 *36:17 user_gpio_in 0.00047883 -22 *38:5 user_gpio_in 0 +1 user_gpio_in 0.00114798 +2 *487:Z 0.000614162 +3 *40:17 0.00212362 +4 *40:10 0.0015898 +5 user_gpio_in *41:11 0.000145228 +6 *40:10 *364:A3 9.75548e-05 +7 *40:10 *365:B1 0.000152857 +8 *40:10 *368:A 8.33721e-06 +9 *40:10 *425:A 4.66492e-05 +10 *40:10 *85:17 0.00041238 +11 *40:10 *105:8 8.03393e-06 +12 *40:10 *133:7 0.000162739 +13 *40:17 *418:A 0.000304301 +14 pad_gpio_inenb *40:17 0.00021387 +15 pad_gpio_slow_sel user_gpio_in 0.000950399 +16 serial_clock_out user_gpio_in 0 +17 serial_data_out user_gpio_in 5.5686e-05 +18 serial_load_out user_gpio_in 3.84497e-05 +19 *222:DIODE *40:17 0.000403479 +20 *364:A1 *40:17 0.00010886 +21 *425:B_N *40:10 0.000623727 +22 *28:8 user_gpio_in 3.16582e-05 +23 *28:8 *40:17 0 +24 *34:10 user_gpio_in 0 +25 *34:21 *40:10 0.000221612 +26 *34:21 *40:17 4.28099e-05 +27 *34:31 *40:10 0.00011744 +28 *38:5 user_gpio_in 0 *RES -1 *484:Z *40:4 9.24915 -2 *40:4 *40:5 45.6463 -3 *40:5 user_gpio_in 43.6507 +1 *487:Z *40:10 37.3033 +2 *40:10 *40:17 34.2557 +3 *40:17 user_gpio_in 37.0745 *END -*D_NET *41 0.00269995 +*D_NET *41 0.00403946 *CONN *P user_gpio_oeb I *I *198:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *355:B I *D sky130_fd_sc_hd__and2b_2 +*I *358:B I *D sky130_fd_sc_hd__and2b_2 *CAP -1 user_gpio_oeb 0.00117949 +1 user_gpio_oeb 0.000894528 2 *198:DIODE 0 -3 *355:B 8.45094e-05 -4 *41:11 0.001264 -5 *355:B *357:A 9.50523e-05 -6 *41:11 *42:8 3.84497e-05 -7 user_gpio_in *41:11 3.84497e-05 +3 *358:B 7.66386e-05 +4 *41:11 0.000971167 +5 *358:B *103:28 3.00829e-05 +6 *41:11 *42:11 0.000254532 +7 serial_clock_out *41:11 1.56202e-05 +8 serial_load_out *41:11 0.000142702 +9 user_gpio_in *41:11 0.000145228 +10 *30:7 *41:11 0 +11 *38:5 *41:11 0.000308849 +12 *39:9 *358:B 9.92736e-05 +13 *39:9 *41:11 0.00110084 *RES -1 user_gpio_oeb *41:11 38.436 -2 *41:11 *355:B 11.6364 +1 user_gpio_oeb *41:11 42.1104 +2 *41:11 *358:B 12.2151 3 *41:11 *198:DIODE 9.24915 *END -*D_NET *42 0.00343998 +*D_NET *42 0.00324806 *CONN *P user_gpio_out I -*I *357:A I *D sky130_fd_sc_hd__inv_2 *I *200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *360:A I *D sky130_fd_sc_hd__inv_2 *CAP -1 user_gpio_out 0.00129832 -2 *357:A 0.000195859 -3 *200:DIODE 9.0613e-05 -4 *42:8 0.00158479 -5 *200:DIODE *103:9 1.95129e-05 -6 *357:A *355:A_N 0.000117376 -7 user_gpio_in *42:8 0 -8 *355:B *357:A 9.50523e-05 -9 *41:11 *42:8 3.84497e-05 +1 user_gpio_out 0.00135285 +2 *200:DIODE 0 +3 *360:A 8.15395e-05 +4 *42:11 0.00143439 +5 *243:DIODE *360:A 0.000114271 +6 *243:DIODE *42:11 1.04731e-05 +7 *35:7 *42:11 0 +8 *41:11 *42:11 0.000254532 *RES -1 user_gpio_out *42:8 14.6179 -2 *42:8 *200:DIODE 15.9964 -3 *42:8 *357:A 19.464 +1 user_gpio_out *42:11 41.6215 +2 *42:11 *360:A 11.9257 +3 *42:11 *200:DIODE 9.24915 *END -*D_NET *47 0.00354908 +*D_NET *47 0.00367342 *CONN *P zero O -*I *481:LO O *D sky130_fd_sc_hd__conb_1 +*I *484:LO O *D sky130_fd_sc_hd__conb_1 *CAP -1 zero 0.00113564 -2 *481:LO 0.00113564 -3 mgmt_gpio_in zero 0.00127779 +1 zero 0.00106652 +2 *484:LO 0.00106652 +3 mgmt_gpio_in zero 0.00128761 +4 *15:11 zero 0.000252764 *RES -1 *481:LO zero 32.9348 +1 *484:LO zero 32.9348 *END -*D_NET *48 0.00123184 +*D_NET *48 0.00123528 *CONN -*I *361:A2 I *D sky130_fd_sc_hd__o31ai_2 -*I *446:Q_N O *D sky130_fd_sc_hd__dfbbn_2 +*I *364:A2 I *D sky130_fd_sc_hd__o31ai_2 +*I *449:Q_N O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *361:A2 0.000292979 -2 *446:Q_N 0.000292979 -3 *361:A2 *361:A3 9.89388e-06 -4 *361:A2 *415:A 2.57847e-05 -5 *361:A2 *463:D 6.27098e-05 -6 *361:A2 *91:14 8.31163e-05 -7 *220:DIODE *361:A2 0.000158451 -8 *222:DIODE *361:A2 2.16355e-05 -9 *15:30 *361:A2 0.000284286 +1 *364:A2 0.00029978 +2 *449:Q_N 0.00029978 +3 *364:A2 *356:B_N 0.000242903 +4 *364:A2 *364:A3 9.89388e-06 +5 *364:A2 *365:B1 3.41166e-05 +6 *364:A2 *85:13 1.4091e-06 +7 pad_gpio_dm[0] *364:A2 0.000283749 +8 *222:DIODE *364:A2 6.36477e-05 *RES -1 *446:Q_N *361:A2 35.6236 +1 *449:Q_N *364:A2 35.6236 *END -*D_NET *49 0.00516321 +*D_NET *49 0.00442435 *CONN -*I *484:A I *D sky130_fd_sc_hd__einvp_8 -*I *363:Y O *D sky130_fd_sc_hd__inv_2 +*I *487:A I *D sky130_fd_sc_hd__einvp_8 +*I *366:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *484:A 0.000609915 -2 *363:Y 0.000473394 -3 *49:10 0.00108331 -4 *484:A *422:A 0.000497858 -5 *49:10 *435:A 0.000357929 -6 *49:10 *446:RESET_B 0.000150061 -7 *49:10 *497:A 5.03545e-06 -8 *49:10 *91:14 7.4922e-05 -9 *49:10 *109:14 0.000101835 -10 *15:8 *49:10 0 -11 *26:17 *49:10 0.000205101 -12 *26:21 *49:10 0.000165521 -13 *34:23 *484:A 8.61608e-05 -14 *40:5 *484:A 0.00135217 +1 *487:A 0 +2 *366:Y 0.0014511 +3 *49:13 0.0014511 +4 *49:13 *420:A 0 +5 *49:13 *423:A 2.3248e-05 +6 *49:13 *505:A 5.7438e-05 +7 *49:13 *506:A 4.24629e-05 +8 *32:20 *49:13 0.000450245 +9 *34:31 *49:13 0.000948751 *RES -1 *363:Y *49:10 32.8525 -2 *49:10 *484:A 28.1539 +1 *366:Y *49:13 48.7164 +2 *49:13 *487:A 9.24915 *END -*D_NET *50 0.000680523 -*CONN -*I *439:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *368:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *439:RESET_B 0.000216328 -2 *368:X 0.000216328 -3 *439:RESET_B *367:A 2.41274e-06 -4 *6:11 *439:RESET_B 7.98171e-06 -5 *6:15 *439:RESET_B 0.000114495 -6 *9:15 *439:RESET_B 0.000122978 -*RES -1 *368:X *439:RESET_B 32.3015 -*END - -*D_NET *51 0.00043235 -*CONN -*I *439:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *374:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *439:SET_B 0.000144944 -2 *374:X 0.000144944 -3 *439:SET_B *374:A 2.78772e-05 -4 *205:DIODE *439:SET_B 4.81452e-05 -5 *1:34 *439:SET_B 6.64392e-05 -*RES -1 *374:X *439:SET_B 21.3591 -*END - -*D_NET *52 0.0010899 -*CONN -*I *440:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *376:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *440:RESET_B 0.000208167 -2 *376:X 0.000208167 -3 *440:RESET_B *367:A 0.000166836 -4 *440:RESET_B *440:SET_B 0.000110306 -5 *440:RESET_B *443:CLK_N 7.60183e-05 -6 *440:RESET_B *110:39 0.000141677 -7 *9:15 *440:RESET_B 2.88833e-05 -8 *9:17 *440:RESET_B 0.000149842 -*RES -1 *376:X *440:RESET_B 34.9002 -*END - -*D_NET *53 0.000541607 -*CONN -*I *440:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *379:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *440:SET_B 0.000122053 -2 *379:X 0.000122053 -3 *440:RESET_B *440:SET_B 0.000110306 -4 *9:17 *440:SET_B 9.35979e-05 -5 *10:9 *440:SET_B 9.35979e-05 -*RES -1 *379:X *440:SET_B 21.8293 -*END - -*D_NET *54 0.00213382 -*CONN -*I *441:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *382:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *441:RESET_B 0.000383586 -2 *382:X 0.000383586 -3 *441:RESET_B *387:A 2.57365e-05 -4 *441:RESET_B *466:A 6.03248e-05 -5 *441:RESET_B *467:A 0.000274839 -6 *441:RESET_B *104:15 0.000160001 -7 *441:RESET_B *145:11 0.000357911 -8 *441:RESET_B *169:17 5.04829e-06 -9 *441:RESET_B *171:15 0.000252624 -10 *35:8 *441:RESET_B 7.60183e-05 -11 *39:7 *441:RESET_B 0.000154145 -*RES -1 *382:X *441:RESET_B 41.1135 -*END - -*D_NET *55 0.00142433 -*CONN -*I *441:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *385:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *441:SET_B 0.000322081 -2 *385:X 0.000322081 -3 *441:SET_B *441:D 0.000274493 -4 *441:SET_B *78:19 1.32834e-05 -5 *441:SET_B *113:35 2.58757e-05 -6 *441:SET_B *113:52 1.91246e-05 -7 *441:SET_B *148:16 0.000437501 -8 *441:SET_B *195:12 9.89413e-06 -*RES -1 *385:X *441:SET_B 28.3524 -*END - -*D_NET *56 0.00200387 +*D_NET *50 0.000612386 *CONN *I *442:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *387:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *442:RESET_B 0.000537526 -2 *387:X 0.000537526 -3 *442:RESET_B *355:A_N 0.000108688 -4 *442:RESET_B *442:SET_B 0.000355982 -5 *442:RESET_B *466:A 0.000159894 -6 *442:RESET_B *145:14 0.000254295 -7 *27:12 *442:RESET_B 4.99573e-05 -*RES -1 *387:X *442:RESET_B 41.3544 -*END - -*D_NET *57 0.00187036 -*CONN -*I *442:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *390:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *442:SET_B 0.000424647 -2 *390:X 0.000424647 -3 *442:SET_B *355:A_N 2.18698e-05 -4 *442:SET_B *104:15 0.000469036 -5 *442:SET_B *139:44 0.00016195 -6 *442:SET_B *145:14 1.22289e-05 -7 *442:RESET_B *442:SET_B 0.000355982 -*RES -1 *390:X *442:SET_B 30.634 -*END - -*D_NET *58 0.00099518 -*CONN -*I *443:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *392:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *443:RESET_B 0.000378192 -2 *392:X 0.000378192 -3 *443:RESET_B *381:A 6.36477e-05 -4 *389:B_N *443:RESET_B 4.57412e-05 -5 *3:7 *443:RESET_B 8.62381e-05 -6 *12:22 *443:RESET_B 2.52743e-05 -7 *36:33 *443:RESET_B 1.78942e-05 -*RES -1 *392:X *443:RESET_B 37.113 -*END - -*D_NET *59 0.00129868 -*CONN -*I *443:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *395:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *443:SET_B 0.000254103 -2 *395:X 0.000254103 -3 *443:SET_B *114:8 6.20077e-05 -4 *443:SET_B *139:44 0.000544377 -5 *394:B_N *443:SET_B 0.000184089 -*RES -1 *395:X *443:SET_B 28.3862 -*END - -*D_NET *60 0.00146036 -*CONN -*I *444:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *397:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *444:RESET_B 0.000400953 -2 *397:X 0.000400953 -3 *444:RESET_B *397:A 0.00014051 -4 *444:RESET_B *477:A 0.000320071 -5 *217:DIODE *444:RESET_B 5.35264e-05 -6 *3:7 *444:RESET_B 0.000141342 -7 *3:9 *444:RESET_B 3.00174e-06 -*RES -1 *397:X *444:RESET_B 39.921 -*END - -*D_NET *61 0.00110159 -*CONN -*I *444:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *402:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *444:SET_B 0.000302914 -2 *402:X 0.000302914 -3 *444:SET_B *398:A 0.000215465 -4 *444:SET_B *402:A 0.000217529 -5 *444:SET_B *469:A 6.27718e-05 -*RES -1 *402:X *444:SET_B 28.0301 -*END - -*D_NET *62 0.00450921 -*CONN -*I *445:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *404:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *445:RESET_B 0.00140278 -2 *404:X 0.00140278 -3 *445:RESET_B *404:A 0.000129107 -4 *445:RESET_B *409:A 0.000221439 -5 *445:RESET_B *446:SET_B 1.68253e-05 -6 *445:RESET_B *463:D 1.91246e-05 -7 *445:RESET_B *477:A 1.91246e-05 -8 *445:RESET_B *113:52 0 -9 *445:RESET_B *145:27 0.000271103 -10 *445:RESET_B *169:17 0.000711199 -11 pad_gpio_outenb *445:RESET_B 1.78819e-05 -12 pad_gpio_slow_sel *445:RESET_B 0.000137566 -13 *220:DIODE *445:RESET_B 2.11857e-05 -14 *4:19 *445:RESET_B 0.000123381 -15 *15:30 *445:RESET_B 1.5714e-05 -*RES -1 *404:X *445:RESET_B 39.995 -*END - -*D_NET *63 0.00317782 -*CONN -*I *445:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *407:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *445:SET_B 0.000824962 -2 *407:X 0.000824962 -3 *445:SET_B *397:A 0.000257801 -4 *445:SET_B *433:A 0.000111802 -5 *445:SET_B *475:A 4.61454e-05 -6 *445:SET_B *476:A 0.000336507 -7 *445:SET_B *480:A 0.000387951 -8 *445:SET_B *89:17 0.000113096 -9 *445:SET_B *98:20 1.41307e-05 -10 *445:SET_B *144:11 5.92184e-05 -11 *223:DIODE *445:SET_B 7.74044e-06 -12 *3:7 *445:SET_B 0.00010316 -13 *3:9 *445:SET_B 9.03376e-05 -*RES -1 *407:X *445:SET_B 42.4933 -*END - -*D_NET *64 0.0019153 -*CONN -*I *446:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *410:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *446:RESET_B 0.000411227 -2 *410:X 0.000411227 -3 *446:RESET_B *353:B_N 0.000231274 -4 *446:RESET_B *361:B1 0.000101763 -5 *446:RESET_B *362:B1 6.25333e-05 -6 *446:RESET_B *365:A 7.15827e-05 -7 *446:RESET_B *418:A 9.43222e-06 -8 *446:RESET_B *91:14 3.587e-06 -9 *446:RESET_B *104:15 0.00015737 -10 *446:RESET_B *109:14 0.00030524 -11 *49:10 *446:RESET_B 0.000150061 -*RES -1 *410:X *446:RESET_B 40.8502 -*END - -*D_NET *65 0.0033834 -*CONN -*I *446:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *413:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *446:SET_B 0.000739779 -2 *413:X 0.000739779 -3 *446:SET_B *362:A1 9.39162e-06 -4 *446:SET_B *362:B2 0.000160791 -5 *446:SET_B *365:A 3.3927e-05 -6 *446:SET_B *409:A 2.02035e-05 -7 *446:SET_B *418:A 0.000302804 -8 *446:SET_B *420:A 1.03594e-05 -9 *446:SET_B *423:A 0.000182472 -10 *446:SET_B *89:49 0.000313047 -11 *446:SET_B *90:13 2.1601e-05 -12 *446:SET_B *109:14 3.587e-06 -13 *446:SET_B *145:27 0.000268446 -14 pad_gpio_holdover *446:SET_B 8.24361e-06 -15 pad_gpio_ib_mode_sel *446:SET_B 2.1716e-05 -16 pad_gpio_outenb *446:SET_B 8.55406e-05 -17 *220:DIODE *446:SET_B 1.72594e-05 -18 *422:B_N *446:SET_B 0.000133612 -19 *445:RESET_B *446:SET_B 1.68253e-05 -20 *472:A *446:SET_B 0.000294013 -*RES -1 *413:X *446:SET_B 44.13 -*END - -*D_NET *66 0.00137487 -*CONN -*I *447:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *415:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *447:RESET_B 0.000212471 -2 *415:X 0.000212471 -3 *447:RESET_B *354:A 0.000113309 -4 *447:RESET_B *418:A 0.000113309 -5 *447:RESET_B *420:A 0.000549391 -6 *447:RESET_B *447:SET_B 0.000173919 -*RES -1 *415:X *447:RESET_B 36.5937 -*END - -*D_NET *67 0.000805878 -*CONN -*I *447:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *418:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *447:SET_B 0.000295012 -2 *418:X 0.000295012 -3 *447:SET_B *420:A 4.19341e-05 -4 *447:RESET_B *447:SET_B 0.000173919 -*RES -1 *418:X *447:SET_B 16.5675 -*END - -*D_NET *68 0.00128043 -*CONN -*I *448:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *420:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *448:RESET_B 0.000414209 -2 *420:X 0.000414209 -3 *448:RESET_B *354:A 0.000309065 -4 *448:RESET_B *360:B1 2.60914e-05 -5 pad_gpio_dm[0] *448:RESET_B 9.02151e-05 -6 *23:8 *448:RESET_B 2.66359e-05 -*RES -1 *420:X *448:RESET_B 38.0884 -*END - -*D_NET *69 0.000403322 -*CONN -*I *448:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *423:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *448:SET_B 0.000150112 -2 *423:X 0.000150112 -3 *448:SET_B *109:14 7.72377e-05 -4 *26:21 *448:SET_B 2.58616e-05 -*RES -1 *423:X *448:SET_B 22.0503 -*END - -*D_NET *70 0.000970519 -*CONN -*I *449:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *425:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *449:RESET_B 0.000204487 -2 *425:X 0.000204487 -3 *449:RESET_B *436:A 0.000180908 -4 *449:RESET_B *449:D 1.1957e-05 -5 *449:RESET_B *450:RESET_B 5.51483e-06 -6 *449:RESET_B *464:CLK 0.000113436 -7 *449:RESET_B *98:20 0.000130023 -8 *449:RESET_B *137:20 0.000114659 -9 *226:DIODE *449:RESET_B 5.04829e-06 -*RES -1 *425:X *449:RESET_B 34.52 -*END - -*D_NET *71 0.00103887 -*CONN -*I *449:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *428:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *449:SET_B 0.000314756 -2 *428:X 0.000314756 -3 *449:SET_B *449:D 1.73424e-05 -4 *449:SET_B *451:SET_B 7.26748e-05 -5 *449:SET_B *98:20 1.4426e-05 -6 *449:SET_B *138:11 0.00013832 -7 *247:DIODE *449:SET_B 6.08467e-05 -8 *458:RESET_B *449:SET_B 0.000105748 -*RES -1 *428:X *449:SET_B 26.207 -*END - -*D_NET *72 0.00225367 -*CONN -*I *450:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *430:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *450:RESET_B 0.000302394 -2 *430:X 0.000302394 -3 *450:RESET_B *436:A 9.50523e-05 -4 *450:RESET_B *438:A 1.63255e-05 -5 *450:RESET_B *448:CLK_N 0.000355195 -6 *450:RESET_B *450:D 0.000560042 -7 *450:RESET_B *475:A 0.00019514 -8 *450:RESET_B *98:20 0.000150945 -9 *450:RESET_B *137:20 0.000109421 -10 *226:DIODE *450:RESET_B 0.000161243 -11 *449:RESET_B *450:RESET_B 5.51483e-06 -*RES -1 *430:X *450:RESET_B 42.0539 -*END - -*D_NET *73 0.00185212 -*CONN -*I *450:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *433:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *450:SET_B 0.000345474 -2 *433:X 0.000345474 -3 *450:SET_B *416:A 0.000111352 -4 *450:SET_B *421:A 6.35544e-05 -5 *450:SET_B *433:A 1.41976e-05 -6 *450:SET_B *447:CLK_N 0.000181001 -7 *450:SET_B *473:A 0.000623551 -8 pad_gpio_ana_sel *450:SET_B 0.00016023 -9 *247:DIODE *450:SET_B 7.28567e-06 -*RES -1 *433:X *450:SET_B 21.9447 -*END - -*D_NET *74 0.00266379 -*CONN -*I *451:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *435:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *451:RESET_B 0.000683996 -2 *435:X 0.000683996 -3 *451:RESET_B *482:A 0.000254663 -4 *451:RESET_B *497:A 0.00028324 -5 *451:RESET_B *159:7 0.00010552 -6 *468:A *451:RESET_B 0 -7 *15:8 *451:RESET_B 0 -8 *19:5 *451:RESET_B 0.000151533 -9 *26:15 *451:RESET_B 4.76794e-05 -10 *26:17 *451:RESET_B 0.000239484 -11 *26:21 *451:RESET_B 0.000213676 -*RES -1 *435:X *451:RESET_B 48.0951 -*END - -*D_NET *75 0.00286721 -*CONN -*I *451:SET_B I *D sky130_fd_sc_hd__dfbbn_2 -*I *438:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *451:SET_B 0.00120856 -2 *438:X 0.00120856 -3 *451:SET_B *436:A 3.51017e-05 -4 *451:SET_B *451:CLK_N 7.20028e-05 -5 *451:SET_B *458:CLK 0.000114594 -6 *451:SET_B *458:D 6.27782e-05 -7 *451:SET_B *98:20 3.15577e-05 -8 *451:SET_B *193:7 2.62753e-05 -9 *449:SET_B *451:SET_B 7.26748e-05 -10 *458:RESET_B *451:SET_B 3.51133e-05 -*RES -1 *438:X *451:SET_B 39.7612 -*END - -*D_NET *76 0.00523686 -*CONN -*I *403:A I *D sky130_fd_sc_hd__or2_2 -*I *396:A I *D sky130_fd_sc_hd__or2_2 -*I *386:A I *D sky130_fd_sc_hd__or2_2 -*I *391:A I *D sky130_fd_sc_hd__or2_2 -*I *381:A I *D sky130_fd_sc_hd__or2_2 -*I *380:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *403:A 0 -2 *396:A 0 -3 *386:A 0.000170361 -4 *391:A 3.27554e-05 -5 *381:A 0.000375055 -6 *380:X 0.000309798 -7 *76:33 0.000441144 -8 *76:14 0.000914165 -9 *76:9 0.000412917 -10 *76:7 0.000421632 -11 *381:A *441:CLK_N 7.58194e-05 -12 *386:A *392:A 7.44804e-05 -13 *386:A *139:44 7.92757e-06 -14 *391:A *139:44 1.43698e-05 -15 *76:14 *470:A 0.000143161 -16 *76:14 *100:12 0.000183795 -17 *76:14 *139:19 8.36429e-06 -18 *76:14 *139:44 4.01117e-05 -19 *76:33 *470:A 0.000144525 -20 *76:33 *114:26 6.93171e-05 -21 *76:33 *139:44 6.95586e-05 -22 *218:DIODE *76:7 1.65872e-05 -23 *218:DIODE *76:9 0.000107496 -24 *396:B *76:14 0.000212757 -25 *443:RESET_B *381:A 6.36477e-05 -26 *5:38 *76:9 0.000144405 -27 *5:38 *76:14 6.3657e-05 -28 *8:38 *76:14 1.50924e-05 -29 *11:15 *76:14 2.90059e-05 -30 *11:15 *76:33 0.000305082 -31 *11:23 *76:14 4.7798e-05 -32 *12:8 *76:33 6.97166e-05 -33 *27:12 *381:A 0.000112378 -34 *36:33 *381:A 0.000104013 -35 *36:33 *76:7 3.59651e-05 -*RES -1 *380:X *76:7 13.8789 -2 *76:7 *76:9 2.94181 -3 *76:9 *76:14 14.3962 -4 *76:14 *381:A 24.8412 -5 *76:14 *76:33 13.8065 -6 *76:33 *391:A 9.97254 -7 *76:33 *386:A 12.9626 -8 *76:9 *396:A 9.24915 -9 *76:7 *403:A 9.24915 -*END - -*D_NET *77 0.00186995 -*CONN -*I *382:A I *D sky130_fd_sc_hd__buf_1 -*I *381:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *382:A 0.000529153 -2 *381:X 0.000529153 -3 *382:A *387:A 6.08467e-05 -4 *382:A *390:A 2.16355e-05 -5 *382:A *441:D 2.61955e-05 -6 *382:A *442:CLK_N 0.000258476 -7 *382:A *466:A 0.000253768 -8 *382:A *478:A 1.4091e-06 -9 *4:19 *382:A 9.63354e-05 -10 *32:261 *382:A 9.29777e-05 -*RES -1 *381:X *382:A 48.3981 -*END - -*D_NET *78 0.00317606 -*CONN -*I *385:A I *D sky130_fd_sc_hd__buf_1 -*I *384:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *385:A 0 -2 *384:X 0.000650844 -3 *78:19 0.000650844 -4 *78:19 *441:D 0.000324796 -5 *78:19 *463:CLK 2.99997e-05 -6 *78:19 *466:A 7.71607e-05 -7 *78:19 *478:A 2.48716e-05 -8 *78:19 *113:28 4.66492e-05 -9 *78:19 *113:35 0.000109421 -10 *78:19 *141:68 0.000103506 -11 *78:19 *145:11 6.08467e-05 -12 *78:19 *148:16 1.06049e-05 -13 *78:19 *159:15 0.000210667 -14 *218:DIODE *78:19 0.000123667 -15 *441:SET_B *78:19 1.32834e-05 -16 *4:19 *78:19 1.02634e-05 -17 *32:261 *78:19 0.000427745 -18 *36:33 *78:19 0.000300889 -*RES -1 *384:X *78:19 43.0936 -2 *78:19 *385:A 9.24915 -*END - -*D_NET *79 0.00268033 -*CONN -*I *387:A I *D sky130_fd_sc_hd__buf_1 -*I *386:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *387:A 0.000512237 -2 *386:X 0.000512237 -3 *387:A *390:A 7.33783e-05 -4 *387:A *392:A 0.000164181 -5 *387:A *466:A 0.00016419 -6 *387:A *467:A 0.000114594 -7 *387:A *139:44 0.000106512 -8 *387:A *145:11 0.000406757 -9 *212:DIODE *387:A 1.65872e-05 -10 *249:DIODE *387:A 4.2664e-06 -11 *382:A *387:A 6.08467e-05 -12 *441:RESET_B *387:A 2.57365e-05 -13 *4:19 *387:A 0.00016622 -14 *12:22 *387:A 0.000209407 -15 *32:261 *387:A 0.000143175 -*RES -1 *386:X *387:A 45.5262 -*END - -*D_NET *80 0.00200358 -*CONN -*I *390:A I *D sky130_fd_sc_hd__buf_1 -*I *389:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *390:A 0.000838284 -2 *389:X 0.000838284 -3 *390:A *442:CLK_N 1.70745e-05 -4 *390:A *466:A 6.27782e-05 -5 *390:A *145:11 4.984e-05 -6 *390:A *148:16 6.64392e-05 -7 *242:DIODE *390:A 2.99199e-05 -8 *382:A *390:A 2.16355e-05 -9 *384:B_N *390:A 5.94977e-06 -10 *387:A *390:A 7.33783e-05 -*RES -1 *389:X *390:A 41.3606 -*END - -*D_NET *81 0.000792895 -*CONN -*I *392:A I *D sky130_fd_sc_hd__buf_1 -*I *391:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *392:A 8.62503e-05 -2 *391:X 8.62503e-05 -3 *392:A *139:44 1.65872e-05 -4 *212:DIODE *392:A 4.76794e-05 -5 *386:A *392:A 7.44804e-05 -6 *387:A *392:A 0.000164181 -7 *13:26 *392:A 0.000317466 -*RES -1 *391:X *392:A 23.1039 -*END - -*D_NET *82 0.000909412 -*CONN -*I *395:A I *D sky130_fd_sc_hd__buf_1 -*I *394:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *395:A 0.000359685 -2 *394:X 0.000359685 -3 *395:A *145:11 1.81422e-05 -4 *394:B_N *395:A 0.000139513 -5 *10:9 *395:A 0 -6 *12:8 *395:A 3.23874e-05 -*RES -1 *394:X *395:A 33.7966 -*END - -*D_NET *83 0.00157551 -*CONN -*I *397:A I *D sky130_fd_sc_hd__buf_1 -*I *396:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *397:A 0.000307128 -2 *396:X 0.000307128 -3 *397:A *477:A 0.000301741 -4 *397:A *141:57 0.00011815 -5 *397:A *144:11 6.63489e-05 -6 *444:RESET_B *397:A 0.00014051 -7 *445:SET_B *397:A 0.000257801 -8 *3:7 *397:A 7.67036e-05 -*RES -1 *396:X *397:A 38.643 -*END - -*D_NET *84 0.00114936 -*CONN -*I *469:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *398:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *469:A 0.000449855 -2 *398:X 0.000449855 -3 *469:A *402:A 1.00766e-05 -4 *469:A *476:A 2.56759e-05 -5 *437:B_N *469:A 0.00015113 -6 *444:SET_B *469:A 6.27718e-05 -*RES -1 *398:X *469:A 25.901 -*END - -*D_NET *85 0.0075963 -*CONN -*I *412:A I *D sky130_fd_sc_hd__or2b_2 -*I *406:A I *D sky130_fd_sc_hd__or2b_2 -*I *401:A I *D sky130_fd_sc_hd__or2b_2 -*I *422:A I *D sky130_fd_sc_hd__or2b_2 -*I *417:A I *D sky130_fd_sc_hd__or2b_2 -*I *400:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *412:A 0 -2 *406:A 0 -3 *401:A 0.000176266 -4 *422:A 0.000342003 -5 *417:A 0.000207852 -6 *400:X 0.000280249 -7 *85:39 0.000571855 -8 *85:37 0.00052352 -9 *85:16 0.00118708 -10 *85:8 0.0010454 -11 *401:A *141:7 0.000256037 -12 *417:A *89:34 2.16355e-05 -13 *417:A *90:13 0.000200635 -14 *417:A *159:15 0.000294676 -15 *85:8 *408:A 5.1493e-06 -16 *85:8 *113:8 3.06727e-05 -17 *85:8 *195:12 3.5822e-05 -18 *85:16 *408:A 6.71494e-05 -19 *85:16 *463:CLK 2.41274e-06 -20 *85:16 *498:A 0.000337861 -21 *85:16 *90:13 0.000241269 -22 *85:16 *159:15 0.000234253 -23 *85:16 *195:12 0.000182708 -24 *85:37 *91:14 2.08133e-05 -25 *85:37 *113:28 0.000110458 -26 *85:39 *141:7 0.000190903 -27 *484:A *422:A 0.000497858 -28 *2:28 *85:37 0.000159189 -29 *2:28 *85:39 7.5301e-06 -30 *32:41 *85:39 0.000114634 -31 *36:33 *85:8 0.000250405 -*RES -1 *400:X *85:8 18.9382 -2 *85:8 *85:16 25.8506 -3 *85:16 *417:A 20.0418 -4 *85:16 *422:A 19.464 -5 *85:8 *85:37 8.43045 -6 *85:37 *85:39 6.26943 -7 *85:39 *401:A 12.191 -8 *85:39 *406:A 9.24915 -9 *85:37 *412:A 9.24915 -*END - -*D_NET *86 0.00263354 -*CONN -*I *402:A I *D sky130_fd_sc_hd__buf_1 -*I *401:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *402:A 0.000698534 -2 *401:X 0.000698534 -3 *402:A *398:A 6.95329e-05 -4 *402:A *170:11 8.19238e-05 -5 *231:DIODE *402:A 1.37566e-05 -6 *444:SET_B *402:A 0.000217529 -7 *469:A *402:A 1.00766e-05 -8 *11:23 *402:A 0.000833309 -9 *32:41 *402:A 1.03403e-05 -*RES -1 *401:X *402:A 49.7058 -*END - -*D_NET *87 0.00400852 -*CONN -*I *404:A I *D sky130_fd_sc_hd__buf_1 -*I *403:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *404:A 0.00153377 -2 *403:X 0.00153377 -3 *404:A *508:A 7.91397e-05 -4 *404:A *103:9 6.61636e-05 -5 *404:A *113:52 5.40429e-06 -6 *404:A *169:17 0.00015583 -7 pad_gpio_outenb *404:A 0.000192158 -8 pad_gpio_slow_sel *404:A 2.9654e-05 -9 pad_gpio_vtrip_sel *404:A 1.63798e-05 -10 *445:RESET_B *404:A 0.000129107 -11 *2:19 *404:A 0.000101104 -12 *3:7 *404:A 4.4153e-05 -13 *27:12 *404:A 2.99887e-05 -14 *32:29 *404:A 9.1903e-05 -*RES -1 *403:X *404:A 42.8561 -*END - -*D_NET *88 0.00357251 -*CONN -*I *407:A I *D sky130_fd_sc_hd__buf_1 -*I *406:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *407:A 0 -2 *406:X 0.000894317 -3 *88:10 0.000894317 -4 *88:10 *408:A 8.77191e-05 -5 *88:10 *447:CLK_N 9.89388e-06 -6 *88:10 *464:D 0.000263036 -7 *88:10 *479:A 0.000211299 -8 *2:28 *88:10 3.31745e-05 -9 *4:19 *88:10 0.000140171 -10 *32:41 *88:10 4.00648e-05 -11 *32:153 *88:10 0.000306573 -12 *32:157 *88:10 0.000691942 -*RES -1 *406:X *88:10 43.2366 -2 *88:10 *407:A 9.24915 -*END - -*D_NET *89 0.0089477 -*CONN -*I *414:A I *D sky130_fd_sc_hd__or2_2 -*I *409:A I *D sky130_fd_sc_hd__or2_2 -*I *419:A I *D sky130_fd_sc_hd__or2_2 -*I *424:A I *D sky130_fd_sc_hd__or2_2 -*I *429:A I *D sky130_fd_sc_hd__or2_2 -*I *408:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *414:A 0.000175559 -2 *409:A 0.000701689 -3 *419:A 0 -4 *424:A 0.000292421 -5 *429:A 0 -6 *408:X 0.000514519 -7 *89:49 0.0012032 -8 *89:34 0.000739023 -9 *89:17 0.000793359 -10 *89:8 0.000602382 -11 *409:A *447:D 0.000250049 -12 *409:A *90:13 0.000113379 -13 *409:A *145:27 3.30852e-05 -14 *409:A *159:15 0.000243032 -15 *424:A *473:A 3.74935e-05 -16 *424:A *476:A 0.000404848 -17 *89:8 *448:CLK_N 2.98409e-05 -18 *89:8 *475:A 0.000169219 -19 *89:8 *500:A 7.31556e-06 -20 *89:8 *98:20 0.000356555 -21 *89:17 *475:A 1.59525e-05 -22 *89:34 *418:A 0.000218378 -23 *89:34 *476:A 0.000110306 -24 *89:34 *90:13 3.66984e-05 -25 *89:49 *418:A 2.30251e-05 -26 *89:49 *90:13 6.08467e-05 -27 pad_gpio_holdover *89:49 0.000109978 -28 *222:DIODE *414:A 5.45483e-05 -29 *223:DIODE *89:17 4.43331e-05 -30 *417:A *89:34 2.16355e-05 -31 *417:B_N *89:34 0.000456656 -32 *419:B *89:34 0.000231986 -33 *424:B *424:A 1.37921e-05 -34 *445:RESET_B *409:A 0.000221439 -35 *445:SET_B *89:17 0.000113096 -36 *446:SET_B *409:A 2.02035e-05 -37 *446:SET_B *89:49 0.000313047 -38 *472:A *89:49 3.06627e-05 -39 *3:28 *409:A 0.000144478 -40 *3:28 *414:A 3.96696e-05 -*RES -1 *408:X *89:8 24.8911 -2 *89:8 *429:A 13.7491 -3 *89:8 *89:17 7.57775 -4 *89:17 *424:A 25.9325 -5 *89:17 *89:34 13.1417 -6 *89:34 *419:A 9.24915 -7 *89:34 *89:49 18.2727 -8 *89:49 *409:A 36.7055 -9 *89:49 *414:A 12.2151 -*END - -*D_NET *90 0.00296118 -*CONN -*I *410:A I *D sky130_fd_sc_hd__buf_1 -*I *409:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *410:A 0 -2 *409:X 0.000834207 -3 *90:13 0.000834207 -4 *90:13 *418:A 3.79023e-05 -5 *90:13 *463:CLK 0.000326969 -6 *90:13 *91:14 3.53797e-05 -7 *90:13 *105:10 0 -8 *90:13 *145:27 1.02157e-05 -9 *90:13 *159:15 3.01773e-05 -10 *220:DIODE *90:13 6.11872e-05 -11 *409:A *90:13 0.000113379 -12 *417:A *90:13 0.000200635 -13 *419:B *90:13 0.000116505 -14 *446:SET_B *90:13 2.1601e-05 -15 *85:16 *90:13 0.000241269 -16 *89:34 *90:13 3.66984e-05 -17 *89:49 *90:13 6.08467e-05 -*RES -1 *409:X *90:13 41.7713 -2 *90:13 *410:A 9.24915 -*END - -*D_NET *91 0.0049323 -*CONN -*I *413:A I *D sky130_fd_sc_hd__buf_1 -*I *412:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *413:A 2.04197e-05 -2 *412:X 0.0013893 -3 *91:14 0.00140972 -4 *413:A *418:A 2.99287e-05 -5 *413:A *105:10 6.98337e-06 -6 *91:14 *353:B_N 0.000196037 -7 *91:14 *356:A1 8.64293e-05 -8 *91:14 *356:A3 0.000110458 -9 *91:14 *361:A3 0.000149872 -10 *91:14 *362:B1 0.000282492 -11 *91:14 *463:D 5.08327e-05 -12 *91:14 *508:A 6.69183e-05 -13 *91:14 *105:10 0.000156073 -14 *91:14 *113:28 0.000300016 -15 *91:14 *145:27 0.000158451 -16 *91:14 *169:17 1.24019e-05 -17 pad_gpio_slow_sel *91:14 0.000203914 -18 *199:DIODE *91:14 9.80242e-07 -19 *220:DIODE *91:14 9.80242e-07 -20 *361:A2 *91:14 8.31163e-05 -21 *446:RESET_B *91:14 3.587e-06 -22 *2:28 *91:14 2.07087e-05 -23 *15:8 *91:14 2.81678e-06 -24 *15:10 *91:14 1.65292e-05 -25 *15:30 *91:14 4.22136e-05 -26 *49:10 *91:14 7.4922e-05 -27 *85:37 *91:14 2.08133e-05 -28 *90:13 *91:14 3.53797e-05 -*RES -1 *412:X *91:14 49.6892 -2 *91:14 *413:A 9.97254 -*END - -*D_NET *92 0.00160874 -*CONN -*I *415:A I *D sky130_fd_sc_hd__buf_1 -*I *414:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *415:A 0.000471677 -2 *414:X 0.000471677 -3 *415:A *354:A 0.000125912 -4 *415:A *362:B2 0.000111802 -5 *222:DIODE *415:A 4.05132e-05 -6 *361:A1 *415:A 0.000199095 -7 *361:A2 *415:A 2.57847e-05 -8 *23:19 *415:A 0.000162275 -*RES -1 *414:X *415:A 40.3068 -*END - -*D_NET *93 0.00189463 -*CONN -*I *418:A I *D sky130_fd_sc_hd__buf_1 -*I *417:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *418:A 0.000482574 -2 *417:X 0.000482574 -3 *418:A *354:A 1.79732e-05 -4 *418:A *105:10 5.99529e-06 -5 pad_gpio_dm[0] *418:A 0.000156537 -6 *358:B *418:A 1.41976e-05 -7 *413:A *418:A 2.99287e-05 -8 *446:RESET_B *418:A 9.43222e-06 -9 *446:SET_B *418:A 0.000302804 -10 *447:RESET_B *418:A 0.000113309 -11 *89:34 *418:A 0.000218378 -12 *89:49 *418:A 2.30251e-05 -13 *90:13 *418:A 3.79023e-05 -*RES -1 *417:X *418:A 41.2823 -*END - -*D_NET *94 0.00180801 -*CONN -*I *420:A I *D sky130_fd_sc_hd__buf_1 -*I *419:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *420:A 0.000435306 -2 *419:X 0.000435306 -3 *420:A *423:A 3.54726e-05 -4 *446:SET_B *420:A 1.03594e-05 -5 *447:RESET_B *420:A 0.000549391 -6 *447:SET_B *420:A 4.19341e-05 -7 *22:5 *420:A 0.000300242 -*RES -1 *419:X *420:A 40.0848 -*END - -*D_NET *95 0.00116822 -*CONN -*I *423:A I *D sky130_fd_sc_hd__buf_1 -*I *422:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *423:A 0.000248621 -2 *422:X 0.000248621 -3 *423:A *433:A 0 -4 *423:A *109:14 0.000389596 -5 pad_gpio_ib_mode_sel *423:A 6.34391e-05 -6 *420:A *423:A 3.54726e-05 -7 *446:SET_B *423:A 0.000182472 -*RES -1 *422:X *423:A 36.3896 -*END - -*D_NET *96 0.00144758 -*CONN -*I *425:A I *D sky130_fd_sc_hd__buf_1 -*I *424:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *425:A 0.000215443 -2 *424:X 0.000215443 -3 *425:A *435:A 0.000130715 -4 *425:A *438:A 7.0179e-06 -5 *425:A *450:D 0.000121494 -6 *425:A *456:CLK 1.74666e-05 -7 *425:A *98:20 3.44412e-06 -8 *231:DIODE *425:A 0.000279588 -9 *429:B *425:A 0.000253215 -10 *9:45 *425:A 0.000203756 -*RES -1 *424:X *425:A 36.8399 -*END - -*D_NET *97 0.00071649 -*CONN -*I *428:A I *D sky130_fd_sc_hd__buf_1 -*I *427:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *428:A 0.00019352 -2 *427:X 0.00019352 -3 *428:A *438:A 7.26606e-05 -4 *428:A *450:CLK_N 0.000109427 -5 *428:A *138:11 7.26606e-05 -6 *247:DIODE *428:A 1.38544e-05 -7 *9:45 *428:A 6.08467e-05 -*RES -1 *427:X *428:A 32.6285 -*END - -*D_NET *98 0.00314611 -*CONN -*I *430:A I *D sky130_fd_sc_hd__buf_1 -*I *429:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *430:A 0 -2 *429:X 0.00109242 -3 *98:20 0.00109242 -4 *98:20 *449:D 1.68617e-05 -5 *98:20 *464:CLK 3.42081e-05 -6 *98:20 *475:A 7.06002e-05 -7 *98:20 *138:11 1.03594e-05 -8 *425:A *98:20 3.44412e-06 -9 *445:SET_B *98:20 1.41307e-05 -10 *449:RESET_B *98:20 0.000130023 -11 *449:SET_B *98:20 1.4426e-05 -12 *450:RESET_B *98:20 0.000150945 -13 *451:SET_B *98:20 3.15577e-05 -14 *32:157 *98:20 1.35725e-05 -15 *32:163 *98:20 0.000114594 -16 *89:8 *98:20 0.000356555 -*RES -1 *429:X *98:20 49.7585 -2 *98:20 *430:A 9.24915 -*END - -*D_NET *99 0.00334797 -*CONN -*I *433:A I *D sky130_fd_sc_hd__buf_1 -*I *432:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *433:A 0.00131977 -2 *432:X 0.00131977 -3 *433:A *435:A 2.33103e-06 -4 *433:A *447:D 5.9036e-05 -5 *433:A *159:15 0.000101812 -6 *223:DIODE *433:A 0.000112361 -7 *247:DIODE *433:A 3.18656e-05 -8 *423:A *433:A 0 -9 *424:B *433:A 0.000263135 -10 *429:B *433:A 1.18842e-05 -11 *445:SET_B *433:A 0.000111802 -12 *450:SET_B *433:A 1.41976e-05 -*RES -1 *432:X *433:A 49.3521 -*END - -*D_NET *100 0.00923386 -*CONN -*I *435:A I *D sky130_fd_sc_hd__buf_1 -*I *434:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *435:A 0.00210349 -2 *434:X 0.000843933 -3 *100:12 0.00294743 -4 *435:A *437:A 6.93171e-05 -5 *435:A *456:CLK 9.74395e-05 -6 *100:12 *372:A 0.000410313 -7 *100:12 *456:CLK 0.000171082 -8 *100:12 *139:19 0.000138696 -9 *100:12 *165:16 0.00024495 -10 pad_gpio_ana_sel *435:A 0 -11 *217:DIODE *100:12 0.000625214 -12 *231:DIODE *435:A 7.66667e-05 -13 *231:DIODE *100:12 5.80533e-06 -14 *363:A *435:A 1.02267e-05 -15 *425:A *435:A 0.000130715 -16 *433:A *435:A 2.33103e-06 -17 *8:43 *100:12 0.00016595 -18 *11:23 *100:12 0.000200384 -19 *24:10 *100:12 6.41613e-05 -20 *26:15 *435:A 0.000128309 -21 *26:17 *435:A 2.08274e-05 -22 *26:21 *435:A 0.000234891 -23 *49:10 *435:A 0.000357929 -24 *76:14 *100:12 0.000183795 -*RES -1 *434:X *100:12 44.1295 -2 *100:12 *435:A 41.7017 -*END - -*D_NET *101 0.00196131 -*CONN -*I *438:A I *D sky130_fd_sc_hd__buf_1 -*I *437:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *438:A 0.00049203 -2 *437:X 0.00049203 -3 *438:A *426:A 5.71227e-05 -4 *438:A *436:A 2.58616e-05 -5 *438:A *476:A 6.13051e-05 -6 *438:A *138:6 4.29164e-05 -7 *438:A *138:11 0.000180288 -8 *231:DIODE *438:A 0.000513747 -9 *425:A *438:A 7.0179e-06 -10 *428:A *438:A 7.26606e-05 -11 *450:RESET_B *438:A 1.63255e-05 -*RES -1 *437:X *438:A 41.7007 -*END - -*D_NET *102 0.00264144 -*CONN -*I *354:A I *D sky130_fd_sc_hd__buf_1 -*I *353:X O *D sky130_fd_sc_hd__or2b_2 -*CAP -1 *354:A 0.000730394 -2 *353:X 0.000730394 -3 pad_gpio_dm[0] *354:A 0.000217692 -4 *361:A1 *354:A 8.70483e-06 -5 *415:A *354:A 0.000125912 -6 *418:A *354:A 1.79732e-05 -7 *447:RESET_B *354:A 0.000113309 -8 *448:RESET_B *354:A 0.000309065 -9 *23:8 *354:A 0.000300128 -10 *23:19 *354:A 7.35028e-05 -11 *28:8 *354:A 1.43698e-05 -*RES -1 *353:X *354:A 49.1215 -*END - -*D_NET *103 0.00288568 -*CONN -*I *356:B1 I *D sky130_fd_sc_hd__a31o_2 -*I *355:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *356:B1 0 -2 *355:X 0.000774847 -3 *103:9 0.000774847 -4 *103:9 *496:A 0.000885735 -5 *103:9 *508:A 0.000107496 -6 *103:9 *104:15 0 -7 pad_gpio_vtrip_sel *103:9 0 -8 *200:DIODE *103:9 1.95129e-05 -9 *404:A *103:9 6.61636e-05 -10 *15:30 *103:9 3.95516e-05 -11 *27:12 *103:9 0.000217527 -*RES -1 *355:X *103:9 41.988 -2 *103:9 *356:B1 9.24915 -*END - -*D_NET *104 0.00420615 -*CONN -*I *362:A2 I *D sky130_fd_sc_hd__o22ai_2 -*I *357:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *362:A2 0 -2 *357:Y 0.000810648 -3 *104:15 0.000810648 -4 *104:15 *353:B_N 8.70662e-06 -5 *104:15 *355:A_N 0.000113254 -6 *104:15 *356:A1 1.65477e-05 -7 *104:15 *362:B2 2.98501e-05 -8 *104:15 *365:A 0.000629586 -9 *104:15 *463:D 0.000114883 -10 *104:15 *145:14 6.92176e-05 -11 *104:15 *169:17 0.000753626 -12 *441:RESET_B *104:15 0.000160001 -13 *442:SET_B *104:15 0.000469036 -14 *446:RESET_B *104:15 0.00015737 -15 *28:8 *104:15 6.27782e-05 -16 *103:9 *104:15 0 -*RES -1 *357:Y *104:15 48.0186 -2 *104:15 *362:A2 9.24915 -*END - -*D_NET *105 0.00148569 -*CONN -*I *361:A3 I *D sky130_fd_sc_hd__o31ai_2 -*I *360:A2 I *D sky130_fd_sc_hd__o21a_2 -*I *358:Y O *D sky130_fd_sc_hd__nand2_2 -*CAP -1 *361:A3 8.40615e-05 -2 *360:A2 9.39222e-05 -3 *358:Y 0.000160588 -4 *105:10 0.000338572 -5 *360:A2 *362:B1 6.3657e-05 -6 *361:A2 *361:A3 9.89388e-06 -7 *413:A *105:10 6.98337e-06 -8 *418:A *105:10 5.99529e-06 -9 *15:10 *105:10 6.58409e-05 -10 *15:30 *361:A3 5.9639e-05 -11 *15:30 *105:10 3.39453e-05 -12 *40:5 *360:A2 0.000256643 -13 *90:13 *105:10 0 -14 *91:14 *361:A3 0.000149872 -15 *91:14 *105:10 0.000156073 -*RES -1 *358:Y *105:10 18.129 -2 *105:10 *360:A2 16.691 -3 *105:10 *361:A3 16.8269 -*END - -*D_NET *106 0.00257373 -*CONN -*I *360:B1 I *D sky130_fd_sc_hd__o21a_2 -*I *359:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *360:B1 0.000609729 -2 *359:Y 0.000609729 -3 *360:B1 *468:TE_B 1.59968e-05 -4 *360:B1 *490:A 0.000425541 -5 pad_gpio_dm[0] *360:B1 0.000622424 -6 *448:RESET_B *360:B1 2.60914e-05 -7 *15:8 *360:B1 0.000160732 -8 *15:10 *360:B1 3.96412e-05 -9 *15:30 *360:B1 5.0187e-05 -10 *19:5 *360:B1 1.36606e-05 -*RES -1 *359:Y *360:B1 47.079 -*END - -*D_NET *107 0.001104 -*CONN -*I *362:B1 I *D sky130_fd_sc_hd__o22ai_2 -*I *360:X O *D sky130_fd_sc_hd__o21a_2 -*CAP -1 *362:B1 0.000262805 -2 *360:X 0.000262805 -3 *362:B1 *353:B_N 3.0676e-05 -4 *362:B1 *361:B1 0.000101706 -5 *360:A2 *362:B1 6.3657e-05 -6 *446:RESET_B *362:B1 6.25333e-05 -7 *40:5 *362:B1 3.73237e-05 -8 *91:14 *362:B1 0.000282492 -*RES -1 *360:X *362:B1 34.9002 -*END - -*D_NET *108 0.00117119 -*CONN -*I *362:B2 I *D sky130_fd_sc_hd__o22ai_2 -*I *361:Y O *D sky130_fd_sc_hd__o31ai_2 -*CAP -1 *362:B2 0.000230165 -2 *361:Y 0.000230165 -3 *362:B2 *365:A 0.000133713 -4 *362:B2 *109:14 7.28994e-06 -5 pad_gpio_ib_mode_sel *362:B2 6.27718e-05 -6 *222:DIODE *362:B2 0.000178768 -7 *415:A *362:B2 0.000111802 -8 *446:SET_B *362:B2 0.000160791 -9 *28:8 *362:B2 2.58757e-05 -10 *104:15 *362:B2 2.98501e-05 -*RES -1 *361:Y *362:B2 35.3746 -*END - -*D_NET *109 0.00845705 -*CONN -*I *365:A I *D sky130_fd_sc_hd__buf_1 -*I *364:X O *D sky130_fd_sc_hd__and2_2 -*CAP -1 *365:A 0.000818342 -2 *364:X 0.00119558 -3 *109:14 0.00201392 -4 *365:A *502:A 0.000198098 -5 *365:A *145:14 0.000118652 -6 *109:14 *364:B 0.00016195 -7 *109:14 *482:A 0.00061146 -8 *109:14 *497:A 0.000320216 -9 *109:14 *159:15 0 -10 pad_gpio_ib_mode_sel *109:14 0.000248685 -11 pad_gpio_outenb *365:A 0.000245417 -12 *362:B2 *365:A 0.000133713 -13 *362:B2 *109:14 7.28994e-06 -14 *423:A *109:14 0.000389596 -15 *446:RESET_B *365:A 7.15827e-05 -16 *446:RESET_B *109:14 0.00030524 -17 *446:SET_B *365:A 3.3927e-05 -18 *446:SET_B *109:14 3.587e-06 -19 *448:SET_B *109:14 7.72377e-05 -20 *461:RESET_B *365:A 6.08467e-05 -21 *472:A *365:A 7.97691e-06 -22 *27:12 *365:A 0.000134006 -23 *32:11 *365:A 1.65872e-05 -24 *32:15 *365:A 9.06232e-05 -25 *33:8 *365:A 0.000254532 -26 *36:17 *365:A 0.000206557 -27 *49:10 *109:14 0.000101835 -28 *104:15 *365:A 0.000629586 -*RES -1 *364:X *109:14 49.6424 -2 *109:14 *365:A 48.355 -*END - -*D_NET *110 0.00817884 -*CONN -*I *367:A I *D sky130_fd_sc_hd__or2_2 -*I *375:A I *D sky130_fd_sc_hd__or2_2 -*I *437:A I *D sky130_fd_sc_hd__or2b_2 -*I *432:A I *D sky130_fd_sc_hd__or2b_2 -*I *427:A I *D sky130_fd_sc_hd__or2b_2 -*I *366:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *367:A 0.000445907 -2 *375:A 8.2135e-05 -3 *437:A 0.000208685 -4 *432:A 0 -5 *427:A 0 -6 *366:X 5.81894e-05 -7 *110:39 0.00114456 -8 *110:17 0.000452148 -9 *110:11 0.000489047 -10 *110:7 0.000920288 -11 *367:A *368:A 0.000161825 -12 *367:A *376:A 0.000138468 -13 *367:A *443:CLK_N 1.94615e-05 -14 *367:A *452:CLK 3.10396e-05 -15 *375:A *440:CLK_N 0.000109427 -16 *375:A *136:11 0.000159038 -17 *437:A *456:CLK 6.93171e-05 -18 *437:A *476:A 2.16355e-05 -19 *110:39 *444:CLK_N 0.00030797 -20 *110:39 *445:CLK_N 0.000122978 -21 *110:39 *452:CLK 0.00010448 -22 *110:39 *456:D 9.39144e-05 -23 *110:39 *141:10 1.74019e-05 -24 *205:DIODE *367:A 4.58907e-05 -25 *429:B *437:A 3.40176e-05 -26 *429:B *110:17 7.92757e-06 -27 *435:A *437:A 6.93171e-05 -28 *439:RESET_B *367:A 2.41274e-06 -29 *440:RESET_B *367:A 0.000166836 -30 *440:RESET_B *110:39 0.000141677 -31 *6:11 *367:A 0.000360967 -32 *8:13 *367:A 0.000147995 -33 *9:15 *367:A 0.000529785 -34 *9:17 *110:11 0.000194976 -35 *9:17 *110:39 0.000757087 -36 *9:31 *110:11 3.99086e-06 -37 *10:30 *110:11 0.000107496 -38 *10:30 *110:17 0.000294398 -39 *32:50 *110:39 8.25765e-05 -40 *32:52 *110:11 1.6989e-05 -41 *32:52 *110:39 1.51844e-05 -42 *32:57 *110:11 4.14089e-05 -*RES -1 *366:X *110:7 14.4725 -2 *110:7 *110:11 10.3802 -3 *110:11 *427:A 9.24915 -4 *110:11 *110:17 4.05102 -5 *110:17 *432:A 9.24915 -6 *110:17 *437:A 22.2219 -7 *110:7 *110:39 22.6679 -8 *110:39 *375:A 16.3145 -9 *110:39 *367:A 32.6684 -*END - -*D_NET *111 0.000317307 -*CONN -*I *368:A I *D sky130_fd_sc_hd__buf_1 -*I *367:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *368:A 4.49586e-05 -2 *367:X 4.49586e-05 -3 *205:DIODE *368:A 6.55651e-05 -4 *367:A *368:A 0.000161825 -*RES -1 *367:X *368:A 20.3309 -*END - -*D_NET *112 0.00121707 -*CONN -*I *470:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *369:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *470:A 0.00028479 -2 *369:X 0.00028479 -3 *470:A *474:A 8.04841e-05 -4 *11:15 *470:A 0.00027932 -5 *76:14 *470:A 0.000143161 -6 *76:33 *470:A 0.000144525 -*RES -1 *369:X *470:A 36.7033 -*END - -*D_NET *113 0.00921936 -*CONN -*I *400:A I *D sky130_fd_sc_hd__buf_1 -*I *372:A I *D sky130_fd_sc_hd__buf_1 -*I *434:A I *D sky130_fd_sc_hd__or2_2 -*I *380:A I *D sky130_fd_sc_hd__buf_1 -*I *408:A I *D sky130_fd_sc_hd__buf_1 *I *371:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *400:A 0 -2 *372:A 0.000215824 -3 *434:A 3.15289e-05 -4 *380:A 3.2101e-05 -5 *408:A 0.00078021 -6 *371:X 0.000144098 -7 *113:52 0.000690892 -8 *113:35 0.000543766 -9 *113:28 0.000269065 -10 *113:8 0.00112525 -11 *372:A *398:A 0.000351465 -12 *372:A *142:5 0.000267394 -13 *380:A *195:12 4.95146e-05 -14 *408:A *464:D 0.00102127 -15 *408:A *498:A 0.000337861 -16 *408:A *195:12 0.00025597 -17 *434:A *477:A 6.36477e-05 -18 *434:A *142:5 0.000114584 -19 *113:28 *148:16 0.000146277 -20 *113:28 *159:15 0.000127397 -21 *113:35 *148:16 1.06344e-05 -22 *113:35 *195:12 2.24718e-05 -23 *113:52 *477:A 5.76707e-05 -24 *113:52 *141:57 5.97738e-05 -25 *113:52 *148:16 9.39114e-06 -26 *113:52 *195:12 1.65175e-05 -27 *218:DIODE *113:52 0.000497505 -28 *404:A *113:52 5.40429e-06 -29 *441:SET_B *113:35 2.58757e-05 -30 *441:SET_B *113:52 1.91246e-05 -31 *445:RESET_B *113:52 0 -32 *2:28 *113:28 4.19452e-05 -33 *3:7 *372:A 1.75816e-05 -34 *3:7 *113:52 8.70662e-06 -35 *4:19 *408:A 0.000198064 -36 *4:19 *113:8 3.30222e-05 -37 *32:29 *113:52 0.000349543 -38 *36:33 *113:8 0.000110458 -39 *78:19 *113:28 4.66492e-05 -40 *78:19 *113:35 0.000109421 -41 *85:8 *408:A 5.1493e-06 -42 *85:8 *113:8 3.06727e-05 -43 *85:16 *408:A 6.71494e-05 -44 *85:37 *113:28 0.000110458 -45 *88:10 *408:A 8.77191e-05 -46 *91:14 *113:28 0.000300016 -47 *100:12 *372:A 0.000410313 +1 *442:RESET_B 0.000206266 +2 *371:X 0.000206266 +3 *206:DIODE *442:RESET_B 3.82228e-05 +4 *6:11 *442:RESET_B 1.99054e-05 +5 *6:15 *442:RESET_B 0.000109978 +6 *9:11 *442:RESET_B 3.17474e-05 *RES -1 *371:X *113:8 16.8591 -2 *113:8 *408:A 42.2306 -3 *113:8 *113:28 20.7976 -4 *113:28 *113:35 6.88941 -5 *113:35 *380:A 14.8606 -6 *113:35 *113:52 10.4077 -7 *113:52 *434:A 15.0271 -8 *113:52 *372:A 22.708 -9 *113:28 *400:A 9.24915 +1 *371:X *442:RESET_B 32.3015 *END -*D_NET *114 0.00473043 +*D_NET *51 0.00043485 *CONN -*I *384:A I *D sky130_fd_sc_hd__or2b_2 -*I *389:A I *D sky130_fd_sc_hd__or2b_2 -*I *394:A I *D sky130_fd_sc_hd__or2b_2 -*I *378:A I *D sky130_fd_sc_hd__or2b_2 -*I *373:A I *D sky130_fd_sc_hd__or2b_2 +*I *442:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *377:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *442:SET_B 0.000163733 +2 *377:X 0.000163733 +3 *205:DIODE *442:SET_B 9.94284e-06 +4 *232:DIODE *442:SET_B 3.37843e-05 +5 *1:33 *442:SET_B 6.3657e-05 +*RES +1 *377:X *442:SET_B 21.3591 +*END + +*D_NET *52 0.000984193 +*CONN +*I *443:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *379:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *443:RESET_B 0.000203821 +2 *379:X 0.000203821 +3 *443:RESET_B *443:SET_B 0.00015022 +4 *443:RESET_B *446:CLK_N 7.60183e-05 +5 *8:37 *443:RESET_B 1.88014e-05 +6 *8:40 *443:RESET_B 3.87022e-06 +7 *9:11 *443:RESET_B 0.000298287 +8 *10:10 *443:RESET_B 2.93532e-05 +*RES +1 *379:X *443:RESET_B 34.9002 +*END + +*D_NET *53 0.000549273 +*CONN +*I *443:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *382:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *443:SET_B 0.000105131 +2 *382:X 0.000105131 +3 *443:RESET_B *443:SET_B 0.00015022 +4 *8:37 *443:SET_B 0.000151353 +5 *8:40 *443:SET_B 2.75449e-05 +6 *10:10 *443:SET_B 9.89388e-06 +*RES +1 *382:X *443:SET_B 21.8293 +*END + +*D_NET *54 0.000739735 +*CONN +*I *444:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *385:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *444:RESET_B 0.000191307 +2 *385:X 0.000191307 +3 *444:RESET_B *445:D 2.16355e-05 +4 *444:RESET_B *91:25 0.000144546 +5 *444:RESET_B *103:28 0.00011738 +6 *444:RESET_B *145:65 7.35594e-05 +*RES +1 *385:X *444:RESET_B 33.6572 +*END + +*D_NET *55 0.00128219 +*CONN +*I *444:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *388:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *444:SET_B 0.000384843 +2 *388:X 0.000384843 +3 *444:SET_B *466:CLK 1.27852e-05 +4 *444:SET_B *91:25 7.52988e-05 +5 *444:SET_B *103:28 0.00035803 +6 *444:SET_B *141:69 4.61816e-05 +7 *218:DIODE *444:SET_B 0 +8 *475:A *444:SET_B 0 +9 *32:86 *444:SET_B 2.02035e-05 +*RES +1 *388:X *444:SET_B 28.5578 +*END + +*D_NET *56 0.00160589 +*CONN +*I *445:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *390:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *445:RESET_B 0.000473876 +2 *390:X 0.000473876 +3 *445:RESET_B *449:SET_B 0.000298835 +4 *445:RESET_B *103:28 0.000294093 +5 *445:RESET_B *145:65 1.15766e-05 +6 *27:10 *445:RESET_B 2.7837e-05 +7 *32:273 *445:RESET_B 2.5799e-05 +*RES +1 *390:X *445:RESET_B 38.3966 +*END + +*D_NET *57 0.0015352 +*CONN +*I *445:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *393:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *445:SET_B 0.000311672 +2 *393:X 0.000311672 +3 *445:SET_B *413:A 0.000495082 +4 *445:SET_B *91:25 1.1957e-05 +5 *475:A *445:SET_B 0.000342049 +6 *32:292 *445:SET_B 6.27718e-05 +*RES +1 *393:X *445:SET_B 29.1096 +*END + +*D_NET *58 0.00111375 +*CONN +*I *446:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *395:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *446:RESET_B 0.000354469 +2 *395:X 0.000354469 +3 *446:RESET_B *384:A 2.99287e-05 +4 *446:RESET_B *470:A 0.000374882 +5 *446:RESET_B *76:29 0 +*RES +1 *395:X *446:RESET_B 37.113 +*END + +*D_NET *59 0.00129895 +*CONN +*I *446:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *398:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *446:SET_B 0.000239261 +2 *398:X 0.000239261 +3 *446:SET_B *398:A 1.9476e-05 +4 *446:SET_B *414:A 0.000519352 +5 *397:B_N *446:SET_B 0.000139684 +6 *11:14 *446:SET_B 0.000141913 +*RES +1 *398:X *446:SET_B 28.3862 +*END + +*D_NET *60 0.00152928 +*CONN +*I *447:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *400:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *447:RESET_B 0.000361611 +2 *400:X 0.000361611 +3 *447:RESET_B *401:A 9.88445e-05 +4 *447:RESET_B *467:D 3.93047e-05 +5 *447:RESET_B *483:A 0.00029304 +6 *447:RESET_B *504:A 0.000188439 +7 *447:RESET_B *144:5 6.08467e-05 +8 pad_gpio_ib_mode_sel *447:RESET_B 8.31361e-05 +9 *219:DIODE *447:RESET_B 4.24488e-05 +*RES +1 *400:X *447:RESET_B 39.5058 +*END + +*D_NET *61 0.0012336 +*CONN +*I *447:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *405:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *447:SET_B 0.000485309 +2 *405:X 0.000485309 +3 *447:SET_B *401:A 3.82006e-05 +4 *447:SET_B *404:A 4.32942e-05 +5 *447:SET_B *405:A 5.04829e-06 +6 *447:SET_B *447:D 0.000115596 +7 *447:SET_B *500:A 6.08467e-05 +8 *8:40 *447:SET_B 0 +*RES +1 *405:X *447:SET_B 27.6527 +*END + +*D_NET *62 0.00135652 +*CONN +*I *448:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *407:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *448:RESET_B 0.000443711 +2 *407:X 0.000443711 +3 *448:RESET_B *407:A 1.65872e-05 +4 *448:RESET_B *448:SET_B 3.20407e-05 +5 *448:RESET_B *85:45 1.32043e-05 +6 pad_gpio_holdover *448:RESET_B 0.000407267 +*RES +1 *407:X *448:RESET_B 38.4689 +*END + +*D_NET *63 0.00284026 +*CONN +*I *448:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *410:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *448:SET_B 0.00106655 +2 *410:X 0.00106655 +3 *448:SET_B *427:A 0 +4 *448:SET_B *440:A 2.83365e-05 +5 *448:SET_B *88:16 0 +6 *448:SET_B *99:16 0.000180181 +7 pad_gpio_holdover *448:SET_B 0.000330109 +8 *223:DIODE *448:SET_B 0.000136505 +9 *448:RESET_B *448:SET_B 3.20407e-05 +*RES +1 *410:X *448:SET_B 41.9936 +*END + +*D_NET *64 0.00348636 +*CONN +*I *449:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *413:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *449:RESET_B 0 +2 *413:X 0.000566724 +3 *64:17 0.000566724 +4 *64:17 *356:B_N 5.31465e-05 +5 *64:17 *368:A 2.55661e-06 +6 *64:17 *412:A 0.000128447 +7 *64:17 *413:A 0.000457199 +8 *64:17 *449:SET_B 0.00117604 +9 *64:17 *469:A 0.000495344 +10 *64:17 *85:13 2.30636e-05 +11 *475:A *64:17 1.71187e-05 +*RES +1 *413:X *64:17 43.5141 +2 *64:17 *449:RESET_B 9.24915 +*END + +*D_NET *65 0.00355568 +*CONN +*I *449:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *416:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *449:SET_B 0.000709024 +2 *416:X 0.000709024 +3 *449:SET_B *356:B_N 1.99006e-05 +4 *449:SET_B *368:A 4.22508e-05 +5 *449:SET_B *413:A 9.03762e-06 +6 *449:SET_B *508:A 0.00032481 +7 *449:SET_B *85:13 0.000148524 +8 *449:SET_B *91:25 1.43698e-05 +9 *445:RESET_B *449:SET_B 0.000298835 +10 *27:10 *449:SET_B 4.10969e-05 +11 *32:273 *449:SET_B 0 +12 *32:292 *449:SET_B 6.27718e-05 +13 *64:17 *449:SET_B 0.00117604 +*RES +1 *416:X *449:SET_B 45.0496 +*END + +*D_NET *66 0.000761051 +*CONN +*I *450:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *418:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *450:RESET_B 0.000188293 +2 *418:X 0.000188293 +3 *450:RESET_B *421:A 0.000158451 +4 *450:RESET_B *450:SET_B 5.76793e-05 +5 *420:B_N *450:RESET_B 6.46815e-05 +6 *22:7 *450:RESET_B 0.000103653 +*RES +1 *418:X *450:RESET_B 24.0926 +*END + +*D_NET *67 0.000985261 +*CONN +*I *450:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *421:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *450:SET_B 0.000386092 +2 *421:X 0.000386092 +3 *450:SET_B *421:A 0.00012805 +4 *420:B_N *450:SET_B 2.73467e-05 +5 *450:RESET_B *450:SET_B 5.76793e-05 +*RES +1 *421:X *450:SET_B 18.2313 +*END + +*D_NET *68 0.000855137 +*CONN +*I *451:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *423:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *451:RESET_B 0.000280227 +2 *423:X 0.000280227 +3 *451:RESET_B *357:A 0.000137113 +4 *23:8 *451:RESET_B 2.04574e-05 +5 *26:23 *451:RESET_B 0.000137113 +*RES +1 *423:X *451:RESET_B 34.7664 +*END + +*D_NET *69 0.000308105 +*CONN +*I *451:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *426:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *451:SET_B 8.904e-05 +2 *426:X 8.904e-05 +3 *451:SET_B *496:A 3.83101e-05 +4 *451:SET_B *505:A 9.17145e-05 +*RES +1 *426:X *451:SET_B 20.7201 +*END + +*D_NET *70 0.000850254 +*CONN +*I *452:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *428:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *452:RESET_B 0.000379596 +2 *428:X 0.000379596 +3 *452:RESET_B *462:D 7.26748e-05 +4 *228:DIODE *452:RESET_B 2.02248e-06 +5 *10:19 *452:RESET_B 1.63652e-05 +*RES +1 *428:X *452:RESET_B 34.6781 +*END + +*D_NET *71 0.00128349 +*CONN +*I *452:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *431:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *452:SET_B 0.000297018 +2 *431:X 0.000297018 +3 *452:SET_B *453:CLK_N 2.16355e-05 +4 *452:SET_B *454:SET_B 9.29777e-05 +5 *452:SET_B *460:D 7.28157e-05 +6 *452:SET_B *98:22 7.26606e-05 +7 *452:SET_B *137:7 0.00015607 +8 *452:SET_B *138:10 6.93171e-05 +9 *228:DIODE *452:SET_B 3.20264e-05 +10 *10:19 *452:SET_B 0.000171952 +*RES +1 *431:X *452:SET_B 35.1301 +*END + +*D_NET *72 0.00227447 +*CONN +*I *453:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *433:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *453:RESET_B 0.000703461 +2 *433:X 0.000703461 +3 *453:RESET_B *439:A 1.65872e-05 +4 *453:RESET_B *451:CLK_N 7.68701e-05 +5 *453:RESET_B *467:D 2.05222e-05 +6 *453:RESET_B *89:8 5.77383e-05 +7 *453:RESET_B *98:22 7.4215e-05 +8 *453:RESET_B *137:7 0.000203756 +9 *453:RESET_B *172:11 0.000417865 +*RES +1 *433:X *453:RESET_B 40.3125 +*END + +*D_NET *73 0.00125769 +*CONN +*I *453:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *436:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *453:SET_B 0.000454483 +2 *436:X 0.000454483 +3 *453:SET_B *424:A 6.30826e-05 +4 *453:SET_B *501:A 0.000100449 +5 *453:SET_B *99:16 0.000185193 +*RES +1 *436:X *453:SET_B 29.5981 +*END + +*D_NET *74 0.000982445 +*CONN +*I *454:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *438:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *454:RESET_B 0.000339028 +2 *438:X 0.000339028 +3 *32:22 *454:RESET_B 5.62448e-05 +4 *32:33 *454:RESET_B 0.000248144 +*RES +1 *438:X *454:RESET_B 35.787 +*END + +*D_NET *75 0.00279258 +*CONN +*I *454:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *441:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *454:SET_B 0.00120194 +2 *441:X 0.00120194 +3 *454:SET_B *441:A 6.27718e-05 +4 *454:SET_B *454:CLK_N 4.25952e-05 +5 *454:SET_B *460:D 9.06867e-05 +6 *454:SET_B *501:A 3.7003e-05 +7 *228:DIODE *454:SET_B 4.89158e-05 +8 *452:SET_B *454:SET_B 9.29777e-05 +9 *32:174 *454:SET_B 1.37421e-05 +*RES +1 *441:X *454:SET_B 39.93 +*END + +*D_NET *76 0.00473766 +*CONN +*I *406:A I *D sky130_fd_sc_hd__or2_2 +*I *399:A I *D sky130_fd_sc_hd__or2_2 +*I *389:A I *D sky130_fd_sc_hd__or2_2 +*I *394:A I *D sky130_fd_sc_hd__or2_2 +*I *384:A I *D sky130_fd_sc_hd__or2_2 +*I *383:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *406:A 1.11129e-05 +2 *399:A 0 +3 *389:A 0.000124558 +4 *394:A 5.2999e-05 +5 *384:A 0.000401767 +6 *383:X 0.000310621 +7 *76:29 0.000584984 +8 *76:14 0.00104482 +9 *76:11 0.00027728 +10 *76:10 0.000363388 +11 *384:A *444:CLK_N 6.53173e-05 +12 *389:A *395:A 3.44843e-05 +13 *394:A *395:A 1.50924e-05 +14 *394:A *414:A 4.61271e-05 +15 *406:A *113:23 6.3657e-05 +16 *76:10 *401:A 1.69657e-06 +17 *76:10 *85:45 6.65668e-05 +18 *76:11 *113:23 0.000165521 +19 *76:14 *113:23 7.26606e-05 +20 *76:29 *414:A 0 +21 *76:29 *114:27 1.32043e-05 +22 *218:DIODE *76:10 3.42037e-06 +23 *219:DIODE *76:10 7.13972e-05 +24 *389:B *389:A 6.3657e-05 +25 *392:B_N *76:29 0.000118136 +26 *437:B *406:A 4.66492e-05 +27 *437:B *76:11 0.000215587 +28 *446:RESET_B *384:A 2.99287e-05 +29 *446:RESET_B *76:29 0 +30 *3:21 *384:A 0.000256037 +31 *5:22 *76:10 2.57847e-05 +32 *5:27 *76:10 0.000129489 +33 *32:86 *76:14 6.64671e-06 +34 *32:93 *76:14 5.50734e-05 +*RES +1 *383:X *76:10 24.2687 +2 *76:10 *76:11 2.38721 +3 *76:11 *76:14 10.0693 +4 *76:14 *384:A 23.949 +5 *76:14 *76:29 14.2218 +6 *76:29 *394:A 11.1059 +7 *76:29 *389:A 11.6605 +8 *76:11 *399:A 9.24915 +9 *76:10 *406:A 9.97254 +*END + +*D_NET *77 0.00159689 +*CONN +*I *385:A I *D sky130_fd_sc_hd__buf_1 +*I *384:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *385:A 0.000327765 +2 *384:X 0.000327765 +3 *385:A *386:A 0.000293459 +4 *385:A *391:A 0.00011319 +5 *385:A *393:A 3.87022e-06 +6 *385:A *444:CLK_N 0.000109427 +7 *385:A *470:A 6.08467e-05 +8 *385:A *148:13 0.000313442 +9 *3:21 *385:A 3.82228e-05 +10 *27:10 *385:A 8.90629e-06 +*RES +1 *384:X *385:A 38.4501 +*END + +*D_NET *78 0.0018536 +*CONN +*I *388:A I *D sky130_fd_sc_hd__buf_1 +*I *387:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *388:A 0.000410266 +2 *387:X 0.000410266 +3 *388:A *444:D 0.000376792 +4 *388:A *445:CLK_N 5.93498e-05 +5 *388:A *85:38 2.99747e-05 +6 *388:A *91:25 2.58757e-05 +7 *388:A *100:7 2.58616e-05 +8 *388:A *145:65 7.60183e-05 +9 *388:A *166:8 0.00013603 +10 *3:21 *388:A 0.00019761 +11 *4:14 *388:A 6.13782e-05 +12 *4:15 *388:A 4.418e-05 +*RES +1 *387:X *388:A 42.0988 +*END + +*D_NET *79 0.00320921 +*CONN +*I *390:A I *D sky130_fd_sc_hd__buf_1 +*I *389:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *390:A 0.000939824 +2 *389:X 0.000939824 +3 *390:A *395:A 0.000137648 +4 *390:A *414:A 9.81288e-06 +5 *390:A *470:A 0.0008359 +6 *2:10 *390:A 0.000209952 +7 *3:21 *390:A 6.96846e-05 +8 *12:11 *390:A 6.65668e-05 +9 *39:9 *390:A 0 +*RES +1 *389:X *390:A 45.4085 +*END + +*D_NET *80 0.00254055 +*CONN +*I *393:A I *D sky130_fd_sc_hd__buf_1 +*I *392:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *393:A 0.000466175 +2 *392:X 0.000466175 +3 *393:A *391:A 3.00174e-06 +4 *393:A *414:A 0.000321483 +5 *393:A *470:A 9.34626e-05 +6 *393:A *481:A 0.000256285 +7 *393:A *91:25 2.46778e-05 +8 *393:A *145:65 0.000447049 +9 *393:A *148:13 1.38536e-05 +10 *246:DIODE *393:A 0.000114271 +11 *249:DIODE *393:A 0.000128309 +12 *385:A *393:A 3.87022e-06 +13 *32:292 *393:A 0.000201938 +*RES +1 *392:X *393:A 44.5893 +*END + +*D_NET *81 0.000820516 +*CONN +*I *395:A I *D sky130_fd_sc_hd__buf_1 +*I *394:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *395:A 7.98681e-05 +2 *394:X 7.98681e-05 +3 *395:A *414:A 0.000412708 +4 *389:A *395:A 3.44843e-05 +5 *389:B *395:A 6.08467e-05 +6 *390:A *395:A 0.000137648 +7 *394:A *395:A 1.50924e-05 +*RES +1 *394:X *395:A 23.1039 +*END + +*D_NET *82 0.00108027 +*CONN +*I *398:A I *D sky130_fd_sc_hd__buf_1 +*I *397:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *398:A 0.000165432 +2 *397:X 0.000165432 +3 *398:A *145:9 0.000263729 +4 *206:DIODE *398:A 6.82768e-05 +5 *397:B_N *398:A 0.000205933 +6 *446:SET_B *398:A 1.9476e-05 +7 *11:14 *398:A 0.000191994 +*RES +1 *397:X *398:A 35.0423 +*END + +*D_NET *83 0.00191428 +*CONN +*I *400:A I *D sky130_fd_sc_hd__buf_1 +*I *399:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *400:A 0.000533932 +2 *399:X 0.000533932 +3 *400:A *356:B_N 5.04829e-06 +4 *400:A *401:A 0.000307905 +5 *400:A *407:A 6.64392e-05 +6 *400:A *85:45 0.000154145 +7 *400:A *144:5 9.21981e-05 +8 *437:B *400:A 9.1187e-05 +9 *5:27 *400:A 0.000129489 +*RES +1 *399:X *400:A 41.5848 +*END + +*D_NET *84 0.00265133 +*CONN +*I *472:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *401:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *472:A 0.000422132 +2 *401:X 0.000422132 +3 *472:A *459:D 0.000166836 +4 *472:A *479:A 0.000167345 +5 *472:A *500:A 0.000104103 +6 *472:A *110:10 0.000264944 +7 *440:B_N *472:A 0.000360364 +8 *11:23 *472:A 0.000743469 +*RES +1 *401:X *472:A 44.4678 +*END + +*D_NET *85 0.0114629 +*CONN +*I *415:A I *D sky130_fd_sc_hd__or2b_2 +*I *409:A I *D sky130_fd_sc_hd__or2b_2 +*I *404:A I *D sky130_fd_sc_hd__or2b_2 +*I *425:A I *D sky130_fd_sc_hd__or2b_2 +*I *420:A I *D sky130_fd_sc_hd__or2b_2 +*I *403:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *415:A 0 +2 *409:A 0 +3 *404:A 0.000755217 +4 *425:A 4.34621e-05 +5 *420:A 0.000406903 +6 *403:X 0.000791616 +7 *85:45 0.00131108 +8 *85:38 0.00103113 +9 *85:17 0.000951319 +10 *85:13 0.00176783 +11 *404:A *446:D 0.000178442 +12 *404:A *447:D 1.33911e-05 +13 *420:A *423:A 0.000107496 +14 *420:A *496:A 6.66127e-05 +15 *420:A *89:49 0.000178954 +16 *85:13 *356:B_N 0.000107858 +17 *85:13 *466:CLK 1.83434e-05 +18 *85:17 *466:CLK 0.000158388 +19 *85:38 *466:CLK 0.000309813 +20 *85:38 *100:7 0.000159032 +21 *85:38 *141:69 2.22974e-05 +22 *85:38 *148:13 0.000236561 +23 *85:45 *100:7 0.000249375 +24 *85:45 *113:23 1.83294e-06 +25 *85:45 *113:32 2.16608e-05 +26 pad_gpio_dm[0] *85:13 5.51386e-07 +27 *364:A2 *85:13 1.4091e-06 +28 *388:A *85:38 2.99747e-05 +29 *400:A *85:45 0.000154145 +30 *437:B *85:45 0.000120966 +31 *447:SET_B *404:A 4.32942e-05 +32 *448:RESET_B *85:45 1.32043e-05 +33 *449:SET_B *85:13 0.000148524 +34 *475:A *85:13 0 +35 *3:23 *85:17 0.000139232 +36 *3:23 *85:38 2.91161e-05 +37 *3:27 *85:17 5.9955e-05 +38 *5:14 *404:A 0.000164855 +39 *5:22 *404:A 2.99287e-05 +40 *10:11 *404:A 0.000722848 +41 *15:28 *420:A 3.96696e-05 +42 *32:14 *85:13 3.88358e-05 +43 *32:14 *85:17 1.79484e-05 +44 *32:20 *85:17 0.000271135 +45 *40:10 *425:A 4.66492e-05 +46 *40:10 *85:17 0.00041238 +47 *49:13 *420:A 0 +48 *64:17 *85:13 2.30636e-05 +49 *76:10 *85:45 6.65668e-05 +*RES +1 *403:X *85:13 35.5955 +2 *85:13 *85:17 17.9969 +3 *85:17 *420:A 26.2056 +4 *85:17 *425:A 9.97254 +5 *85:13 *85:38 15.6447 +6 *85:38 *85:45 18.35 +7 *85:45 *404:A 37.423 +8 *85:45 *409:A 9.24915 +9 *85:38 *415:A 9.24915 +*END + +*D_NET *86 0.00139217 +*CONN +*I *405:A I *D sky130_fd_sc_hd__buf_1 +*I *404:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *405:A 0.000537465 +2 *404:X 0.000537465 +3 *405:A *459:D 2.10816e-05 +4 *405:A *500:A 9.47414e-05 +5 *225:DIODE *405:A 4.20184e-06 +6 *447:SET_B *405:A 5.04829e-06 +7 *34:31 *405:A 0.000192163 +*RES +1 *404:X *405:A 37.1536 +*END + +*D_NET *87 0.000876086 +*CONN +*I *407:A I *D sky130_fd_sc_hd__buf_1 +*I *406:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *407:A 0.000121482 +2 *406:X 0.000121482 +3 pad_gpio_holdover *407:A 0.000200794 +4 *400:A *407:A 6.64392e-05 +5 *437:B *407:A 0.000311366 +6 *448:RESET_B *407:A 1.65872e-05 +7 *11:14 *407:A 3.79361e-05 +*RES +1 *406:X *407:A 23.6826 +*END + +*D_NET *88 0.00342563 +*CONN +*I *410:A I *D sky130_fd_sc_hd__buf_1 +*I *409:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *410:A 6.42478e-05 +2 *409:X 0.000665207 +3 *88:16 0.000729455 +4 *410:A *432:A 2.36617e-05 +5 *410:A *98:22 6.98337e-06 +6 *88:16 *359:A3 1.41976e-05 +7 *88:16 *401:A 0.00044227 +8 *88:16 *427:A 0.000286738 +9 *88:16 *467:D 7.15363e-05 +10 *88:16 *478:A 7.59661e-05 +11 *88:16 *479:A 0.000282579 +12 *88:16 *482:A 9.36989e-05 +13 *88:16 *89:21 5.42896e-05 +14 *219:DIODE *88:16 0.000453541 +15 *223:DIODE *410:A 0.000161262 +16 *448:SET_B *88:16 0 +*RES +1 *409:X *88:16 49.9427 +2 *88:16 *410:A 11.6605 +*END + +*D_NET *89 0.00720976 +*CONN +*I *412:A I *D sky130_fd_sc_hd__or2_2 +*I *417:A I *D sky130_fd_sc_hd__or2_2 +*I *422:A I *D sky130_fd_sc_hd__or2_2 +*I *427:A I *D sky130_fd_sc_hd__or2_2 +*I *432:A I *D sky130_fd_sc_hd__or2_2 +*I *411:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *412:A 0.000324789 +2 *417:A 2.97918e-05 +3 *422:A 0 +4 *427:A 0.000461974 +5 *432:A 0.000170277 +6 *411:X 0.000241127 +7 *89:49 0.000757915 +8 *89:38 0.000568223 +9 *89:21 0.000799811 +10 *89:8 0.000584354 +11 *412:A *365:B2 5.50879e-05 +12 *412:A *368:A 0 +13 *412:A *508:A 0.000107496 +14 *412:A *113:76 0.000107496 +15 *427:A *428:A 0.000117376 +16 *427:A *478:A 3.96695e-05 +17 *427:A *479:A 3.54435e-05 +18 *427:A *99:16 3.54155e-05 +19 *432:A *98:22 6.3657e-05 +20 *89:8 *450:CLK_N 6.29349e-05 +21 *89:8 *451:CLK_N 5.29538e-05 +22 *89:8 *98:22 2.74564e-05 +23 *89:21 *450:CLK_N 2.52743e-05 +24 *89:21 *476:A 6.20363e-05 +25 *89:21 *479:A 4.99214e-05 +26 *89:21 *98:22 3.39313e-06 +27 *89:38 *166:8 0.00034756 +28 *89:49 *364:B1 7.0179e-06 +29 *89:49 *365:B2 3.00174e-06 +30 *89:49 *166:8 0.00015901 +31 pad_gpio_ib_mode_sel *89:49 0.000262033 +32 *222:DIODE *417:A 2.58757e-05 +33 *223:DIODE *432:A 1.65872e-05 +34 *410:A *432:A 2.36617e-05 +35 *420:A *89:49 0.000178954 +36 *422:B *89:38 0.000107496 +37 *432:B *427:A 0.000168313 +38 *432:B *432:A 1.0759e-05 +39 *448:SET_B *427:A 0 +40 *453:RESET_B *89:8 5.77383e-05 +41 *475:A *412:A 0.000194341 +42 *475:A *89:49 5.03545e-06 +43 *15:28 *89:21 5.33826e-05 +44 *15:28 *89:38 0.000129115 +45 *15:28 *89:49 0.000206534 +46 *64:17 *412:A 0.000128447 +47 *88:16 *427:A 0.000286738 +48 *88:16 *89:21 5.42896e-05 +*RES +1 *411:X *89:8 19.7659 +2 *89:8 *432:A 16.7151 +3 *89:8 *89:21 9.65401 +4 *89:21 *427:A 30.3299 +5 *89:21 *89:38 5.71483 +6 *89:38 *422:A 9.24915 +7 *89:38 *89:49 17.1933 +8 *89:49 *417:A 14.4725 +9 *89:49 *412:A 23.9184 +*END + +*D_NET *90 0.00279309 +*CONN +*I *413:A I *D sky130_fd_sc_hd__buf_1 +*I *412:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *413:A 0.000442803 +2 *412:X 0.000442803 +3 *413:A *469:A 0.00049882 +4 *413:A *497:A 0.000255793 +5 *413:A *91:25 6.08467e-05 +6 *445:SET_B *413:A 0.000495082 +7 *449:SET_B *413:A 9.03762e-06 +8 *475:A *413:A 0.000122775 +9 *32:292 *413:A 7.92757e-06 +10 *64:17 *413:A 0.000457199 +*RES +1 *412:X *413:A 47.4943 +*END + +*D_NET *91 0.00375257 +*CONN +*I *416:A I *D sky130_fd_sc_hd__buf_1 +*I *415:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *416:A 0 +2 *415:X 0.000919174 +3 *91:25 0.000919174 +4 *91:25 *103:28 0.000271275 +5 *91:25 *148:13 0.000882964 +6 *218:DIODE *91:25 0.000250273 +7 *388:A *91:25 2.58757e-05 +8 *393:A *91:25 2.46778e-05 +9 *413:A *91:25 6.08467e-05 +10 *444:RESET_B *91:25 0.000144546 +11 *444:SET_B *91:25 7.52988e-05 +12 *445:SET_B *91:25 1.1957e-05 +13 *449:SET_B *91:25 1.43698e-05 +14 *475:A *91:25 0 +15 *3:21 *91:25 1.5714e-05 +16 *4:15 *91:25 1.85244e-05 +17 *32:86 *91:25 7.7834e-05 +18 *32:292 *91:25 4.00653e-05 +*RES +1 *415:X *91:25 49.8248 +2 *91:25 *416:A 9.24915 +*END + +*D_NET *92 0.00225054 +*CONN +*I *418:A I *D sky130_fd_sc_hd__buf_1 +*I *417:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *418:A 0.000625431 +2 *417:X 0.000625431 +3 *418:A *357:A 3.40414e-05 +4 *418:A *363:B1 0.000149624 +5 pad_gpio_dm[0] *418:A 2.6233e-05 +6 *222:DIODE *418:A 7.70513e-05 +7 *26:18 *418:A 0.000408425 +8 *40:17 *418:A 0.000304301 +*RES +1 *417:X *418:A 42.5197 +*END + +*D_NET *93 0.000951935 +*CONN +*I *421:A I *D sky130_fd_sc_hd__buf_1 +*I *420:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *421:A 0.000204897 +2 *420:X 0.000204897 +3 *421:A *109:10 0.000116227 +4 pad_gpio_dm[0] *421:A 4.57412e-05 +5 *450:RESET_B *421:A 0.000158451 +6 *450:SET_B *421:A 0.00012805 +7 *22:7 *421:A 9.36714e-05 +*RES +1 *420:X *421:A 34.9299 +*END + +*D_NET *94 0.00286387 +*CONN +*I *423:A I *D sky130_fd_sc_hd__buf_1 +*I *422:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *423:A 0.000760957 +2 *422:X 0.000760957 +3 *423:A *426:A 6.46384e-05 +4 *423:A *496:A 0.000363271 +5 *423:A *505:A 0.000272604 +6 *423:A *506:A 2.58757e-05 +7 *420:A *423:A 0.000107496 +8 *420:B_N *423:A 3.06664e-05 +9 *15:28 *423:A 0.000454157 +10 *49:13 *423:A 2.3248e-05 +*RES +1 *422:X *423:A 48.3954 +*END + +*D_NET *95 0.00180607 +*CONN +*I *426:A I *D sky130_fd_sc_hd__buf_1 +*I *425:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *426:A 0.000448137 +2 *425:X 0.000448137 +3 *426:A *505:A 0.000353726 +4 *426:A *109:10 0.000350779 +5 pad_gpio_ib_mode_sel *426:A 8.64272e-06 +6 *420:B_N *426:A 0.000129005 +7 *423:A *426:A 6.46384e-05 +8 *34:21 *426:A 3.00174e-06 +*RES +1 *425:X *426:A 43.0336 +*END + +*D_NET *96 0.0018906 +*CONN +*I *428:A I *D sky130_fd_sc_hd__buf_1 +*I *427:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *428:A 0.000237727 +2 *427:X 0.000237727 +3 *428:A *440:A 3.21237e-05 +4 *428:A *441:A 0.000360856 +5 *428:A *99:16 0.000318904 +6 *427:A *428:A 0.000117376 +7 *427:B *428:A 0.000259081 +8 *432:B *428:A 3.89073e-05 +9 *10:11 *428:A 5.03545e-06 +10 *10:13 *428:A 2.10465e-05 +11 *10:19 *428:A 0.000261813 +*RES +1 *427:X *428:A 39.4735 +*END + +*D_NET *97 0.000830722 +*CONN +*I *431:A I *D sky130_fd_sc_hd__buf_1 +*I *430:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *431:A 0.000149926 +2 *430:X 0.000149926 +3 *431:A *434:A 0.000110458 +4 *431:A *453:CLK_N 0.000159038 +5 *431:A *138:6 9.43419e-05 +6 *431:A *138:10 8.36586e-06 +7 *427:B *431:A 6.08467e-05 +8 *10:19 *431:A 9.78191e-05 +*RES +1 *430:X *431:A 32.465 +*END + +*D_NET *98 0.00296497 +*CONN +*I *433:A I *D sky130_fd_sc_hd__buf_1 +*I *432:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *433:A 0 +2 *432:X 0.000986587 +3 *98:22 0.000986587 +4 *98:22 *424:A 0.000250405 +5 *98:22 *453:D 4.47179e-05 +6 *98:22 *460:D 6.60481e-06 +7 *98:22 *467:D 0.000204704 +8 *98:22 *138:10 0.00014878 +9 *223:DIODE *98:22 2.17403e-05 +10 *410:A *98:22 6.98337e-06 +11 *432:A *98:22 6.3657e-05 +12 *452:SET_B *98:22 7.26606e-05 +13 *453:RESET_B *98:22 7.4215e-05 +14 *10:19 *98:22 6.6476e-05 +15 *89:8 *98:22 2.74564e-05 +16 *89:21 *98:22 3.39313e-06 +*RES +1 *432:X *98:22 47.4179 +2 *98:22 *433:A 9.24915 +*END + +*D_NET *99 0.00289468 +*CONN +*I *436:A I *D sky130_fd_sc_hd__buf_1 +*I *435:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *436:A 0 +2 *435:X 0.000603799 +3 *99:16 0.000603799 +4 *99:16 *424:A 5.24463e-05 +5 *99:16 *440:A 7.01935e-06 +6 *99:16 *454:CLK_N 3.06627e-05 +7 *99:16 *467:D 2.91598e-05 +8 *99:16 *478:A 0.000398013 +9 *99:16 *501:A 0.000329952 +10 *223:DIODE *99:16 0.000110458 +11 *427:A *99:16 3.54155e-05 +12 *428:A *99:16 0.000318904 +13 *432:B *99:16 9.67912e-06 +14 *448:SET_B *99:16 0.000180181 +15 *453:SET_B *99:16 0.000185193 +*RES +1 *435:X *99:16 48.2873 +2 *99:16 *436:A 9.24915 +*END + +*D_NET *100 0.00682667 +*CONN +*I *438:A I *D sky130_fd_sc_hd__buf_1 +*I *437:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *438:A 0.000145649 +2 *437:X 0.000143894 +3 *100:10 0.00136807 +4 *100:7 0.00136631 +5 *438:A *502:A 0.000320258 +6 *438:A *171:10 2.41274e-06 +7 *100:7 *113:32 5.04829e-06 +8 *100:10 *113:50 0.000968597 +9 *100:10 *145:23 0.000402169 +10 *100:10 *166:8 7.81777e-05 +11 *100:10 *171:10 2.98969e-05 +12 pad_gpio_ana_en *100:10 0 +13 pad_gpio_ana_sel *100:10 0.000200159 +14 *224:DIODE *100:10 0.000175475 +15 *388:A *100:7 2.58616e-05 +16 *415:B_N *100:7 2.16355e-05 +17 *4:15 *100:10 0.000707429 +18 *4:17 *100:10 0.000140149 +19 *4:19 *100:10 0.000182311 +20 *32:22 *100:10 0 +21 *32:33 *438:A 0.000134761 +22 *85:38 *100:7 0.000159032 +23 *85:45 *100:7 0.000249375 +*RES +1 *437:X *100:7 18.3548 +2 *100:7 *100:10 47.442 +3 *100:10 *438:A 15.7356 +*END + +*D_NET *101 0.00168461 +*CONN +*I *441:A I *D sky130_fd_sc_hd__buf_1 +*I *440:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *441:A 0.000475634 +2 *440:X 0.000475634 +3 *441:A *429:A 5.94498e-05 +4 *441:A *439:A 9.81626e-05 +5 *441:A *440:A 2.83365e-05 +6 *441:A *453:D 6.46178e-05 +7 *441:A *462:D 1.37566e-05 +8 *428:A *441:A 0.000360856 +9 *454:SET_B *441:A 6.27718e-05 +10 *10:11 *441:A 3.66675e-05 +11 *10:19 *441:A 8.72115e-06 +*RES +1 *440:X *441:A 41.8498 +*END + +*D_NET *102 0.00258799 +*CONN +*I *357:A I *D sky130_fd_sc_hd__buf_1 +*I *356:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *357:A 0.000718079 +2 *356:X 0.000718079 +3 pad_gpio_inenb *357:A 0.000153334 +4 *418:A *357:A 3.40414e-05 +5 *451:RESET_B *357:A 0.000137113 +6 *15:11 *357:A 0.000216666 +7 *23:8 *357:A 1.43832e-05 +8 *23:17 *357:A 0 +9 *26:10 *357:A 1.85244e-05 +10 *26:18 *357:A 0.000527676 +11 *26:23 *357:A 3.16904e-05 +12 *28:8 *357:A 1.83992e-05 +*RES +1 *356:X *357:A 48.9505 +*END + +*D_NET *103 0.00544076 +*CONN +*I *359:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *358:X O *D sky130_fd_sc_hd__and2b_2 +*CAP +1 *359:B1 0.000354116 +2 *358:X 0.00114291 +3 *103:28 0.00149702 +4 *359:B1 *466:CLK 0.000160001 +5 *359:B1 *504:A 6.08467e-05 +6 *359:B1 *148:13 1.28563e-05 +7 *359:B1 *155:8 0.000250405 +8 *103:28 *445:CLK_N 6.3657e-05 +9 *103:28 *445:D 6.1743e-05 +10 *103:28 *469:A 3.82228e-05 +11 *103:28 *470:A 0.000228132 +12 *103:28 *148:13 1.37385e-05 +13 *218:DIODE *103:28 0 +14 *358:B *103:28 3.00829e-05 +15 *444:RESET_B *103:28 0.00011738 +16 *444:SET_B *103:28 0.00035803 +17 *445:RESET_B *103:28 0.000294093 +18 *475:A *359:B1 0 +19 *475:A *103:28 2.15555e-05 +20 *2:20 *359:B1 0.000374791 +21 *32:86 *103:28 9.92016e-06 +22 *36:11 *103:28 1.60059e-05 +23 *39:9 *103:28 6.39751e-05 +24 *91:25 *103:28 0.000271275 +*RES +1 *358:X *103:28 47.3424 +2 *103:28 *359:B1 24.3274 +*END + +*D_NET *104 0.00362559 +*CONN +*I *365:A2 I *D sky130_fd_sc_hd__o22ai_2 +*I *360:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *365:A2 0.000251374 +2 *360:Y 0.00103774 +3 *104:8 0.00128912 +4 *365:A2 *364:B1 0.000205681 +5 *104:8 *356:B_N 2.81892e-06 +6 *104:8 *503:A 0 +7 *104:8 *507:A 0.000126335 +8 *104:8 *113:76 2.85865e-05 +9 *104:8 *169:6 0 +10 pad_gpio_dm[0] *104:8 0.000153389 +11 *28:8 *365:A2 0.00017779 +12 *32:14 *104:8 5.75895e-05 +13 *32:62 *104:8 2.27135e-05 +14 *32:68 *104:8 3.74935e-05 +15 *32:273 *104:8 0.00023496 +*RES +1 *360:Y *104:8 44.9963 +2 *104:8 *365:A2 16.0973 +*END + +*D_NET *105 0.00146956 +*CONN +*I *364:A3 I *D sky130_fd_sc_hd__o31ai_2 +*I *363:A2 I *D sky130_fd_sc_hd__o21a_2 +*I *361:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *364:A3 0.000105139 +2 *363:A2 9.20277e-05 +3 *361:Y 0.000154498 +4 *105:8 0.000351664 +5 *363:A2 *365:B1 6.3657e-05 +6 *364:A3 *365:B1 1.87825e-05 +7 *105:8 *368:A 1.07017e-05 +8 *105:8 *109:10 0.000128778 +9 pad_gpio_dm[0] *364:A3 4.93817e-05 +10 pad_gpio_dm[0] *105:8 7.3068e-05 +11 *364:A2 *364:A3 9.89388e-06 +12 *15:28 *105:8 4.87301e-05 +13 *34:21 *363:A2 0.000257651 +14 *40:10 *364:A3 9.75548e-05 +15 *40:10 *105:8 8.03393e-06 +*RES +1 *361:Y *105:8 18.5201 +2 *105:8 *363:A2 16.691 +3 *105:8 *364:A3 16.8269 +*END + +*D_NET *106 0.00229834 +*CONN +*I *363:B1 I *D sky130_fd_sc_hd__o21a_2 +*I *362:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *363:B1 0.000651409 +2 *362:Y 0.000651409 +3 *363:B1 *109:10 0 +4 mgmt_gpio_in *363:B1 0 +5 pad_gpio_dm[0] *363:B1 0.000610677 +6 *247:DIODE *363:B1 2.7369e-05 +7 *418:A *363:B1 0.000149624 +8 *26:18 *363:B1 4.61714e-05 +9 *26:23 *363:B1 0.000161681 +*RES +1 *362:Y *363:B1 47.079 +*END + +*D_NET *107 0.00110635 +*CONN +*I *365:B1 I *D sky130_fd_sc_hd__o22ai_2 +*I *363:X O *D sky130_fd_sc_hd__o21a_2 +*CAP +1 *365:B1 0.000268576 +2 *363:X 0.000268576 +3 *365:B1 *356:B_N 4.94e-06 +4 *365:B1 *368:A 0.000281092 +5 *363:A2 *365:B1 6.3657e-05 +6 *364:A2 *365:B1 3.41166e-05 +7 *364:A3 *365:B1 1.87825e-05 +8 *34:21 *365:B1 1.37531e-05 +9 *40:10 *365:B1 0.000152857 +*RES +1 *363:X *365:B1 34.9002 +*END + +*D_NET *108 0.000593112 +*CONN +*I *365:B2 I *D sky130_fd_sc_hd__o22ai_2 +*I *364:Y O *D sky130_fd_sc_hd__o31ai_2 +*CAP +1 *365:B2 0.000161069 +2 *364:Y 0.000161069 +3 *365:B2 *364:B1 0.000155189 +4 *365:B2 *368:A 1.80448e-05 +5 *222:DIODE *365:B2 3.96508e-05 +6 *412:A *365:B2 5.50879e-05 +7 *89:49 *365:B2 3.00174e-06 +*RES +1 *364:Y *365:B2 31.9934 +*END + +*D_NET *109 0.00635457 +*CONN +*I *368:A I *D sky130_fd_sc_hd__buf_1 +*I *367:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *368:A 0.000911841 +2 *367:X 0.000930477 +3 *109:10 0.00184232 +4 *368:A *356:B_N 1.60278e-05 +5 *368:A *364:B1 0.000114659 +6 *368:A *465:D 0.000264669 +7 *368:A *508:A 0.000259151 +8 *109:10 *485:A 8.96303e-05 +9 *109:10 *505:A 4.28349e-06 +10 pad_gpio_dm[0] *109:10 9.13291e-05 +11 pad_gpio_ib_mode_sel *368:A 3.34347e-05 +12 *247:DIODE *109:10 0.000608003 +13 *363:B1 *109:10 0 +14 *365:B1 *368:A 0.000281092 +15 *365:B2 *368:A 1.80448e-05 +16 *412:A *368:A 0 +17 *421:A *109:10 0.000116227 +18 *426:A *109:10 0.000350779 +19 *449:SET_B *368:A 4.22508e-05 +20 *27:10 *368:A 0.000210126 +21 *34:21 *368:A 1.98565e-05 +22 *40:10 *368:A 8.33721e-06 +23 *64:17 *368:A 2.55661e-06 +24 *105:8 *368:A 1.07017e-05 +25 *105:8 *109:10 0.000128778 +*RES +1 *367:X *109:10 42.8613 +2 *109:10 *368:A 38.3013 +*END + +*D_NET *110 0.00769652 +*CONN +*I *440:A I *D sky130_fd_sc_hd__or2b_2 +*I *435:A I *D sky130_fd_sc_hd__or2b_2 +*I *430:A I *D sky130_fd_sc_hd__or2b_2 +*I *370:A I *D sky130_fd_sc_hd__or2_2 +*I *378:A I *D sky130_fd_sc_hd__or2_2 +*I *369:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *440:A 0.000205929 +2 *435:A 0 +3 *430:A 0 +4 *370:A 0.000412171 +5 *378:A 4.71242e-05 +6 *369:X 0 +7 *110:40 0.000478362 +8 *110:34 0.000486013 +9 *110:10 0.00117184 +10 *110:4 0.000926126 +11 *370:A *371:A 0.000161825 +12 *370:A *379:A 0.000143175 +13 *378:A *443:CLK_N 0.000107496 +14 *378:A *136:13 0.000157107 +15 *110:10 *447:CLK_N 0.000298287 +16 *110:10 *448:CLK_N 8.74843e-05 +17 *110:10 *459:CLK 0.000294944 +18 *110:10 *459:D 0.000204233 +19 *110:10 *500:A 5.18062e-06 +20 *205:DIODE *370:A 4.58907e-05 +21 *216:DIODE *110:10 1.12e-05 +22 *427:B *440:A 5.03013e-06 +23 *427:B *110:34 9.75148e-06 +24 *427:B *110:40 5.29314e-05 +25 *428:A *440:A 3.21237e-05 +26 *441:A *440:A 2.83365e-05 +27 *448:SET_B *440:A 2.83365e-05 +28 *472:A *110:10 0.000264944 +29 *8:7 *370:A 0.000668211 +30 *8:37 *370:A 1.69978e-05 +31 *8:37 *110:10 8.96342e-05 +32 *9:11 *370:A 0.000523074 +33 *9:11 *110:10 0.00052379 +34 *9:29 *110:34 1.41307e-05 +35 *32:108 *110:10 0.00011719 +36 *32:158 *110:10 7.06262e-05 +37 *99:16 *440:A 7.01935e-06 +*RES +1 *369:X *110:4 9.24915 +2 *110:4 *110:10 30.4899 +3 *110:10 *378:A 15.5817 +4 *110:10 *370:A 31.2173 +5 *110:4 *110:34 2.94181 +6 *110:34 *430:A 9.24915 +7 *110:34 *110:40 4.05102 +8 *110:40 *435:A 9.24915 +9 *110:40 *440:A 22.0531 +*END + +*D_NET *111 0.000325906 +*CONN +*I *371:A I *D sky130_fd_sc_hd__buf_1 +*I *370:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *371:A 2.94232e-05 +2 *370:X 2.94232e-05 +3 *205:DIODE *371:A 6.55651e-05 +4 *370:A *371:A 0.000161825 +5 *6:11 *371:A 3.96696e-05 +*RES +1 *370:X *371:A 20.3309 +*END + +*D_NET *112 0.000996673 +*CONN +*I *473:A I *D sky130_fd_sc_hd__clkbuf_16 *I *372:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *384:A 0.000196119 -2 *389:A 0 -3 *394:A 0 -4 *378:A 0 -5 *373:A 0.000240813 -6 *372:X 0.000243113 -7 *114:31 0.000562006 -8 *114:26 0.000614089 -9 *114:9 0.000344772 -10 *114:8 0.000595273 -11 *373:A *474:A 0.000354071 -12 *384:A *145:11 0.000308463 -13 *114:8 *170:11 0.000118002 -14 *114:9 *474:A 0.000148679 -15 *114:26 *474:A 0.000290668 -16 *114:26 *139:44 2.83365e-05 -17 *114:31 *145:11 0.000554696 -18 *443:SET_B *114:8 6.20077e-05 -19 *76:33 *114:26 6.93171e-05 +1 *473:A 0.000266071 +2 *372:X 0.000266071 +3 *473:A *444:CLK_N 9.55302e-05 +4 *473:A *464:CLK 2.81678e-06 +5 *473:A *141:53 0.000166094 +6 *384:B *473:A 1.19971e-05 +7 *2:13 *473:A 2.82424e-05 +8 *3:21 *473:A 0.000159851 *RES -1 *372:X *114:8 25.0642 +1 *372:X *473:A 34.4849 +*END + +*D_NET *113 0.0111942 +*CONN +*I *403:A I *D sky130_fd_sc_hd__buf_1 +*I *383:A I *D sky130_fd_sc_hd__buf_1 +*I *411:A I *D sky130_fd_sc_hd__buf_1 +*I *437:A I *D sky130_fd_sc_hd__or2_2 +*I *375:A I *D sky130_fd_sc_hd__buf_1 +*I *374:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *403:A 0 +2 *383:A 0 +3 *411:A 0 +4 *437:A 0 +5 *375:A 0.000186934 +6 *374:X 0 +7 *113:76 0.00108654 +8 *113:50 0.000989859 +9 *113:36 0.00103059 +10 *113:32 0.0012702 +11 *113:23 0.000609725 +12 *113:4 0.000653721 +13 *375:A *131:30 2.39513e-05 +14 *375:A *141:7 1.80176e-05 +15 *113:32 *482:A 7.18466e-05 +16 *113:32 *483:A 1.27839e-05 +17 *113:32 *131:30 0.000131076 +18 *113:36 *482:A 3.68669e-05 +19 *113:36 *483:A 7.87956e-06 +20 *113:36 *504:A 9.12416e-06 +21 *113:50 *450:CLK_N 2.09501e-05 +22 *113:50 *476:A 0.000266267 +23 *113:50 *482:A 0.000302722 +24 *113:50 *498:A 4.17531e-06 +25 *113:50 *504:A 0.000283368 +26 *113:50 *131:30 0.000186579 +27 *113:50 *166:8 0.000360675 +28 *113:76 *504:A 5.83668e-05 +29 *113:76 *508:A 4.16407e-05 +30 *113:76 *131:30 0.000203595 +31 pad_gpio_ib_mode_sel *113:50 1.69448e-05 +32 *220:DIODE *113:76 0.000525502 +33 *406:A *113:23 6.3657e-05 +34 *406:B *113:23 2.24598e-05 +35 *412:A *113:76 0.000107496 +36 *437:B *113:23 4.61538e-05 +37 *475:A *113:76 9.49838e-05 +38 *2:20 *113:76 0.000854681 +39 *10:10 *375:A 1.41976e-05 +40 *15:28 *113:50 0.000189697 +41 *32:93 *375:A 0.000110458 +42 *32:93 *113:23 1.65872e-05 +43 *76:11 *113:23 0.000165521 +44 *76:14 *113:23 7.26606e-05 +45 *85:45 *113:23 1.83294e-06 +46 *85:45 *113:32 2.16608e-05 +47 *100:7 *113:32 5.04829e-06 +48 *100:10 *113:50 0.000968597 +49 *104:8 *113:76 2.85865e-05 +*RES +1 *374:X *113:4 9.24915 +2 *113:4 *375:A 13.903 +3 *113:4 *113:23 17.289 +4 *113:23 *437:A 9.24915 +5 *113:23 *113:32 9.06338 +6 *113:32 *113:36 1.52666 +7 *113:36 *113:50 49.8635 +8 *113:50 *411:A 13.7491 +9 *113:36 *383:A 13.7491 +10 *113:32 *113:76 46.8826 +11 *113:76 *403:A 9.24915 +*END + +*D_NET *114 0.00457629 +*CONN +*I *387:A I *D sky130_fd_sc_hd__or2b_2 +*I *392:A I *D sky130_fd_sc_hd__or2b_2 +*I *397:A I *D sky130_fd_sc_hd__or2b_2 +*I *381:A I *D sky130_fd_sc_hd__or2b_2 +*I *376:A I *D sky130_fd_sc_hd__or2b_2 +*I *375:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *387:A 0.000196601 +2 *392:A 0 +3 *397:A 0 +4 *381:A 0 +5 *376:A 0.000248783 +6 *375:X 0.000190088 +7 *114:33 0.000552911 +8 *114:27 0.000640005 +9 *114:9 0.000356459 +10 *114:8 0.000581459 +11 *376:A *477:A 0.000151012 +12 *387:A *145:65 0.000307556 +13 *114:8 *446:D 0.000118002 +14 *114:9 *477:A 6.48127e-05 +15 *114:27 *414:A 6.93171e-05 +16 *114:27 *477:A 9.36714e-05 +17 *114:27 *145:9 6.27782e-05 +18 *114:33 *145:9 0.000507011 +19 *114:33 *145:65 4.85806e-05 +20 *10:10 *114:8 0 +21 *11:14 *114:8 0.000374043 +22 *76:29 *114:27 1.32043e-05 +*RES +1 *375:X *114:8 25.0642 2 *114:8 *114:9 1.8326 -3 *114:9 *373:A 13.3002 -4 *114:9 *378:A 9.24915 -5 *114:8 *114:26 13.9132 -6 *114:26 *394:A 9.24915 -7 *114:26 *114:31 6.26943 -8 *114:31 *389:A 9.24915 -9 *114:31 *384:A 12.7456 +3 *114:9 *376:A 13.3002 +4 *114:9 *381:A 9.24915 +5 *114:8 *114:27 14.0819 +6 *114:27 *397:A 9.24915 +7 *114:27 *114:33 6.26943 +8 *114:33 *392:A 9.24915 +9 *114:33 *387:A 12.7456 *END -*D_NET *115 0.00160107 +*D_NET *115 0.0014291 *CONN -*I *374:A I *D sky130_fd_sc_hd__buf_1 -*I *373:X O *D sky130_fd_sc_hd__or2b_2 +*I *377:A I *D sky130_fd_sc_hd__buf_1 +*I *376:X O *D sky130_fd_sc_hd__or2b_2 *CAP -1 *374:A 0.000238596 -2 *373:X 0.000238596 -3 *374:A *370:A 0.000127926 -4 *374:A *439:D 0.000122844 -5 *374:A *474:A 0.00031834 -6 *374:A *136:11 0.000118002 -7 *373:B_N *374:A 0.000320251 -8 *439:SET_B *374:A 2.78772e-05 -9 *1:34 *374:A 6.27718e-05 -10 *6:11 *374:A 2.58616e-05 -11 *8:13 *374:A 0 +1 *377:A 0.000364456 +2 *376:X 0.000364456 +3 *377:A *442:CLK_N 2.09501e-05 +4 *377:A *442:D 0.000122844 +5 *377:A *477:A 0.000155 +6 *206:DIODE *377:A 0.000114659 +7 *232:DIODE *377:A 1.07549e-05 +8 *376:B_N *377:A 0.000275977 *RES -1 *373:X *374:A 38.1179 +1 *376:X *377:A 37.1186 *END -*D_NET *116 0.000642256 -*CONN -*I *376:A I *D sky130_fd_sc_hd__buf_1 -*I *375:X O *D sky130_fd_sc_hd__or2_2 -*CAP -1 *376:A 0.000165432 -2 *375:X 0.000165432 -3 *376:A *452:CLK 0.000114659 -4 *216:DIODE *376:A 5.04829e-06 -5 *367:A *376:A 0.000138468 -6 *8:13 *376:A 1.07549e-05 -7 *8:38 *376:A 4.24629e-05 -*RES -1 *375:X *376:A 31.4388 -*END - -*D_NET *117 0.000990701 +*D_NET *116 0.00060079 *CONN *I *379:A I *D sky130_fd_sc_hd__buf_1 -*I *378:X O *D sky130_fd_sc_hd__or2b_2 +*I *378:X O *D sky130_fd_sc_hd__or2_2 *CAP -1 *379:A 0.000301678 -2 *378:X 0.000301678 -3 *379:A *474:A 2.895e-05 -4 *379:A *170:11 4.41118e-05 -5 *10:9 *379:A 0.000314282 +1 *379:A 0.000148086 +2 *378:X 0.000148086 +3 *379:A *136:13 1.82679e-05 +4 *370:A *379:A 0.000143175 +5 *9:11 *379:A 0.000143175 *RES -1 *378:X *379:A 35.3154 +1 *378:X *379:A 31.4388 *END -*D_NET *118 0.000511505 +*D_NET *117 0.00099773 *CONN -*I *468:TE_B I *D sky130_fd_sc_hd__ebufn_2 -*I *354:X O *D sky130_fd_sc_hd__buf_1 +*I *382:A I *D sky130_fd_sc_hd__buf_1 +*I *381:X O *D sky130_fd_sc_hd__or2b_2 *CAP -1 *468:TE_B 0.000247754 -2 *354:X 0.000247754 -3 *360:B1 *468:TE_B 1.59968e-05 +1 *382:A 0.000298757 +2 *381:X 0.000298757 +3 *382:A *446:D 4.41118e-05 +4 *382:A *477:A 4.18219e-05 +5 *10:10 *382:A 0.000314282 *RES -1 *354:X *468:TE_B 32.4356 +1 *381:X *382:A 35.3154 *END -*D_NET *131 0.0069319 +*D_NET *118 0.000563247 *CONN -*I *477:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *473:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *469:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *471:TE_B I *D sky130_fd_sc_hd__ebufn_2 +*I *357:X O *D sky130_fd_sc_hd__buf_1 *CAP -1 *477:A 0.00111261 -2 *473:A 0.000881655 -3 *469:X 0.00040886 -4 *131:7 0.00240313 -5 *473:A *421:A 1.83257e-05 -6 *477:A *398:A 8.72968e-05 -7 *477:A *141:57 3.86789e-05 -8 *477:A *142:5 3.89073e-05 -9 *131:7 *476:A 3.61531e-05 -10 *223:DIODE *473:A 3.61356e-05 -11 *247:DIODE *473:A 2.73863e-06 -12 *397:A *477:A 0.000301741 -13 *417:B_N *131:7 0.000154842 -14 *424:A *473:A 3.74935e-05 -15 *434:A *477:A 6.36477e-05 -16 *434:B *477:A 0.000114594 -17 *444:RESET_B *477:A 0.000320071 -18 *445:RESET_B *477:A 1.91246e-05 -19 *450:SET_B *473:A 0.000623551 -20 *3:7 *477:A 1.18596e-05 -21 *3:9 *473:A 1.26939e-05 -22 *3:9 *477:A 7.03191e-05 -23 *5:38 *477:A 7.97972e-05 -24 *113:52 *477:A 5.76707e-05 +1 *471:TE_B 0.000194003 +2 *357:X 0.000194003 +3 *15:11 *471:TE_B 1.59968e-05 +4 *26:23 *471:TE_B 0.000159244 *RES -1 *469:X *131:7 20.0186 -2 *131:7 *473:A 30.1853 -3 *131:7 *477:A 40.8369 +1 *357:X *471:TE_B 32.4356 *END -*D_NET *132 0.00544401 -*CONN -*I *478:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *474:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *470:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *478:A 0.000712888 -2 *474:A 0.000856799 -3 *470:X 0 -4 *132:4 0.00156969 -5 *474:A *439:D 0.000168313 -6 *478:A *441:D 7.78924e-05 -7 *478:A *148:16 0.000136293 -8 *373:A *474:A 0.000354071 -9 *373:B_N *474:A 0.000449878 -10 *374:A *474:A 0.00031834 -11 *379:A *474:A 2.895e-05 -12 *382:A *478:A 1.4091e-06 -13 *470:A *474:A 8.04841e-05 -14 *5:12 *474:A 0.000176652 -15 *6:23 *474:A 3.33645e-05 -16 *32:261 *478:A 1.47716e-05 -17 *78:19 *478:A 2.48716e-05 -18 *114:9 *474:A 0.000148679 -19 *114:26 *474:A 0.000290668 -*RES -1 *470:X *132:4 9.24915 -2 *132:4 *474:A 45.3436 -3 *132:4 *478:A 29.9782 -*END - -*D_NET *133 0.0053826 -*CONN -*I *479:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *475:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *471:X O *D sky130_fd_sc_hd__clkbuf_16 -*CAP -1 *479:A 0.000367415 -2 *475:A 0.000641273 -3 *471:X 0 -4 *133:5 0.00100869 -5 *475:A *448:CLK_N 0.000137714 -6 *475:A *476:A 0.000152131 -7 *475:A *500:A 6.27782e-05 -8 *479:A *476:A 0.000185635 -9 *479:A *480:A 0.000492221 -10 *226:DIODE *475:A 0.000114594 -11 *445:SET_B *475:A 4.61454e-05 -12 *450:RESET_B *475:A 0.00019514 -13 *2:28 *479:A 0.000110297 -14 *32:41 *479:A 4.59702e-05 -15 *32:153 *479:A 0.000311545 -16 *32:157 *475:A 0.00067581 -17 *32:157 *479:A 0.00036817 -18 *88:10 *479:A 0.000211299 -19 *89:8 *475:A 0.000169219 -20 *89:17 *475:A 1.59525e-05 -21 *98:20 *475:A 7.06002e-05 -*RES -1 *471:X *133:5 13.7491 -2 *133:5 *475:A 34.5868 -3 *133:5 *479:A 29.5954 -*END - -*D_NET *134 0.00547822 +*D_NET *131 0.00650359 *CONN *I *480:A I *D sky130_fd_sc_hd__clkbuf_2 *I *476:A I *D sky130_fd_sc_hd__clkbuf_2 *I *472:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *480:A 0.000467668 -2 *476:A 0.000460891 -3 *472:X 1.47608e-05 -4 *134:7 0.00094332 -5 *480:A *467:A 2.16355e-05 -6 *480:A *141:57 6.08467e-05 -7 pad_gpio_ib_mode_sel *134:7 6.08467e-05 -8 *417:B_N *476:A 0.000208048 -9 *424:A *476:A 0.000404848 -10 *437:A *476:A 2.16355e-05 -11 *437:B_N *476:A 0.000353749 -12 *438:A *476:A 6.13051e-05 -13 *445:SET_B *476:A 0.000336507 -14 *445:SET_B *480:A 0.000387951 -15 *469:A *476:A 2.56759e-05 -16 *475:A *476:A 0.000152131 -17 *479:A *476:A 0.000185635 -18 *479:A *480:A 0.000492221 -19 *2:19 *480:A 0.000309859 -20 *3:7 *480:A 0 -21 *3:28 *134:7 6.08467e-05 -22 *32:30 *480:A 1.2014e-05 -23 *32:32 *480:A 0.000160483 -24 *32:41 *480:A 1.66771e-05 -25 *32:153 *480:A 1.03844e-05 -26 *32:246 *480:A 0.000101824 -27 *89:34 *476:A 0.000110306 -28 *131:7 *476:A 3.61531e-05 +1 *480:A 0 +2 *476:A 0.000312239 +3 *472:X 0 +4 *131:30 0.000922157 +5 *131:5 0.0012344 +6 *476:A *450:CLK_N 0.000233612 +7 *476:A *166:8 1.03594e-05 +8 *131:30 *359:A1 0.00015765 +9 *131:30 *464:CLK 8.03393e-06 +10 *131:30 *482:A 0.00012826 +11 *131:30 *483:A 0.000265789 +12 *131:30 *141:7 0.000313283 +13 *131:30 *141:53 0.000495332 +14 *131:30 *142:7 1.1718e-05 +15 *131:30 *145:12 0 +16 *131:30 *166:8 0.000902003 +17 *199:DIODE *131:30 8.49356e-05 +18 *375:A *131:30 2.39513e-05 +19 *2:13 *131:30 1.93184e-05 +20 *15:28 *476:A 7.25635e-05 +21 *15:28 *131:30 0.000250377 +22 *32:93 *131:30 0.000208062 +23 *89:21 *476:A 6.20363e-05 +24 *113:32 *131:30 0.000131076 +25 *113:50 *476:A 0.000266267 +26 *113:50 *131:30 0.000186579 +27 *113:76 *131:30 0.000203595 *RES -1 *472:X *134:7 14.4725 -2 *134:7 *476:A 31.8491 -3 *134:7 *480:A 30.8384 +1 *472:X *131:5 13.7491 +2 *131:5 *476:A 24.2704 +3 *131:5 *131:30 48.274 +4 *131:30 *480:A 9.24915 *END -*D_NET *135 0.00261446 +*D_NET *132 0.00556895 *CONN -*I *416:A I *D sky130_fd_sc_hd__inv_2 -*I *421:A I *D sky130_fd_sc_hd__inv_2 -*I *473:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *481:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *477:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *473:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *416:A 7.91874e-05 -2 *421:A 0.000367641 +1 *481:A 0.000890229 +2 *477:A 0.00066319 3 *473:X 0 -4 *135:4 0.000446829 -5 *416:A *447:CLK_N 0.000175133 -6 *421:A *447:CLK_N 0.0003505 -7 *421:A *500:A 1.03594e-05 -8 pad_gpio_ana_sel *421:A 1.88563e-05 -9 *247:DIODE *416:A 0.000145849 -10 *247:DIODE *421:A 0.00082687 -11 *450:SET_B *416:A 0.000111352 -12 *450:SET_B *421:A 6.35544e-05 -13 *473:A *421:A 1.83257e-05 +4 *132:4 0.00155342 +5 *477:A *456:D 2.01667e-05 +6 *477:A *492:A 6.46815e-05 +7 *477:A *162:5 2.41961e-05 +8 *481:A *445:CLK_N 5.04829e-06 +9 *481:A *470:A 0.000304866 +10 *376:A *477:A 0.000151012 +11 *376:B_N *477:A 0.000553693 +12 *377:A *477:A 0.000155 +13 *382:A *477:A 4.18219e-05 +14 *393:A *481:A 0.000256285 +15 *2:13 *481:A 0.000128231 +16 *4:14 *481:A 0.000129489 +17 *5:12 *477:A 0.000201811 +18 *6:28 *477:A 6.89977e-05 +19 *32:236 *477:A 0.000198334 +20 *114:9 *477:A 6.48127e-05 +21 *114:27 *477:A 9.36714e-05 *RES -1 *473:X *135:4 9.24915 -2 *135:4 *421:A 30.924 -3 *135:4 *416:A 13.3484 +1 *473:X *132:4 9.24915 +2 *132:4 *477:A 41.7561 +3 *132:4 *481:A 34.5597 *END -*D_NET *136 0.00357781 +*D_NET *133 0.00620669 *CONN -*I *370:A I *D sky130_fd_sc_hd__inv_2 -*I *377:A I *D sky130_fd_sc_hd__inv_2 -*I *393:A I *D sky130_fd_sc_hd__inv_2 -*I *474:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *482:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *478:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *474:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *370:A 0.000149674 -2 *377:A 0 -3 *393:A 0.000154362 -4 *474:X 0.000231295 -5 *136:11 0.000691778 -6 *136:7 0.000918385 -7 *370:A *439:CLK_N 0.000122858 -8 *136:7 *439:CLK_N 3.25052e-05 -9 *136:7 *439:D 5.04829e-06 -10 *136:11 *440:CLK_N 1.88422e-05 -11 *136:11 *440:D 6.6641e-05 -12 *216:DIODE *136:11 0.000310823 -13 *233:DIODE *370:A 4.20184e-06 -14 *374:A *370:A 0.000127926 -15 *374:A *136:11 0.000118002 -16 *375:A *136:11 0.000159038 -17 *375:B *136:11 6.36477e-05 -18 *5:12 *136:11 0.000112361 -19 *8:38 *393:A 9.98345e-05 -20 *8:38 *136:11 0.000190589 +1 *482:A 0.000611575 +2 *478:A 0.000487281 +3 *474:X 4.75571e-05 +4 *133:7 0.00114641 +5 *478:A *451:CLK_N 3.96696e-05 +6 *478:A *467:D 0.000755104 +7 *478:A *501:A 0.000107496 +8 *478:A *172:11 7.79081e-05 +9 *482:A *467:D 0.000290249 +10 *482:A *504:A 4.96388e-05 +11 *482:A *142:7 0.000157107 +12 *482:A *145:12 0.00010956 +13 *219:DIODE *482:A 0.000501884 +14 *224:DIODE *478:A 0.000306074 +15 *427:A *478:A 3.96695e-05 +16 *34:31 *133:7 0.000209388 +17 *40:10 *133:7 0.000162739 +18 *88:16 *478:A 7.59661e-05 +19 *88:16 *482:A 9.36989e-05 +20 *99:16 *478:A 0.000398013 +21 *113:32 *482:A 7.18466e-05 +22 *113:36 *482:A 3.68669e-05 +23 *113:50 *482:A 0.000302722 +24 *131:30 *482:A 0.00012826 *RES -1 *474:X *136:7 17.2456 -2 *136:7 *136:11 21.1852 -3 *136:11 *393:A 13.3484 -4 *136:11 *377:A 9.24915 -5 *136:7 *370:A 18.9764 +1 *474:X *133:7 16.1364 +2 *133:7 *478:A 33.1989 +3 *133:7 *482:A 35.9635 *END -*D_NET *137 0.00880623 +*D_NET *134 0.00475382 *CONN -*I *464:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *458:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *460:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *459:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *457:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *456:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *475:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *483:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *479:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *475:X O *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 *464:CLK 0.00016872 -2 *458:CLK 3.98671e-05 -3 *460:CLK 0.000513213 -4 *459:CLK 0 -5 *457:CLK 0.000611381 -6 *456:CLK 0.000693454 -7 *475:X 0 -8 *137:35 0.000815527 -9 *137:30 0.00085228 -10 *137:22 0.000319766 -11 *137:20 0.000515266 -12 *137:4 0.000895023 -13 *456:CLK *450:D 4.78554e-05 -14 *456:CLK *489:A 6.08467e-05 -15 *456:CLK *143:17 0.000350971 -16 *456:CLK *191:7 0.000154145 -17 *457:CLK *457:D 1.78942e-05 -18 *458:CLK *436:A 5.34035e-05 -19 *460:CLK *459:D 5.50103e-05 -20 *460:CLK *194:5 0.000113107 -21 *464:CLK *449:D 0.0005067 -22 *137:20 *436:A 2.67124e-05 -23 *137:20 *450:D 0.000107496 -24 *137:22 *436:A 5.50879e-05 -25 *137:30 *458:D 4.73827e-05 -26 *137:35 *459:D 9.28161e-05 -27 pad_gpio_ana_en *464:CLK 0.000161669 -28 *226:DIODE *456:CLK 0.000270259 -29 *226:DIODE *137:20 4.9e-05 -30 *424:B *456:CLK 8.94675e-05 -31 *425:A *456:CLK 1.74666e-05 -32 *435:A *456:CLK 9.74395e-05 -33 *437:A *456:CLK 6.93171e-05 -34 *449:RESET_B *464:CLK 0.000113436 -35 *449:RESET_B *137:20 0.000114659 -36 *450:RESET_B *137:20 0.000109421 -37 *451:SET_B *458:CLK 0.000114594 -38 *459:RESET_B *460:CLK 5.52256e-05 -39 *24:10 *456:CLK 3.20264e-05 -40 *32:163 *464:CLK 6.90063e-05 -41 *34:23 *456:CLK 0.000124034 -42 *98:20 *464:CLK 3.42081e-05 -43 *100:12 *456:CLK 0.000171082 +1 *483:A 0.00047056 +2 *479:A 0.000659689 +3 *475:X 0 +4 *134:5 0.00113025 +5 *479:A *467:D 6.95657e-05 +6 *483:A *467:D 2.54347e-06 +7 *483:A *504:A 2.12403e-05 +8 *483:A *141:53 1.1718e-05 +9 pad_gpio_outenb *483:A 0.000149032 +10 *199:DIODE *483:A 3.5496e-05 +11 *427:A *479:A 3.54435e-05 +12 *440:B_N *479:A 0.000117376 +13 *447:RESET_B *483:A 0.00029304 +14 *472:A *479:A 0.000167345 +15 *2:13 *483:A 0.00010857 +16 *2:20 *483:A 0.000173574 +17 *15:28 *479:A 0.000201943 +18 *15:28 *483:A 0.000487482 +19 *88:16 *479:A 0.000282579 +20 *89:21 *479:A 4.99214e-05 +21 *113:32 *483:A 1.27839e-05 +22 *113:36 *483:A 7.87956e-06 +23 *131:30 *483:A 0.000265789 *RES -1 *475:X *137:4 9.24915 -2 *137:4 *456:CLK 39.529 -3 *137:4 *137:20 10.5168 -4 *137:20 *137:22 3.493 -5 *137:22 *137:30 7.23578 -6 *137:30 *137:35 5.20845 -7 *137:35 *457:CLK 30.029 -8 *137:35 *459:CLK 9.24915 -9 *137:30 *460:CLK 18.3398 -10 *137:22 *458:CLK 15.6059 -11 *137:20 *464:CLK 22.2611 +1 *475:X *134:5 13.7491 +2 *134:5 *479:A 32.4037 +3 *134:5 *483:A 31.5564 *END -*D_NET *138 0.00370447 +*D_NET *135 0.00222741 *CONN -*I *431:A I *D sky130_fd_sc_hd__inv_2 -*I *436:A I *D sky130_fd_sc_hd__inv_2 -*I *426:A I *D sky130_fd_sc_hd__inv_2 +*I *419:A I *D sky130_fd_sc_hd__inv_2 +*I *424:A I *D sky130_fd_sc_hd__inv_2 *I *476:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *431:A 8.88689e-05 -2 *436:A 0.000441556 -3 *426:A 9.38463e-05 -4 *476:X 0.000106093 -5 *138:11 0.000783922 -6 *138:6 0.000443482 -7 *436:A *458:D 2.58757e-05 -8 *436:A *193:7 0.000134304 -9 *138:11 *450:CLK_N 0.000114659 -10 *231:DIODE *426:A 9.95542e-06 -11 *231:DIODE *436:A 8.23171e-06 -12 *231:DIODE *138:6 8.36429e-06 -13 *427:B_N *431:A 1.05106e-05 -14 *428:A *138:11 7.26606e-05 -15 *438:A *426:A 5.71227e-05 -16 *438:A *436:A 2.58616e-05 -17 *438:A *138:6 4.29164e-05 -18 *438:A *138:11 0.000180288 -19 *449:RESET_B *436:A 0.000180908 -20 *449:SET_B *138:11 0.00013832 -21 *450:RESET_B *436:A 9.50523e-05 -22 *451:SET_B *436:A 3.51017e-05 -23 *458:CLK *436:A 5.34035e-05 -24 *458:RESET_B *138:11 1.60278e-05 -25 *9:31 *431:A 3.21461e-05 -26 *9:45 *431:A 0.000107198 -27 *10:30 *431:A 0.000305634 -28 *98:20 *138:11 1.03594e-05 -29 *137:20 *436:A 2.67124e-05 -30 *137:22 *436:A 5.50879e-05 +1 *419:A 0.000132581 +2 *424:A 0.000476078 +3 *476:X 0 +4 *135:4 0.000608659 +5 *419:A *450:CLK_N 0.000178952 +6 *424:A *450:CLK_N 0.00035344 +7 *424:A *501:A 1.03594e-05 +8 pad_gpio_ana_sel *424:A 0.000101411 +9 *453:SET_B *424:A 6.30826e-05 +10 *98:22 *424:A 0.000250405 +11 *99:16 *424:A 5.24463e-05 *RES -1 *476:X *138:6 16.4116 -2 *138:6 *138:11 12.309 -3 *138:11 *426:A 11.6605 -4 *138:11 *436:A 32.3598 -5 *138:6 *431:A 17.8243 +1 *476:X *135:4 9.24915 +2 *135:4 *424:A 30.924 +3 *135:4 *419:A 13.3484 *END -*D_NET *139 0.00938361 +*D_NET *136 0.00376489 *CONN -*I *411:A I *D sky130_fd_sc_hd__inv_2 -*I *405:A I *D sky130_fd_sc_hd__inv_2 -*I *399:A I *D sky130_fd_sc_hd__inv_2 +*I *373:A I *D sky130_fd_sc_hd__inv_2 +*I *396:A I *D sky130_fd_sc_hd__inv_2 +*I *380:A I *D sky130_fd_sc_hd__inv_2 *I *477:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *411:A 0 -2 *405:A 0.000813726 -3 *399:A 0 +1 *373:A 0.000130328 +2 *396:A 0.000122604 +3 *380:A 0 4 *477:X 0 -5 *139:44 0.00118631 -6 *139:19 0.00154391 -7 *139:5 0.0019165 -8 *405:A *453:CLK 0.000121494 -9 *405:A *454:D 6.36477e-05 -10 *405:A *141:15 5.53627e-05 -11 *139:19 *445:CLK_N 6.08467e-05 -12 *139:19 *491:A 0.000143175 -13 *139:19 *141:15 1.63255e-05 -14 *139:19 *165:16 0.000329879 -15 *139:44 *355:A_N 4.47179e-05 -16 *139:44 *148:16 0.000678343 -17 *212:DIODE *139:44 0.000109421 -18 *386:A *139:44 7.92757e-06 -19 *387:A *139:44 0.000106512 -20 *391:A *139:44 1.43698e-05 -21 *391:B *139:44 1.41976e-05 -22 *392:A *139:44 1.65872e-05 -23 *442:SET_B *139:44 0.00016195 -24 *443:SET_B *139:44 0.000544377 -25 *452:RESET_B *405:A 4.78554e-05 -26 *2:8 *139:44 0.000211417 -27 *2:19 *139:44 4.81452e-05 -28 *8:38 *139:19 4.20594e-05 -29 *11:23 *139:19 0.000216836 -30 *12:8 *139:44 0.000233042 -31 *13:26 *139:44 0.000317466 -32 *32:261 *139:44 2.39228e-05 -33 *32:283 *139:44 8.21761e-06 -34 *76:14 *139:19 8.36429e-06 -35 *76:14 *139:44 4.01117e-05 -36 *76:33 *139:44 6.95586e-05 -37 *100:12 *139:19 0.000138696 -38 *114:26 *139:44 2.83365e-05 +5 *136:13 0.000707591 +6 *136:5 0.000715315 +7 *373:A *442:CLK_N 3.62414e-05 +8 *396:A *446:CLK_N 8.60676e-05 +9 *136:13 *443:CLK_N 1.65872e-05 +10 *136:13 *456:D 0.000309468 +11 *136:13 *490:A 6.46815e-05 +12 *208:DIODE *136:13 0.000235459 +13 *232:DIODE *373:A 0.000209636 +14 *378:A *136:13 0.000157107 +15 *379:A *136:13 1.82679e-05 +16 *1:21 *373:A 0.000209636 +17 *5:12 *136:13 3.27973e-05 +18 *6:28 *136:13 6.36477e-05 +19 *10:10 *396:A 2.78496e-05 +20 *32:236 *373:A 0.000211635 +21 *32:236 *136:13 0.000409968 *RES -1 *477:X *139:5 13.7491 -2 *139:5 *139:19 25.8898 -3 *139:19 *399:A 9.24915 -4 *139:19 *405:A 32.1913 -5 *139:5 *139:44 42.1634 -6 *139:44 *411:A 9.24915 +1 *477:X *136:5 13.7491 +2 *136:5 *136:13 24.7057 +3 *136:13 *380:A 9.24915 +4 *136:13 *396:A 13.3484 +5 *136:5 *373:A 20.0446 *END -*D_NET *140 0.00215749 +*D_NET *137 0.00760227 *CONN -*I *388:A I *D sky130_fd_sc_hd__inv_2 -*I *383:A I *D sky130_fd_sc_hd__inv_2 +*I *459:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *461:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *463:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *467:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *462:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *460:CLK I *D sky130_fd_sc_hd__dfrtp_2 *I *478:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *388:A 0.000107164 -2 *383:A 0.000278455 -3 *478:X 0 -4 *140:5 0.000385619 -5 *383:A *442:CLK_N 0.000396674 -6 *383:A *148:16 0.000345062 -7 *388:A *442:CLK_N 0.000274667 -8 *388:A *148:16 0.000340291 -9 *27:12 *383:A 7.92757e-06 -10 *32:283 *388:A 5.04829e-06 -11 *32:289 *388:A 1.65872e-05 +1 *459:CLK 0.000314141 +2 *461:CLK 0 +3 *463:CLK 0 +4 *467:CLK 0.000251888 +5 *462:CLK 0 +6 *460:CLK 0.000119153 +7 *478:X 0.000248541 +8 *137:36 0.00100794 +9 *137:24 0.00100531 +10 *137:14 0.000487541 +11 *137:12 0.000396259 +12 *137:7 0.000839813 +13 *460:CLK *173:12 5.81374e-05 +14 *467:CLK *454:D 0.000161165 +15 *467:CLK *467:D 2.57986e-05 +16 *137:7 *429:A 0.000159908 +17 *137:7 *439:A 0.000343703 +18 *137:7 *452:CLK_N 1.29665e-05 +19 *137:12 *452:CLK_N 5.62448e-05 +20 *137:12 *452:D 5.33005e-05 +21 *137:12 *487:TE 0 +22 *137:14 *452:D 1.67286e-05 +23 *137:14 *173:12 4.14221e-05 +24 *137:36 *454:D 6.3657e-05 +25 *137:36 *462:D 6.27718e-05 +26 *137:36 *499:A 1.17054e-05 +27 pad_gpio_ana_en *467:CLK 9.4732e-05 +28 *227:DIODE *137:7 0.000114594 +29 *238:DIODE *137:36 9.09838e-05 +30 *452:SET_B *137:7 0.00015607 +31 *453:RESET_B *137:7 0.000203756 +32 *461:RESET_B *459:CLK 3.87022e-06 +33 *461:RESET_B *137:12 4.35447e-05 +34 *462:RESET_B *137:36 2.38081e-06 +35 *9:17 *459:CLK 8.44458e-05 +36 *32:158 *459:CLK 0.000363404 +37 *32:163 *459:CLK 0.000126335 +38 *32:185 *137:24 0.000112367 +39 *32:185 *137:36 0.000172748 +40 *110:10 *459:CLK 0.000294944 *RES -1 *478:X *140:5 13.7491 -2 *140:5 *383:A 23.3077 -3 *140:5 *388:A 18.7989 +1 *478:X *137:7 22.237 +2 *137:7 *137:12 8.13406 +3 *137:12 *137:14 3.493 +4 *137:14 *460:CLK 17.2421 +5 *137:14 *137:24 10.2148 +6 *137:24 *462:CLK 9.24915 +7 *137:24 *137:36 13.9615 +8 *137:36 *467:CLK 25.3723 +9 *137:36 *463:CLK 9.24915 +10 *137:12 *461:CLK 13.7491 +11 *137:7 *459:CLK 26.3777 *END -*D_NET *141 0.0140271 +*D_NET *138 0.0047615 *CONN -*I *466:A I *D sky130_fd_sc_hd__buf_2 -*I *462:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *463:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *461:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *452:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *454:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *453:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *455:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *434:A I *D sky130_fd_sc_hd__inv_2 +*I *439:A I *D sky130_fd_sc_hd__inv_2 +*I *429:A I *D sky130_fd_sc_hd__inv_2 *I *479:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *466:A 0.000503086 -2 *462:CLK 0 -3 *463:CLK 0.000382957 -4 *461:CLK 3.72549e-05 -5 *452:CLK 0.000335073 -6 *454:CLK 0 -7 *453:CLK 0.000159178 -8 *455:CLK 9.20222e-05 -9 *479:X 0 -10 *141:68 0.000450067 -11 *141:67 0.00105651 -12 *141:57 0.000888317 -13 *141:15 0.000983452 -14 *141:10 0.000855285 -15 *141:7 0.000938367 -16 *141:4 0.000845015 -17 *452:CLK *440:CLK_N 6.93171e-05 -18 *453:CLK *445:CLK_N 2.23108e-05 -19 *453:CLK *455:D 0.000125793 -20 *453:CLK *504:A 0.000151494 -21 *455:CLK *455:D 9.85505e-05 -22 *463:CLK *463:D 6.20858e-05 -23 *463:CLK *508:A 6.68963e-06 -24 *463:CLK *145:27 0 -25 *463:CLK *159:15 0.000435277 -26 *463:CLK *196:8 6.14474e-06 -27 *466:A *442:CLK_N 5.38612e-05 -28 *466:A *467:A 0.000597913 -29 *466:A *159:15 1.20108e-05 -30 *466:A *196:8 3.57378e-06 -31 *141:7 *454:D 0.000160047 -32 *141:7 *491:A 0.000112361 -33 *141:7 *503:A 6.04199e-05 -34 *141:10 *454:D 6.09593e-05 -35 *141:15 *454:D 1.27193e-05 -36 *141:57 *467:A 2.71757e-05 -37 *141:57 *142:5 3.82228e-05 -38 *141:67 *461:D 6.27718e-05 -39 *141:67 *467:A 0.00012784 -40 *141:68 *196:8 2.60879e-06 -41 *208:DIODE *452:CLK 2.99048e-05 -42 *218:DIODE *466:A 7.09666e-06 -43 *218:DIODE *141:68 1.5714e-05 -44 *234:DIODE *455:CLK 3.00829e-05 -45 *242:DIODE *466:A 6.64392e-05 -46 *367:A *452:CLK 3.10396e-05 -47 *376:A *452:CLK 0.000114659 -48 *382:A *466:A 0.000253768 -49 *387:A *466:A 0.00016419 -50 *390:A *466:A 6.27782e-05 -51 *397:A *141:57 0.00011815 -52 *401:A *141:7 0.000256037 -53 *405:A *453:CLK 0.000121494 -54 *405:A *141:15 5.53627e-05 -55 *441:RESET_B *466:A 6.03248e-05 -56 *442:RESET_B *466:A 0.000159894 -57 *452:RESET_B *453:CLK 1.84519e-05 -58 *472:A *463:CLK 0 -59 *472:A *466:A 0 -60 *477:A *141:57 3.86789e-05 -61 *480:A *141:57 6.08467e-05 -62 *3:7 *141:57 0.000265298 -63 *6:23 *452:CLK 1.45799e-05 -64 *8:13 *452:CLK 0.000160001 -65 *32:29 *466:A 2.23978e-05 -66 *32:29 *141:68 3.40063e-05 -67 *32:41 *141:7 0.000886031 -68 *32:50 *141:10 0.000141811 -69 *32:97 *455:CLK 4.4426e-06 -70 *32:139 *453:CLK 2.53533e-05 -71 *32:139 *455:CLK 2.09602e-05 -72 *36:37 *452:CLK 2.16355e-05 -73 *78:19 *463:CLK 2.99997e-05 -74 *78:19 *466:A 7.71607e-05 -75 *78:19 *141:68 0.000103506 -76 *85:16 *463:CLK 2.41274e-06 -77 *85:39 *141:7 0.000190903 -78 *90:13 *463:CLK 0.000326969 -79 *110:39 *452:CLK 0.00010448 -80 *110:39 *141:10 1.74019e-05 -81 *113:52 *141:57 5.97738e-05 -82 *139:19 *141:15 1.63255e-05 +1 *434:A 0.000139939 +2 *439:A 0.00064825 +3 *429:A 5.77979e-05 +4 *479:X 0.000153696 +5 *138:10 0.000827693 +6 *138:6 0.00041528 +7 *434:A *453:CLK_N 0.000174996 +8 *439:A *453:D 2.86829e-05 +9 *439:A *462:D 0.000180238 +10 *439:A *502:A 0.000168313 +11 *439:A *172:11 6.08467e-05 +12 *138:6 *447:D 1.69371e-05 +13 *138:6 *460:D 0.000111324 +14 *138:10 *460:D 4.91786e-05 +15 *228:DIODE *439:A 0.000500992 +16 *431:A *434:A 0.000110458 +17 *431:A *138:6 9.43419e-05 +18 *431:A *138:10 8.36586e-06 +19 *441:A *429:A 5.94498e-05 +20 *441:A *439:A 9.81626e-05 +21 *452:SET_B *138:10 6.93171e-05 +22 *453:RESET_B *439:A 1.65872e-05 +23 *10:11 *138:6 7.07282e-05 +24 *10:13 *138:6 1.80448e-05 +25 *10:19 *138:10 7.85668e-06 +26 *32:163 *434:A 2.16355e-05 +27 *98:22 *138:10 0.00014878 +28 *137:7 *429:A 0.000159908 +29 *137:7 *439:A 0.000343703 *RES -1 *479:X *141:4 9.24915 -2 *141:4 *141:7 20.1977 -3 *141:7 *141:10 8.40826 -4 *141:10 *141:15 16.0502 -5 *141:15 *455:CLK 16.7198 -6 *141:15 *453:CLK 20.3446 -7 *141:10 *454:CLK 9.24915 -8 *141:7 *452:CLK 24.6829 -9 *141:4 *141:57 17.6504 -10 *141:57 *461:CLK 10.2378 -11 *141:57 *141:67 11.8786 -12 *141:67 *141:68 2.24725 -13 *141:68 *463:CLK 25.7188 -14 *141:68 *462:CLK 13.7491 -15 *141:67 *466:A 31.8407 +1 *479:X *138:6 19.3184 +2 *138:6 *138:10 8.40826 +3 *138:10 *429:A 11.6605 +4 *138:10 *439:A 39.6709 +5 *138:6 *434:A 17.9931 *END -*D_NET *142 0.00761474 +*D_NET *139 0.00904467 *CONN -*I *467:A I *D sky130_fd_sc_hd__buf_2 -*I *369:A I *D sky130_fd_sc_hd__buf_1 -*I *398:A I *D sky130_fd_sc_hd__buf_1 +*I *414:A I *D sky130_fd_sc_hd__inv_2 +*I *402:A I *D sky130_fd_sc_hd__inv_2 +*I *408:A I *D sky130_fd_sc_hd__inv_2 *I *480:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *467:A 0.00064224 -2 *369:A 0 -3 *398:A 0.000928419 +1 *414:A 0.000842235 +2 *402:A 6.01902e-05 +3 *408:A 0.000661663 4 *480:X 0 -5 *142:5 0.00102728 -6 *142:4 0.000741102 -7 *398:A *143:17 6.45085e-05 -8 *398:A *165:16 7.40736e-05 -9 *398:A *170:11 0.000667857 -10 *467:A *461:D 2.58616e-05 -11 *467:A *159:15 8.21184e-05 -12 *467:A *171:15 0.000248651 -13 *467:A *196:8 3.00174e-06 -14 *206:DIODE *467:A 0.000209407 -15 *206:DIODE *142:5 1.65872e-05 -16 *218:DIODE *467:A 2.41274e-06 -17 *372:A *398:A 0.000351465 -18 *372:A *142:5 0.000267394 -19 *387:A *467:A 0.000114594 -20 *402:A *398:A 6.95329e-05 -21 *434:A *142:5 0.000114584 -22 *434:B *398:A 6.3657e-05 -23 *434:B *142:5 5.09367e-05 -24 *441:RESET_B *467:A 0.000274839 -25 *444:SET_B *398:A 0.000215465 -26 *466:A *467:A 0.000597913 -27 *477:A *398:A 8.72968e-05 -28 *477:A *142:5 3.89073e-05 -29 *480:A *467:A 2.16355e-05 -30 *8:38 *398:A 6.13051e-05 -31 *10:9 *398:A 0.000251948 -32 *32:261 *467:A 0.000106506 -33 *141:57 *467:A 2.71757e-05 -34 *141:57 *142:5 3.82228e-05 -35 *141:67 *467:A 0.00012784 +5 *139:9 0.00157189 +6 *139:5 0.00169227 +7 *402:A *448:CLK_N 6.27718e-05 +8 *402:A *141:11 0.000110458 +9 *402:A *141:15 1.41976e-05 +10 *408:A *456:CLK 0.00011815 +11 *408:A *511:A 5.62448e-05 +12 *408:A *141:15 0.000213194 +13 *414:A *455:CLK 6.23012e-05 +14 *414:A *148:13 7.20028e-05 +15 *139:9 *448:CLK_N 0.000206552 +16 *139:9 *455:CLK 3.20407e-05 +17 *139:9 *141:11 0.000613618 +18 *211:DIODE *414:A 4.36956e-05 +19 *213:DIODE *414:A 0.000100065 +20 *221:DIODE *414:A 6.54273e-05 +21 *390:A *414:A 9.81288e-06 +22 *393:A *414:A 0.000321483 +23 *394:A *414:A 4.61271e-05 +24 *394:B *414:A 5.04829e-06 +25 *395:A *414:A 0.000412708 +26 *446:SET_B *414:A 0.000519352 +27 *2:10 *414:A 0.000128012 +28 *11:14 *414:A 2.86799e-05 +29 *11:19 *139:9 0.000310286 +30 *12:8 *414:A 0.000171305 +31 *13:28 *414:A 0.000362782 +32 *32:93 *139:9 6.07928e-05 +33 *76:29 *414:A 0 +34 *114:27 *414:A 6.93171e-05 *RES -1 *480:X *142:4 9.24915 -2 *142:4 *142:5 4.60562 -3 *142:5 *398:A 49.1387 -4 *142:5 *369:A 9.24915 -5 *142:4 *467:A 42.2218 +1 *480:X *139:5 13.7491 +2 *139:5 *139:9 23.6795 +3 *139:9 *408:A 30.0934 +4 *139:9 *402:A 11.5158 +5 *139:5 *414:A 44.8725 *END -*D_NET *143 0.00358588 +*D_NET *140 0.00173197 *CONN -*I *484:TE I *D sky130_fd_sc_hd__einvp_8 -*I *485:gpio_logic1 O *D gpio_logic_high +*I *391:A I *D sky130_fd_sc_hd__inv_2 +*I *386:A I *D sky130_fd_sc_hd__inv_2 +*I *481:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *484:TE 0 -2 *485:gpio_logic1 0.000889973 -3 *143:17 0.000889973 -4 *143:17 *450:CLK_N 1.35725e-05 -5 *143:17 *457:D 0.000444482 -6 *143:17 *489:A 4.70559e-05 -7 *228:DIODE *143:17 0.000113713 -8 *247:DIODE *143:17 3.73375e-05 -9 *398:A *143:17 6.45085e-05 -10 *456:CLK *143:17 0.000350971 -11 *458:RESET_B *143:17 0.000120843 -12 *32:57 *143:17 0.000262481 -13 *34:23 *143:17 0.000350971 +1 *391:A 0.000101362 +2 *386:A 0.000175115 +3 *481:X 0 +4 *140:5 0.000276477 +5 *386:A *445:CLK_N 0.000297277 +6 *386:A *148:13 3.16904e-05 +7 *391:A *445:CLK_N 0.000273982 +8 *391:A *470:A 0.000111675 +9 *385:A *386:A 0.000293459 +10 *385:A *391:A 0.00011319 +11 *393:A *391:A 3.00174e-06 +12 *3:21 *386:A 1.59362e-06 +13 *27:10 *386:A 5.31465e-05 *RES -1 *485:gpio_logic1 *143:17 42.1641 -2 *143:17 *484:TE 9.24915 +1 *481:X *140:5 13.7491 +2 *140:5 *386:A 21.5904 +3 *140:5 *391:A 18.2712 *END -*D_NET *144 0.00387789 +*D_NET *141 0.0135541 *CONN -*I *356:A1 I *D sky130_fd_sc_hd__a31o_2 -*I *353:B_N I *D sky130_fd_sc_hd__or2b_2 -*I *445:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *469:A I *D sky130_fd_sc_hd__buf_2 +*I *466:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *465:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *464:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *455:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *457:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *456:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *458:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *482:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *356:A1 0.000120368 -2 *353:B_N 0.000217218 -3 *445:Q 0.00112974 -4 *144:11 0.00146732 -5 *356:A1 *508:A 5.04829e-06 -6 *356:A1 *169:17 1.5714e-05 -7 *144:11 *446:CLK_N 9.44796e-05 -8 *144:11 *169:17 1.58551e-05 -9 *220:DIODE *144:11 3.82222e-05 -10 *362:B1 *353:B_N 3.0676e-05 -11 *397:A *144:11 6.63489e-05 -12 *445:SET_B *144:11 5.92184e-05 -13 *446:RESET_B *353:B_N 0.000231274 -14 *15:30 *356:A1 1.97124e-05 -15 *28:8 *353:B_N 5.8973e-05 -16 *91:14 *353:B_N 0.000196037 -17 *91:14 *356:A1 8.64293e-05 -18 *104:15 *353:B_N 8.70662e-06 -19 *104:15 *356:A1 1.65477e-05 +1 *469:A 0.000711111 +2 *466:CLK 0.000359672 +3 *465:CLK 0 +4 *464:CLK 5.09578e-05 +5 *455:CLK 0.000670589 +6 *457:CLK 0 +7 *456:CLK 0.000161876 +8 *458:CLK 0.000125596 +9 *482:X 0 +10 *141:69 0.000534301 +11 *141:63 0.00131079 +12 *141:53 0.00067331 +13 *141:15 0.000631694 +14 *141:11 0.000946342 +15 *141:7 0.00144772 +16 *141:4 0.00037232 +17 *455:CLK *446:D 4.87301e-05 +18 *456:CLK *456:D 3.44412e-06 +19 *456:CLK *511:A 8.36429e-06 +20 *466:CLK *365:A1 1.55025e-05 +21 *466:CLK *466:D 7.21184e-06 +22 *466:CLK *145:23 2.33972e-05 +23 *466:CLK *148:13 1.07549e-05 +24 *141:11 *448:CLK_N 6.04034e-05 +25 *141:63 *464:D 9.43222e-06 +26 *141:69 *148:13 0.00022796 +27 *359:B1 *466:CLK 0.000160001 +28 *375:A *141:7 1.80176e-05 +29 *402:A *141:11 0.000110458 +30 *402:A *141:15 1.41976e-05 +31 *408:A *456:CLK 0.00011815 +32 *408:A *141:15 0.000213194 +33 *413:A *469:A 0.00049882 +34 *414:A *455:CLK 6.23012e-05 +35 *444:SET_B *466:CLK 1.27852e-05 +36 *444:SET_B *141:69 4.61816e-05 +37 *464:RESET_B *469:A 8.02797e-05 +38 *466:RESET_B *466:CLK 2.16355e-05 +39 *473:A *464:CLK 2.81678e-06 +40 *473:A *141:53 0.000166094 +41 *483:A *141:53 1.1718e-05 +42 *2:13 *464:CLK 5.01737e-05 +43 *3:21 *141:69 0.000145767 +44 *4:14 *141:63 8.9517e-06 +45 *10:11 *141:11 0.000483855 +46 *11:14 *455:CLK 3.55155e-05 +47 *11:14 *141:11 4.74417e-05 +48 *11:19 *141:11 3.16904e-05 +49 *32:14 *466:CLK 0.000190344 +50 *39:9 *469:A 0.000107496 +51 *64:17 *469:A 0.000495344 +52 *85:13 *466:CLK 1.83434e-05 +53 *85:17 *466:CLK 0.000158388 +54 *85:38 *466:CLK 0.000309813 +55 *85:38 *141:69 2.22974e-05 +56 *103:28 *469:A 3.82228e-05 +57 *131:30 *464:CLK 8.03393e-06 +58 *131:30 *141:7 0.000313283 +59 *131:30 *141:53 0.000495332 +60 *139:9 *455:CLK 3.20407e-05 +61 *139:9 *141:11 0.000613618 *RES -1 *445:Q *144:11 30.1461 -2 *144:11 *353:B_N 20.8992 -3 *144:11 *356:A1 16.3045 +1 *482:X *141:4 9.24915 +2 *141:4 *141:7 9.10562 +3 *141:7 *141:11 23.9554 +4 *141:11 *141:15 14.0971 +5 *141:15 *458:CLK 16.8269 +6 *141:15 *456:CLK 18.3902 +7 *141:11 *457:CLK 9.24915 +8 *141:7 *455:CLK 25.3952 +9 *141:4 *141:53 6.84815 +10 *141:53 *464:CLK 19.2506 +11 *141:53 *141:63 5.71483 +12 *141:63 *141:69 15.0898 +13 *141:69 *465:CLK 9.24915 +14 *141:69 *466:CLK 30.9255 +15 *141:63 *469:A 35.6577 *END -*D_NET *145 0.0108362 +*D_NET *142 0.00900194 *CONN -*I *355:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *356:A3 I *D sky130_fd_sc_hd__a31o_2 -*I *361:B1 I *D sky130_fd_sc_hd__o31ai_2 -*I *362:A1 I *D sky130_fd_sc_hd__o22ai_2 -*I *439:Q O *D sky130_fd_sc_hd__dfbbn_2 +*I *470:A I *D sky130_fd_sc_hd__buf_2 +*I *372:A I *D sky130_fd_sc_hd__buf_1 +*I *401:A I *D sky130_fd_sc_hd__buf_1 +*I *483:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *355:A_N 0.000419776 -2 *356:A3 6.83838e-05 -3 *361:B1 0.000382359 -4 *362:A1 9.80909e-05 -5 *439:Q 0.00082811 -6 *145:27 0.00105488 -7 *145:14 0.000997755 -8 *145:11 0.00160283 -9 *355:A_N *442:CLK_N 0 -10 pad_gpio_ib_mode_sel *361:B1 0 -11 pad_gpio_outenb *361:B1 3.68023e-05 -12 pad_gpio_outenb *145:27 0.000121115 -13 *199:DIODE *356:A3 1.02356e-05 -14 *220:DIODE *145:27 9.33018e-05 -15 *357:A *355:A_N 0.000117376 -16 *362:B1 *361:B1 0.000101706 -17 *365:A *145:14 0.000118652 -18 *384:A *145:11 0.000308463 -19 *384:B_N *145:11 5.94977e-06 -20 *387:A *145:11 0.000406757 -21 *389:B_N *145:11 4.86422e-05 -22 *390:A *145:11 4.984e-05 -23 *394:B_N *145:11 2.58616e-05 -24 *395:A *145:11 1.81422e-05 -25 *409:A *145:27 3.30852e-05 -26 *441:RESET_B *145:11 0.000357911 -27 *442:RESET_B *355:A_N 0.000108688 -28 *442:RESET_B *145:14 0.000254295 -29 *442:SET_B *355:A_N 2.18698e-05 -30 *442:SET_B *145:14 1.22289e-05 -31 *445:RESET_B *145:27 0.000271103 -32 *446:RESET_B *361:B1 0.000101763 -33 *446:SET_B *362:A1 9.39162e-06 -34 *446:SET_B *145:27 0.000268446 -35 *463:CLK *145:27 0 -36 *472:A *355:A_N 7.22685e-05 -37 *472:A *362:A1 4.36876e-05 -38 *472:A *145:14 0.000813221 -39 *472:A *145:27 2.53321e-05 -40 *12:8 *145:11 0.00036128 -41 *12:22 *145:11 2.92255e-05 -42 *15:30 *356:A3 1.5562e-05 -43 *78:19 *145:11 6.08467e-05 -44 *90:13 *145:27 1.02157e-05 -45 *91:14 *356:A3 0.000110458 -46 *91:14 *145:27 0.000158451 -47 *104:15 *355:A_N 0.000113254 -48 *104:15 *145:14 6.92176e-05 -49 *114:31 *145:11 0.000554696 -50 *139:44 *355:A_N 4.47179e-05 +1 *470:A 0.000737308 +2 *372:A 0 +3 *401:A 0.00152696 +4 *483:X 0.000147229 +5 *142:19 0.000775796 +6 *142:7 0.00171268 +7 *401:A *447:D 3.77925e-05 +8 *401:A *145:12 0.000289234 +9 *401:A *145:23 2.29386e-05 +10 *470:A *445:CLK_N 3.06956e-05 +11 *470:A *145:9 1.03403e-05 +12 *470:A *145:12 0.00051873 +13 *470:A *145:65 3.81675e-05 +14 *142:19 *145:12 4.71838e-05 +15 *218:DIODE *401:A 1.66771e-05 +16 *219:DIODE *401:A 1.18339e-05 +17 *385:A *470:A 6.08467e-05 +18 *390:A *470:A 0.0008359 +19 *391:A *470:A 0.000111675 +20 *393:A *470:A 9.34626e-05 +21 *400:A *401:A 0.000307905 +22 *446:RESET_B *470:A 0.000374882 +23 *447:RESET_B *401:A 9.88445e-05 +24 *447:SET_B *401:A 3.82006e-05 +25 *481:A *470:A 0.000304866 +26 *482:A *142:7 0.000157107 +27 *5:27 *401:A 1.08647e-05 +28 *76:10 *401:A 1.69657e-06 +29 *88:16 *401:A 0.00044227 +30 *103:28 *470:A 0.000228132 +31 *131:30 *142:7 1.1718e-05 *RES -1 *439:Q *145:11 37.3319 -2 *145:11 *145:14 19.6201 -3 *145:14 *145:27 14.551 -4 *145:27 *362:A1 18.0764 -5 *145:27 *361:B1 19.9042 -6 *145:14 *356:A3 11.5158 -7 *145:11 *355:A_N 22.5953 +1 *483:X *142:7 16.1364 +2 *142:7 *401:A 44.5857 +3 *142:7 *142:19 1.00149 +4 *142:19 *372:A 13.7491 +5 *142:19 *470:A 41.5959 *END -*D_NET *146 0.000501183 +*D_NET *143 0.00292743 *CONN -*I *449:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *426:Y O *D sky130_fd_sc_hd__inv_2 +*I *487:TE I *D sky130_fd_sc_hd__einvp_8 +*I *488:gpio_logic1 O *D gpio_logic_high *CAP -1 *449:CLK_N 0.00014883 -2 *426:Y 0.00014883 -3 *449:CLK_N *457:D 0.000117741 -4 *231:DIODE *449:CLK_N 1.29665e-05 -5 *247:DIODE *449:CLK_N 7.28157e-05 +1 *487:TE 0.00118825 +2 *488:gpio_logic1 0.00118825 +3 *487:TE *500:A 2.61576e-05 +4 *404:B_N *487:TE 0.000360959 +5 *34:31 *487:TE 0.000163806 +6 *137:12 *487:TE 0 *RES -1 *426:Y *449:CLK_N 31.0235 +1 *488:gpio_logic1 *487:TE 41.8743 *END -*D_NET *147 0.00243656 +*D_NET *144 0.00338184 *CONN -*I *445:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *405:Y O *D sky130_fd_sc_hd__inv_2 +*I *356:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *359:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *448:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *445:CLK_N 0.000913167 -2 *405:Y 0.000913167 -3 *445:CLK_N *453:D 6.46815e-05 -4 *445:CLK_N *454:D 2.32535e-05 -5 *453:CLK *445:CLK_N 2.23108e-05 -6 *453:RESET_B *445:CLK_N 5.37479e-05 -7 *9:17 *445:CLK_N 0.0001195 -8 *32:41 *445:CLK_N 0.000142909 -9 *110:39 *445:CLK_N 0.000122978 -10 *139:19 *445:CLK_N 6.08467e-05 +1 *356:B_N 0.000984177 +2 *359:A1 7.31409e-05 +3 *448:Q 0.000118116 +4 *144:5 0.00117543 +5 *356:B_N *148:13 1.56014e-05 +6 *199:DIODE *359:A1 9.89388e-06 +7 *364:A2 *356:B_N 0.000242903 +8 *365:B1 *356:B_N 4.94e-06 +9 *368:A *356:B_N 1.60278e-05 +10 *400:A *356:B_N 5.04829e-06 +11 *400:A *144:5 9.21981e-05 +12 *447:RESET_B *144:5 6.08467e-05 +13 *449:SET_B *356:B_N 1.99006e-05 +14 *15:28 *359:A1 0.00015765 +15 *27:10 *356:B_N 8.44903e-05 +16 *64:17 *356:B_N 5.31465e-05 +17 *85:13 *356:B_N 0.000107858 +18 *104:8 *356:B_N 2.81892e-06 +19 *131:30 *359:A1 0.00015765 *RES -1 *405:Y *445:CLK_N 42.839 +1 *448:Q *144:5 12.1186 +2 *144:5 *359:A1 21.3269 +3 *144:5 *356:B_N 37.4104 *END -*D_NET *148 0.00494019 +*D_NET *145 0.0125146 *CONN -*I *446:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *411:Y O *D sky130_fd_sc_hd__inv_2 +*I *358:A_N I *D sky130_fd_sc_hd__and2b_2 +*I *359:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *364:B1 I *D sky130_fd_sc_hd__o31ai_2 +*I *365:A1 I *D sky130_fd_sc_hd__o22ai_2 +*I *442:Q O *D sky130_fd_sc_hd__dfbbn_2 *CAP -1 *446:CLK_N 0.000110087 -2 *411:Y 0.000866562 -3 *148:16 0.000976649 -4 *446:CLK_N *169:17 6.08467e-05 -5 *148:16 *441:D 2.09356e-05 -6 *148:16 *442:CLK_N 4.02118e-05 -7 *148:16 *447:D 0.000111955 -8 *148:16 *159:15 8.31763e-05 -9 *148:16 *195:12 0.00031666 -10 *233:DIODE *148:16 2.02035e-05 -11 *242:DIODE *148:16 7.5301e-06 -12 *249:DIODE *148:16 4.21322e-05 -13 *383:A *148:16 0.000345062 -14 *388:A *148:16 0.000340291 -15 *390:A *148:16 6.64392e-05 -16 *441:SET_B *148:16 0.000437501 -17 *478:A *148:16 0.000136293 -18 *4:19 *148:16 0 -19 *32:283 *148:16 7.92757e-06 -20 *78:19 *148:16 1.06049e-05 -21 *113:28 *148:16 0.000146277 -22 *113:35 *148:16 1.06344e-05 -23 *113:52 *148:16 9.39114e-06 -24 *139:44 *148:16 0.000678343 -25 *144:11 *446:CLK_N 9.44796e-05 +1 *358:A_N 0 +2 *359:A3 0.000192441 +3 *364:B1 0.00034173 +4 *365:A1 6.39623e-05 +5 *442:Q 0.000265903 +6 *145:65 0.00121221 +7 *145:23 0.00150832 +8 *145:12 0.00180052 +9 *145:9 0.00198357 +10 *359:A3 *504:A 0.000111802 +11 *145:23 *466:D 5.87706e-07 +12 *145:23 *166:8 0.000405646 +13 *145:65 *445:CLK_N 3.70431e-05 +14 *145:65 *445:D 8.20953e-06 +15 pad_gpio_outenb *145:23 2.48169e-05 +16 *206:DIODE *145:9 4.79321e-06 +17 *218:DIODE *145:23 2.31261e-05 +18 *219:DIODE *359:A3 2.58616e-05 +19 *219:DIODE *145:12 2.99943e-05 +20 *219:DIODE *145:23 1.91391e-05 +21 *222:DIODE *364:B1 6.27718e-05 +22 *365:A2 *364:B1 0.000205681 +23 *365:B2 *364:B1 0.000155189 +24 *368:A *364:B1 0.000114659 +25 *387:A *145:65 0.000307556 +26 *388:A *145:65 7.60183e-05 +27 *392:B_N *145:9 0.000117566 +28 *393:A *145:65 0.000447049 +29 *398:A *145:9 0.000263729 +30 *401:A *145:12 0.000289234 +31 *401:A *145:23 2.29386e-05 +32 *444:RESET_B *145:65 7.35594e-05 +33 *445:RESET_B *145:65 1.15766e-05 +34 *466:CLK *365:A1 1.55025e-05 +35 *466:CLK *145:23 2.33972e-05 +36 *466:RESET_B *145:23 0.000293365 +37 *470:A *145:9 1.03403e-05 +38 *470:A *145:12 0.00051873 +39 *470:A *145:65 3.81675e-05 +40 *482:A *145:12 0.00010956 +41 *3:21 *145:12 6.30698e-05 +42 *4:14 *145:65 5.1674e-06 +43 *30:7 *145:65 2.79581e-05 +44 *32:273 *145:65 0.000113237 +45 *88:16 *359:A3 1.41976e-05 +46 *89:49 *364:B1 7.0179e-06 +47 *100:10 *145:23 0.000402169 +48 *114:27 *145:9 6.27782e-05 +49 *114:33 *145:9 0.000507011 +50 *114:33 *145:65 4.85806e-05 +51 *131:30 *145:12 0 +52 *142:19 *145:12 4.71838e-05 *RES -1 *411:Y *148:16 45.8059 -2 *148:16 *446:CLK_N 16.691 +1 *442:Q *145:9 18.4122 +2 *145:9 *145:12 20.8658 +3 *145:12 *145:23 26.2148 +4 *145:23 *365:A1 11.5158 +5 *145:23 *364:B1 25.8254 +6 *145:12 *359:A3 17.8243 +7 *145:9 *145:65 41.2733 +8 *145:65 *358:A_N 9.24915 *END -*D_NET *149 0.00146786 +*D_NET *146 0.000479876 *CONN -*I *447:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *416:Y O *D sky130_fd_sc_hd__inv_2 +*I *452:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *429:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *447:CLK_N 0.000187545 -2 *416:Y 0.000187545 -3 *447:CLK_N *464:D 0.000177821 -4 pad_gpio_ana_sel *447:CLK_N 0.000142713 -5 *416:A *447:CLK_N 0.000175133 -6 *421:A *447:CLK_N 0.0003505 -7 *450:SET_B *447:CLK_N 0.000181001 -8 *32:157 *447:CLK_N 5.57092e-05 -9 *88:10 *447:CLK_N 9.89388e-06 +1 *452:CLK_N 0.00014872 +2 *429:Y 0.00014872 +3 *461:RESET_B *452:CLK_N 0.000113224 +4 *137:7 *452:CLK_N 1.29665e-05 +5 *137:12 *452:CLK_N 5.62448e-05 *RES -1 *416:Y *447:CLK_N 36.1515 +1 *429:Y *452:CLK_N 31.0235 *END -*D_NET *150 0.00110671 +*D_NET *147 0.00236571 *CONN *I *448:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *421:Y O *D sky130_fd_sc_hd__inv_2 +*I *408:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *448:CLK_N 0.000234604 -2 *421:Y 0.000234604 -3 *448:CLK_N *450:D 5.19758e-05 -4 *448:CLK_N *500:A 6.27782e-05 -5 *450:RESET_B *448:CLK_N 0.000355195 -6 *475:A *448:CLK_N 0.000137714 -7 *89:8 *448:CLK_N 2.98409e-05 +1 *448:CLK_N 0.000838314 +2 *408:Y 0.000838314 +3 *402:A *448:CLK_N 6.27718e-05 +4 *456:RESET_B *448:CLK_N 5.37479e-05 +5 *5:12 *448:CLK_N 2.7404e-05 +6 *32:108 *448:CLK_N 9.09456e-05 +7 *32:221 *448:CLK_N 9.97764e-05 +8 *110:10 *448:CLK_N 8.74843e-05 +9 *139:9 *448:CLK_N 0.000206552 +10 *141:11 *448:CLK_N 6.04034e-05 *RES -1 *421:Y *448:CLK_N 26.4315 +1 *408:Y *448:CLK_N 43.0025 *END -*D_NET *151 0.000458043 +*D_NET *148 0.00402418 *CONN -*I *488:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *486:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *449:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *414:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *488:A 0.000225727 -2 *486:X 0.000225727 -3 *5:16 *488:A 4.17531e-06 -4 *32:120 *488:A 2.41274e-06 +1 *449:CLK_N 0 +2 *414:Y 0.000981483 +3 *148:13 0.000981483 +4 *249:DIODE *148:13 4.96323e-05 +5 *356:B_N *148:13 1.56014e-05 +6 *359:B1 *148:13 1.28563e-05 +7 *385:A *148:13 0.000313442 +8 *386:A *148:13 3.16904e-05 +9 *393:A *148:13 1.38536e-05 +10 *414:A *148:13 7.20028e-05 +11 *466:CLK *148:13 1.07549e-05 +12 *3:21 *148:13 8.03626e-05 +13 *3:23 *148:13 9.97927e-05 +14 *85:38 *148:13 0.000236561 +15 *91:25 *148:13 0.000882964 +16 *103:28 *148:13 1.37385e-05 +17 *141:69 *148:13 0.00022796 *RES -1 *486:X *488:A 23.6826 +1 *414:Y *148:13 47.4401 +2 *148:13 *449:CLK_N 9.24915 *END -*D_NET *152 0.00199863 -*CONN -*I *490:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *497:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *490:A 0.00041942 -2 *497:X 0.00041942 -3 mgmt_gpio_in *490:A 0 -4 *202:DIODE *490:A 9.49153e-05 -5 *360:B1 *490:A 0.000425541 -6 *15:8 *490:A 0.000639329 -*RES -1 *497:X *490:A 42.6534 -*END - -*D_NET *153 0.000807767 -*CONN -*I *494:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *502:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *494:A 9.0938e-05 -2 *502:X 9.0938e-05 -3 *494:A *496:A 0.000312945 -4 serial_data_out *494:A 0.000312945 -*RES -1 *502:X *494:A 33.0676 -*END - -*D_NET *154 0.000565752 -*CONN -*I *491:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *503:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *491:A 0.000155108 -2 *503:X 0.000155108 -3 *139:19 *491:A 0.000143175 -4 *141:7 *491:A 0.000112361 -*RES -1 *503:X *491:A 31.4388 -*END - -*D_NET *155 0.000111778 -*CONN -*I *492:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *504:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *492:A 8.50668e-06 -2 *504:X 8.50668e-06 -3 *216:DIODE *492:A 3.00829e-05 -4 *5:12 *492:A 6.46815e-05 -*RES -1 *504:X *492:A 19.2217 -*END - -*D_NET *156 0.00010475 -*CONN -*I *493:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *505:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *493:A 2.00341e-05 -2 *505:X 2.00341e-05 -3 *373:B_N *493:A 6.46815e-05 -*RES -1 *505:X *493:A 19.2217 -*END - -*D_NET *157 0.00124812 +*D_NET *149 0.00127575 *CONN *I *450:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *431:Y O *D sky130_fd_sc_hd__inv_2 +*I *419:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *450:CLK_N 0.000326033 -2 *431:Y 0.000326033 -3 *247:DIODE *450:CLK_N 4.24488e-05 -4 *428:A *450:CLK_N 0.000109427 -5 *458:RESET_B *450:CLK_N 1.3262e-05 -6 *9:31 *450:CLK_N 0.000107496 -7 *9:45 *450:CLK_N 0.00019519 -8 *138:11 *450:CLK_N 0.000114659 -9 *143:17 *450:CLK_N 1.35725e-05 +1 *450:CLK_N 0.000200293 +2 *419:Y 0.000200293 +3 *419:A *450:CLK_N 0.000178952 +4 *424:A *450:CLK_N 0.00035344 +5 *476:A *450:CLK_N 0.000233612 +6 *89:8 *450:CLK_N 6.29349e-05 +7 *89:21 *450:CLK_N 2.52743e-05 +8 *113:50 *450:CLK_N 2.09501e-05 *RES -1 *431:Y *450:CLK_N 34.52 +1 *419:Y *450:CLK_N 36.1515 *END -*D_NET *158 0.000111419 -*CONN -*I *495:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *506:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *495:A 2.43238e-05 -2 *506:X 2.43238e-05 -3 *495:A *170:11 6.27718e-05 -*RES -1 *506:X *495:A 19.2217 -*END - -*D_NET *159 0.00741968 -*CONN -*I *441:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *507:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 -*CAP -1 *441:D 0.000227823 -2 *507:X 0.000405591 -3 *159:15 0.00165988 -4 *159:7 0.00183764 -5 *159:15 *447:D 0.000262712 -6 *159:15 *448:D 0.000133439 -7 *159:15 *169:17 0.000113863 -8 *382:A *441:D 2.61955e-05 -9 *409:A *159:15 0.000243032 -10 *417:A *159:15 0.000294676 -11 *433:A *159:15 0.000101812 -12 *441:SET_B *441:D 0.000274493 -13 *451:RESET_B *159:7 0.00010552 -14 *463:CLK *159:15 0.000435277 -15 *466:A *159:15 1.20108e-05 -16 *467:A *159:15 8.21184e-05 -17 *478:A *441:D 7.78924e-05 -18 *4:26 *159:15 9.43016e-05 -19 *78:19 *441:D 0.000324796 -20 *78:19 *159:15 0.000210667 -21 *85:16 *159:15 0.000234253 -22 *90:13 *159:15 3.01773e-05 -23 *109:14 *159:15 0 -24 *113:28 *159:15 0.000127397 -25 *148:16 *441:D 2.09356e-05 -26 *148:16 *159:15 8.31763e-05 -*RES -1 *507:X *159:7 20.0186 -2 *159:7 *159:15 47.8262 -3 *159:15 *441:D 26.6209 -*END - -*D_NET *160 0.00217647 -*CONN -*I *496:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *508:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *496:A 0.000408652 -2 *508:X 0.000408652 -3 pad_gpio_vtrip_sel *496:A 4.57184e-05 -4 serial_data_out *496:A 0.00011477 -5 *494:A *496:A 0.000312945 -6 *103:9 *496:A 0.000885735 -*RES -1 *508:X *496:A 44.1723 -*END - -*D_NET *161 0.00200792 -*CONN -*I *498:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *487:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *498:A 0.00057762 -2 *487:X 0.00057762 -3 *498:A *464:D 6.37002e-05 -4 *498:A *487:A 0.000113254 -5 *408:A *498:A 0.000337861 -6 *85:16 *498:A 0.000337861 -*RES -1 *487:X *498:A 43.4951 -*END - -*D_NET *162 0.000432709 -*CONN -*I *444:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *488:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *444:D 0.000179388 -2 *488:X 0.000179388 -3 *5:16 *444:D 2.22974e-05 -4 *5:27 *444:D 5.16355e-05 -*RES -1 *488:X *444:D 22.5493 -*END - -*D_NET *163 0.00213262 -*CONN -*I *486:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *489:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *486:A 0.000855305 -2 *489:X 0.000855305 -3 *34:23 *486:A 0.000422012 -*RES -1 *489:X *486:A 42.3254 -*END - -*D_NET *164 0.00214997 -*CONN -*I *448:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *490:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *448:D 0.000505638 -2 *490:X 0.000505638 -3 *448:D *507:A 0.000197084 -4 *448:D *195:7 0.000214124 -5 *448:D *195:12 0.00015647 -6 *202:DIODE *448:D 1.41181e-05 -7 *241:DIODE *448:D 0.000127527 -8 *460:RESET_B *448:D 2.6437e-05 -9 *4:26 *448:D 0.000187314 -10 *32:215 *448:D 8.21861e-05 -11 *159:15 *448:D 0.000133439 -*RES -1 *490:X *448:D 47.787 -*END - -*D_NET *165 0.00216623 -*CONN -*I *445:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *491:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *445:D 0 -2 *491:X 0.000653911 -3 *165:16 0.000653911 -4 *396:B *165:16 1.96456e-05 -5 *398:A *165:16 7.40736e-05 -6 *10:9 *165:16 3.57196e-05 -7 *24:10 *165:16 0.000154145 -8 *100:12 *165:16 0.00024495 -9 *139:19 *165:16 0.000329879 -*RES -1 *491:X *165:16 40.9521 -2 *165:16 *445:D 9.24915 -*END - -*D_NET *166 0.000738312 -*CONN -*I *440:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *492:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *440:D 0.000256686 -2 *492:X 0.000256686 -3 *5:12 *440:D 0.000110458 -4 *5:16 *440:D 4.78409e-05 -5 *136:11 *440:D 6.6641e-05 -*RES -1 *492:X *440:D 32.6874 -*END - -*D_NET *167 0.000787699 -*CONN -*I *439:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *493:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *439:D 0.000245747 -2 *493:X 0.000245747 -3 *374:A *439:D 0.000122844 -4 *474:A *439:D 0.000168313 -5 *136:7 *439:D 5.04829e-06 -*RES -1 *493:X *439:D 32.8561 -*END - -*D_NET *168 0.000181392 +*D_NET *150 0.00116119 *CONN *I *451:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *436:Y O *D sky130_fd_sc_hd__inv_2 +*I *424:Y O *D sky130_fd_sc_hd__inv_2 *CAP -1 *451:CLK_N 5.3488e-05 -2 *436:Y 5.3488e-05 -3 *451:CLK_N *193:7 2.41274e-06 -4 *451:SET_B *451:CLK_N 7.20028e-05 +1 *451:CLK_N 0.000186087 +2 *424:Y 0.000186087 +3 *451:CLK_N *501:A 0.000407351 +4 *451:CLK_N *172:11 0.000159908 +5 *224:DIODE *451:CLK_N 5.22609e-05 +6 *453:RESET_B *451:CLK_N 7.68701e-05 +7 *478:A *451:CLK_N 3.96696e-05 +8 *89:8 *451:CLK_N 5.29538e-05 *RES -1 *436:Y *451:CLK_N 20.3309 +1 *424:Y *451:CLK_N 26.4315 *END -*D_NET *169 0.00438939 -*CONN -*I *446:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *494:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *446:D 0 -2 *494:X 0.000884468 -3 *169:17 0.000884468 -4 *169:17 *447:D 0.000123597 -5 *169:17 *508:A 0.000165122 -6 *169:17 *171:15 3.91558e-05 -7 pad_gpio_outenb *169:17 0.000189916 -8 pad_gpio_slow_sel *169:17 0.000248528 -9 serial_clock_out *169:17 9.75148e-06 -10 *356:A1 *169:17 1.5714e-05 -11 *404:A *169:17 0.00015583 -12 *441:RESET_B *169:17 5.04829e-06 -13 *445:RESET_B *169:17 0.000711199 -14 *446:CLK_N *169:17 6.08467e-05 -15 *91:14 *169:17 1.24019e-05 -16 *104:15 *169:17 0.000753626 -17 *144:11 *169:17 1.58551e-05 -18 *159:15 *169:17 0.000113863 -*RES -1 *494:X *169:17 46.7876 -2 *169:17 *446:D 9.24915 -*END - -*D_NET *170 0.0027347 -*CONN -*I *443:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *495:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *443:D 0 -2 *495:X 0.000819559 -3 *170:11 0.000819559 -4 *379:A *170:11 4.41118e-05 -5 *398:A *170:11 0.000667857 -6 *402:A *170:11 8.19238e-05 -7 *495:A *170:11 6.27718e-05 -8 *8:38 *170:11 7.21839e-05 -9 *36:33 *170:11 4.87301e-05 -10 *114:8 *170:11 0.000118002 -*RES -1 *495:X *170:11 41.6078 -2 *170:11 *443:D 9.24915 -*END - -*D_NET *171 0.00184308 -*CONN -*I *442:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *496:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *442:D 0 -2 *496:X 0.000548955 -3 *171:15 0.000548955 -4 serial_clock_out *171:15 0.000114584 -5 *441:RESET_B *171:15 0.000252624 -6 *467:A *171:15 0.000248651 -7 *27:12 *171:15 6.31991e-05 -8 *35:8 *171:15 2.69587e-05 -9 *169:17 *171:15 3.91558e-05 -*RES -1 *496:X *171:15 42.0613 -2 *171:15 *442:D 9.24915 -*END - -*D_NET *172 0.00302885 +*D_NET *151 0.00357728 *CONN *I *447:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *498:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *460:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *500:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 *CAP -1 *447:D 0.000611427 -2 *498:X 0.000611427 -3 *447:D *195:12 6.25544e-06 -4 *409:A *447:D 0.000250049 -5 *433:A *447:D 5.9036e-05 -6 *2:28 *447:D 0.000110458 -7 *4:19 *447:D 0.000408171 -8 *4:21 *447:D 0.000244613 -9 *4:26 *447:D 0.000229151 -10 *148:16 *447:D 0.000111955 -11 *159:15 *447:D 0.000262712 -12 *169:17 *447:D 0.000123597 +1 *447:D 0.000374758 +2 *460:D 0.000897048 +3 *500:X 0 +4 *151:5 0.00127181 +5 *401:A *447:D 3.77925e-05 +6 *404:A *447:D 1.33911e-05 +7 *404:B_N *447:D 1.14086e-05 +8 *447:SET_B *447:D 0.000115596 +9 *452:SET_B *460:D 7.28157e-05 +10 *454:SET_B *460:D 9.06867e-05 +11 *460:RESET_B *460:D 0.000165682 +12 *461:RESET_B *460:D 0.000164917 +13 *8:40 *447:D 2.62025e-05 +14 *32:174 *460:D 8.36907e-05 +15 *32:176 *460:D 6.74389e-05 +16 *98:22 *460:D 6.60481e-06 +17 *138:6 *447:D 1.69371e-05 +18 *138:6 *460:D 0.000111324 +19 *138:10 *460:D 4.91786e-05 *RES -1 *498:X *447:D 49.4662 +1 *500:X *151:5 13.7491 +2 *151:5 *460:D 38.3157 +3 *151:5 *447:D 23.2273 *END -*D_NET *173 0.000565495 +*D_NET *152 0.00152133 +*CONN +*I *500:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *489:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *500:A 0.000512452 +2 *489:X 0.000512452 +3 *500:A *459:D 0.000163478 +4 *405:A *500:A 9.47414e-05 +5 *447:SET_B *500:A 6.08467e-05 +6 *472:A *500:A 0.000104103 +7 *487:TE *500:A 2.61576e-05 +8 *11:23 *500:A 4.19199e-05 +9 *110:10 *500:A 5.18062e-06 +*RES +1 *489:X *500:A 40.2533 +*END + +*D_NET *153 0.000485909 *CONN *I *451:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *499:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *506:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 *CAP -1 *451:D 0.00021679 -2 *499:X 0.00021679 -3 *451:D *175:14 0.000124642 -4 pad_gpio_ana_en *451:D 7.27245e-06 +1 *451:D 0.000181525 +2 *506:X 0.000181525 +3 *32:20 *451:D 0.000122858 *RES -1 *499:X *451:D 33.0676 +1 *506:X *451:D 32.1327 *END -*D_NET *174 0.00229611 -*CONN -*I *450:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *500:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *450:D 0.000703625 -2 *500:X 0.000703625 -3 *425:A *450:D 0.000121494 -4 *448:CLK_N *450:D 5.19758e-05 -5 *450:RESET_B *450:D 0.000560042 -6 *456:CLK *450:D 4.78554e-05 -7 *137:20 *450:D 0.000107496 -*RES -1 *500:X *450:D 40.4518 -*END - -*D_NET *175 0.00535291 -*CONN -*I *449:D I *D sky130_fd_sc_hd__dfbbn_2 -*I *501:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*CAP -1 *449:D 0.000931651 -2 *501:X 0.000912905 -3 *175:14 0.00184456 -4 *175:14 *501:A 0.000464886 -5 *449:RESET_B *449:D 1.1957e-05 -6 *449:SET_B *449:D 1.73424e-05 -7 *451:D *175:14 0.000124642 -8 *464:CLK *449:D 0.0005067 -9 *32:163 *175:14 0.000276244 -10 *32:167 *175:14 0.000245159 -11 *98:20 *449:D 1.68617e-05 -*RES -1 *501:X *175:14 38.3324 -2 *175:14 *449:D 25.5497 -*END - -*D_NET *176 0.000376428 -*CONN -*I *439:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *370:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *439:CLK_N 0.000110532 -2 *370:Y 0.000110532 -3 *370:A *439:CLK_N 0.000122858 -4 *136:7 *439:CLK_N 3.25052e-05 -*RES -1 *370:Y *439:CLK_N 31.0235 -*END - -*D_NET *177 0.00170689 -*CONN -*I *440:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *377:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *440:CLK_N 0.000667312 -2 *377:Y 0.000667312 -3 *208:DIODE *440:CLK_N 0.000174676 -4 *375:A *440:CLK_N 0.000109427 -5 *452:CLK *440:CLK_N 6.93171e-05 -6 *136:11 *440:CLK_N 1.88422e-05 -*RES -1 *377:Y *440:CLK_N 39.1149 -*END - -*D_NET *178 0.000962203 -*CONN -*I *441:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *383:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *441:CLK_N 0.000311647 -2 *383:Y 0.000311647 -3 *381:A *441:CLK_N 7.58194e-05 -4 *381:B *441:CLK_N 0.000117754 -5 *2:19 *441:CLK_N 0.000120872 -6 *27:12 *441:CLK_N 2.44626e-05 -*RES -1 *383:Y *441:CLK_N 33.7966 -*END - -*D_NET *179 0.00196563 -*CONN -*I *442:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *388:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *442:CLK_N 0.000326177 -2 *388:Y 0.000326177 -3 *242:DIODE *442:CLK_N 0.00016182 -4 *355:A_N *442:CLK_N 0 -5 *382:A *442:CLK_N 0.000258476 -6 *383:A *442:CLK_N 0.000396674 -7 *388:A *442:CLK_N 0.000274667 -8 *390:A *442:CLK_N 1.70745e-05 -9 *466:A *442:CLK_N 5.38612e-05 -10 *27:12 *442:CLK_N 4.7712e-05 -11 *32:289 *442:CLK_N 6.27782e-05 -12 *148:16 *442:CLK_N 4.02118e-05 -*RES -1 *388:Y *442:CLK_N 41.0246 -*END - -*D_NET *180 0.000815496 -*CONN -*I *443:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *393:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *443:CLK_N 0.000254321 -2 *393:Y 0.000254321 -3 *367:A *443:CLK_N 1.94615e-05 -4 *440:RESET_B *443:CLK_N 7.60183e-05 -5 *8:38 *443:CLK_N 0.000102655 -6 *9:15 *443:CLK_N 0.00010872 -*RES -1 *393:Y *443:CLK_N 32.6874 -*END - -*D_NET *181 0.000767728 -*CONN -*I *444:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 -*I *399:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *444:CLK_N 0.000126181 -2 *399:Y 0.000126181 -3 *444:CLK_N *456:D 0.000121494 -4 *32:50 *444:CLK_N 7.71949e-05 -5 *32:52 *444:CLK_N 8.70662e-06 -6 *110:39 *444:CLK_N 0.00030797 -*RES -1 *399:Y *444:CLK_N 33.0676 -*END - -*D_NET *182 0.000590256 -*CONN -*I *483:A I *D sky130_fd_sc_hd__dlygate4sd2_1 -*I *482:X O *D sky130_fd_sc_hd__dlygate4sd2_1 -*CAP -1 *483:A 0.000129274 -2 *482:X 0.000129274 -3 *483:A *364:B 6.64392e-05 -4 *364:A *483:A 0.000265269 -*RES -1 *482:X *483:A 22.5493 -*END - -*D_NET *183 0.000826156 -*CONN -*I *364:B I *D sky130_fd_sc_hd__and2_2 -*I *483:X O *D sky130_fd_sc_hd__dlygate4sd2_1 -*CAP -1 *364:B 0.000210113 -2 *483:X 0.000210113 -3 *364:A *364:B 0.000177539 -4 *483:A *364:B 6.64392e-05 -5 *109:14 *364:B 0.00016195 -*RES -1 *483:X *364:B 25.3464 -*END - -*D_NET *184 0.00262014 -*CONN -*I *497:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *482:A I *D sky130_fd_sc_hd__dlygate4sd2_1 -*I *464:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *497:A 0.000115994 -2 *482:A 0.000310679 -3 *464:Q 0.000126679 -4 *184:7 0.000553353 -5 *225:DIODE *184:7 2.41274e-06 -6 *451:RESET_B *482:A 0.000254663 -7 *451:RESET_B *497:A 0.00028324 -8 *4:26 *184:7 3.64034e-05 -9 *49:10 *497:A 5.03545e-06 -10 *109:14 *482:A 0.00061146 -11 *109:14 *497:A 0.000320216 -*RES -1 *464:Q *184:7 15.5817 -2 *184:7 *482:A 25.1319 -3 *184:7 *497:A 19.7337 -*END - -*D_NET *185 0.00253665 -*CONN -*I *505:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *453:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *452:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *505:A 0.000219152 -2 *453:D 0.000373596 -3 *452:Q 0.00010625 -4 *185:7 0.000698998 -5 *232:DIODE *185:7 0.000203756 -6 *373:B_N *505:A 0.000208621 -7 *445:CLK_N *453:D 6.46815e-05 -8 *452:RESET_B *453:D 0.000591589 -9 *452:RESET_B *505:A 2.36748e-05 -10 *5:12 *505:A 4.6329e-05 -*RES -1 *452:Q *185:7 16.691 -2 *185:7 *453:D 25.0248 -3 *185:7 *505:A 20.184 -*END - -*D_NET *186 0.00362322 -*CONN -*I *502:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *463:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *462:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *502:A 0.000154374 -2 *463:D 0.000835423 -3 *462:Q 8.59002e-05 -4 *186:7 0.0010757 -5 pad_gpio_slow_sel *463:D 0.000287275 -6 pad_gpio_slow_sel *502:A 1.99542e-05 -7 *361:A2 *463:D 6.27098e-05 -8 *365:A *502:A 0.000198098 -9 *445:RESET_B *463:D 1.91246e-05 -10 *462:RESET_B *502:A 0.000156763 -11 *463:CLK *463:D 6.20858e-05 -12 *15:30 *463:D 8.08566e-05 -13 *27:12 *463:D 3.10499e-05 -14 *27:12 *502:A 2.02949e-05 -15 *28:8 *463:D 9.57314e-05 -16 *32:11 *502:A 4.66492e-05 -17 *32:15 *502:A 1.41976e-05 -18 *36:17 *186:7 0.000211319 -19 *91:14 *463:D 5.08327e-05 -20 *104:15 *463:D 0.000114883 -*RES -1 *462:Q *186:7 16.1364 -2 *186:7 *463:D 30.6045 -3 *186:7 *502:A 19.2846 -*END - -*D_NET *187 0.0037261 -*CONN -*I *464:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *487:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *463:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *464:D 0.000921579 -2 *487:A 7.26243e-05 -3 *463:Q 0 -4 *187:4 0.000994203 -5 *464:D *195:12 0 -6 pad_gpio_ana_sel *464:D 8.66852e-05 -7 *408:A *464:D 0.00102127 -8 *447:CLK_N *464:D 0.000177821 -9 *498:A *464:D 6.37002e-05 -10 *498:A *487:A 0.000113254 -11 *32:157 *464:D 8.48283e-06 -12 *32:215 *464:D 3.44412e-06 -13 *88:10 *464:D 0.000263036 -*RES -1 *463:Q *187:4 9.24915 -2 *187:4 *487:A 11.5158 -3 *187:4 *464:D 44.0643 -*END - -*D_NET *188 0.00116149 -*CONN -*I *503:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *454:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *453:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *503:A 5.49038e-05 -2 *454:D 0.000225968 -3 *453:Q 0 -4 *188:4 0.000280871 -5 *405:A *454:D 6.36477e-05 -6 *445:CLK_N *454:D 2.32535e-05 -7 *453:RESET_B *454:D 1.91114e-05 -8 *32:41 *454:D 3.96696e-05 -9 *32:41 *503:A 0.000159917 -10 *141:7 *454:D 0.000160047 -11 *141:7 *503:A 6.04199e-05 -12 *141:10 *454:D 6.09593e-05 -13 *141:15 *454:D 1.27193e-05 -*RES -1 *453:Q *188:4 9.24915 -2 *188:4 *454:D 24.9921 -3 *188:4 *503:A 11.6605 -*END - -*D_NET *189 0.00169368 -*CONN -*I *504:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *455:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *454:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *504:A 0.000297141 -2 *455:D 0.000289443 -3 *454:Q 0 -4 *189:5 0.000586584 -5 *232:DIODE *504:A 0.000126335 -6 *234:DIODE *455:D 1.67179e-05 -7 *453:CLK *455:D 0.000125793 -8 *453:CLK *504:A 0.000151494 -9 *455:CLK *455:D 9.85505e-05 -10 *32:95 *455:D 1.62266e-06 -*RES -1 *454:Q *189:5 13.7491 -2 *189:5 *455:D 20.0694 -3 *189:5 *504:A 22.6404 -*END - -*D_NET *190 0.00131394 +*D_NET *154 0.000149337 *CONN *I *506:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *505:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *506:A 4.04994e-05 +2 *505:X 4.04994e-05 +3 *423:A *506:A 2.58757e-05 +4 *49:13 *506:A 4.24629e-05 +*RES +1 *505:X *506:A 19.7763 +*END + +*D_NET *155 0.00180529 +*CONN +*I *449:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *466:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *508:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *449:D 0 +2 *466:D 0.000275137 +3 *508:X 0.000136222 +4 *155:8 0.000411359 +5 *466:D *450:D 0.000137397 +6 *155:8 *450:D 0.000275639 +7 *359:B1 *155:8 0.000250405 +8 *466:CLK *466:D 7.21184e-06 +9 *466:RESET_B *466:D 2.9082e-05 +10 *3:23 *155:8 8.70662e-06 +11 *4:15 *466:D 0.000140741 +12 *4:15 *155:8 0.000132802 +13 *145:23 *466:D 5.87706e-07 +*RES +1 *508:X *155:8 19.3535 +2 *155:8 *466:D 19.4258 +3 *155:8 *449:D 13.7491 +*END + +*D_NET *156 0.00168266 +*CONN +*I *508:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *507:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *508:A 0.000323429 +2 *507:X 0.000323429 +3 *368:A *508:A 0.000259151 +4 *412:A *508:A 0.000107496 +5 *449:SET_B *508:A 0.00032481 +6 *2:20 *508:A 0.000205659 +7 *27:10 *508:A 1.29078e-05 +8 *32:71 *508:A 8.41325e-05 +9 *113:76 *508:A 4.16407e-05 +*RES +1 *507:X *508:A 38.2572 +*END + +*D_NET *157 0.000680763 +*CONN +*I *453:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *434:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *453:CLK_N 0.000156694 +2 *434:Y 0.000156694 +3 *431:A *453:CLK_N 0.000159038 +4 *434:A *453:CLK_N 0.000174996 +5 *452:SET_B *453:CLK_N 2.16355e-05 +6 *32:163 *453:CLK_N 1.17054e-05 +*RES +1 *434:Y *453:CLK_N 23.1039 +*END + +*D_NET *158 0.00283824 +*CONN +*I *457:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *448:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *510:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *457:D 0.000625413 +2 *448:D 0 +3 *510:X 0.000512952 +4 *158:8 0.00113837 +5 *158:8 *446:D 4.00842e-05 +6 *225:DIODE *457:D 0 +7 *5:14 *158:8 2.97222e-05 +8 *8:40 *158:8 4.37039e-05 +9 *32:93 *158:8 0.000443239 +10 *32:108 *457:D 4.75721e-06 +*RES +1 *510:X *158:8 22.8204 +2 *158:8 *448:D 13.7491 +3 *158:8 *457:D 21.8331 +*END + +*D_NET *159 0.000151215 +*CONN +*I *510:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *509:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *510:A 1.47608e-05 +2 *509:X 1.47608e-05 +3 *5:14 *510:A 6.08467e-05 +4 *32:93 *510:A 6.08467e-05 +*RES +1 *509:X *510:A 19.2217 +*END + +*D_NET *160 0.00233322 +*CONN +*I *443:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *458:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *490:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *443:D 0 +2 *458:D 0.000499833 +3 *490:X 0.000260141 +4 *160:8 0.000759974 +5 *208:DIODE *160:8 0.000263116 +6 *230:DIODE *458:D 6.72204e-05 +7 *457:RESET_B *458:D 0.00016697 +8 *32:117 *458:D 2.10315e-05 +9 *32:228 *458:D 0.00015661 +10 *32:228 *160:8 0.00013832 +*RES +1 *490:X *160:8 19.3535 +2 *160:8 *458:D 25.6606 +3 *160:8 *443:D 13.7491 +*END + +*D_NET *161 0.00014591 +*CONN +*I *490:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *511:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *490:A 8.27332e-06 +2 *511:X 8.27332e-06 +3 *208:DIODE *490:A 6.46815e-05 +4 *136:13 *490:A 6.46815e-05 +*RES +1 *511:X *490:A 19.2217 +*END + +*D_NET *162 0.00266223 +*CONN +*I *442:D I *D sky130_fd_sc_hd__dfbbn_2 *I *456:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *492:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *442:D 0.000180043 +2 *456:D 0.000578405 +3 *492:X 0.000198284 +4 *162:5 0.000956732 +5 *456:D *492:A 3.00829e-05 +6 *206:DIODE *442:D 1.03403e-05 +7 *208:DIODE *456:D 9.76854e-05 +8 *376:B_N *442:D 3.16904e-05 +9 *376:B_N *162:5 9.88442e-05 +10 *377:A *442:D 0.000122844 +11 *456:CLK *456:D 3.44412e-06 +12 *477:A *456:D 2.01667e-05 +13 *477:A *162:5 2.41961e-05 +14 *136:13 *456:D 0.000309468 +*RES +1 *492:X *162:5 12.7456 +2 *162:5 *456:D 32.295 +3 *162:5 *442:D 23.2961 +*END + +*D_NET *163 0.000111778 +*CONN +*I *492:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *491:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *492:A 8.50668e-06 +2 *491:X 8.50668e-06 +3 *456:D *492:A 3.00829e-05 +4 *477:A *492:A 6.46815e-05 +*RES +1 *491:X *492:A 19.2217 +*END + +*D_NET *164 0.00378266 +*CONN +*I *446:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *459:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *494:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *446:D 0.000609652 +2 *459:D 0.000454403 +3 *494:X 7.78462e-05 +4 *164:5 0.0011419 +5 *459:D *493:A 0.000208048 +6 *164:5 *494:A 6.08467e-05 +7 *382:A *446:D 4.41118e-05 +8 *404:A *446:D 0.000178442 +9 *405:A *459:D 2.10816e-05 +10 *455:CLK *446:D 4.87301e-05 +11 *472:A *459:D 0.000166836 +12 *500:A *459:D 0.000163478 +13 *8:40 *446:D 0 +14 *10:11 *446:D 4.47846e-05 +15 *11:14 *446:D 9.02471e-05 +16 *32:108 *459:D 3.20407e-05 +17 *32:158 *459:D 7.78924e-05 +18 *110:10 *459:D 0.000204233 +19 *114:8 *446:D 0.000118002 +20 *158:8 *446:D 4.00842e-05 +*RES +1 *494:X *164:5 11.0817 +2 *164:5 *459:D 31.773 +3 *164:5 *446:D 35.893 +*END + +*D_NET *165 0.000495324 +*CONN +*I *494:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *493:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *494:A 0.000217239 +2 *493:X 0.000217239 +3 *164:5 *494:A 6.08467e-05 +*RES +1 *493:X *494:A 23.1039 +*END + +*D_NET *166 0.00546207 +*CONN +*I *444:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *464:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *496:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *444:D 0.000227378 +2 *464:D 1.84466e-05 +3 *496:X 0.000903821 +4 *166:8 0.00114965 +5 *199:DIODE *166:8 5.99586e-05 +6 *388:A *444:D 0.000376792 +7 *388:A *166:8 0.00013603 +8 *476:A *166:8 1.03594e-05 +9 *2:13 *166:8 1.01202e-05 +10 *4:14 *444:D 0.000222275 +11 *4:14 *464:D 6.27718e-05 +12 *4:14 *166:8 1.09375e-05 +13 *4:15 *166:8 1.10274e-05 +14 *89:38 *166:8 0.00034756 +15 *89:49 *166:8 0.00015901 +16 *100:10 *166:8 7.81777e-05 +17 *113:50 *166:8 0.000360675 +18 *131:30 *166:8 0.000902003 +19 *141:63 *464:D 9.43222e-06 +20 *145:23 *166:8 0.000405646 +*RES +1 *496:X *166:8 41.2281 +2 *166:8 *464:D 14.4725 +3 *166:8 *444:D 21.7028 +*END + +*D_NET *167 0.00209103 +*CONN +*I *496:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *495:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *496:A 0.000614899 +2 *495:X 0.000614899 +3 *496:A *485:A 4.49172e-06 +4 *496:A *495:A 0.000114271 +5 *496:A *505:A 7.47617e-05 +6 pad_gpio_ana_pol *496:A 0.000190287 +7 *420:A *496:A 6.66127e-05 +8 *420:B_N *496:A 9.23149e-06 +9 *423:A *496:A 0.000363271 +10 *451:SET_B *496:A 3.83101e-05 +11 *32:20 *496:A 0 +12 *32:22 *496:A 0 +*RES +1 *495:X *496:A 45.5574 +*END + +*D_NET *168 0.000919072 +*CONN +*I *454:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *439:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *454:CLK_N 0.000368193 +2 *439:Y 0.000368193 +3 *454:CLK_N *501:A 0.000109427 +4 *454:SET_B *454:CLK_N 4.25952e-05 +5 *99:16 *454:CLK_N 3.06627e-05 +*RES +1 *439:Y *454:CLK_N 36.5612 +*END + +*D_NET *169 0.00238587 +*CONN +*I *503:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *465:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *497:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *503:A 0.000372176 +2 *465:D 0.000483988 +3 *497:X 9.2208e-05 +4 *169:6 0.000948372 +5 *503:A *507:A 3.30446e-05 +6 pad_gpio_vtrip_sel *503:A 0.000144401 +7 pad_gpio_vtrip_sel *169:6 4.70167e-05 +8 *368:A *465:D 0.000264669 +9 *104:8 *503:A 0 +10 *104:8 *169:6 0 +*RES +1 *497:X *169:6 15.9964 +2 *169:6 *465:D 24.4554 +3 *169:6 *503:A 23.8862 +*END + +*D_NET *170 0.00528328 +*CONN +*I *504:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *467:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *498:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *504:A 0.000341377 +2 *467:D 0.000853576 +3 *498:X 8.88952e-05 +4 *170:7 0.00128385 +5 *467:D *501:A 7.0179e-06 +6 *170:7 *498:A 3.82228e-05 +7 pad_gpio_ana_en *467:D 2.22234e-05 +8 pad_gpio_outenb *504:A 0.000151281 +9 *359:A3 *504:A 0.000111802 +10 *359:B1 *504:A 6.08467e-05 +11 *447:RESET_B *467:D 3.93047e-05 +12 *447:RESET_B *504:A 0.000188439 +13 *453:RESET_B *467:D 2.05222e-05 +14 *467:CLK *467:D 2.57986e-05 +15 *478:A *467:D 0.000755104 +16 *479:A *467:D 6.95657e-05 +17 *482:A *467:D 0.000290249 +18 *482:A *504:A 4.96388e-05 +19 *483:A *467:D 2.54347e-06 +20 *483:A *504:A 2.12403e-05 +21 *2:20 *504:A 0.000205527 +22 *88:16 *467:D 7.15363e-05 +23 *98:22 *467:D 0.000204704 +24 *99:16 *467:D 2.91598e-05 +25 *113:36 *504:A 9.12416e-06 +26 *113:50 *504:A 0.000283368 +27 *113:76 *504:A 5.83668e-05 +*RES +1 *498:X *170:7 15.0271 +2 *170:7 *467:D 39.5643 +3 *170:7 *504:A 26.1785 +*END + +*D_NET *171 0.00117022 +*CONN +*I *463:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *454:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *499:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *463:D 0 +2 *454:D 0.00023171 +3 *499:X 0.000205193 +4 *171:10 0.000436903 +5 *454:D *499:A 5.04829e-06 +6 *171:10 *502:A 3.14194e-05 +7 *238:DIODE *454:D 2.81678e-06 +8 *438:A *171:10 2.41274e-06 +9 *467:CLK *454:D 0.000161165 +10 *100:10 *171:10 2.98969e-05 +11 *137:36 *454:D 6.3657e-05 +*RES +1 *499:X *171:10 22.329 +2 *171:10 *454:D 24.1294 +3 *171:10 *463:D 9.24915 +*END + +*D_NET *172 0.00277387 +*CONN +*I *453:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *462:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *501:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *453:D 0.000191894 +2 *462:D 0.000342847 +3 *501:X 0.000156015 +4 *172:11 0.000690756 +5 *228:DIODE *462:D 0.000178284 +6 *439:A *453:D 2.86829e-05 +7 *439:A *462:D 0.000180238 +8 *439:A *172:11 6.08467e-05 +9 *441:A *453:D 6.46178e-05 +10 *441:A *462:D 1.37566e-05 +11 *451:CLK_N *172:11 0.000159908 +12 *452:RESET_B *462:D 7.26748e-05 +13 *453:RESET_B *172:11 0.000417865 +14 *478:A *172:11 7.79081e-05 +15 *32:185 *462:D 3.00829e-05 +16 *98:22 *453:D 4.47179e-05 +17 *137:36 *462:D 6.27718e-05 +*RES +1 *501:X *172:11 20.6214 +2 *172:11 *462:D 25.2447 +3 *172:11 *453:D 18.5201 +*END + +*D_NET *173 0.00431845 +*CONN +*I *452:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *461:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *502:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*CAP +1 *452:D 0.000194473 +2 *461:D 0 +3 *502:X 0.00142687 +4 *173:12 0.00052139 +5 *173:11 0.00175378 +6 *229:DIODE *452:D 4.94979e-05 +7 *460:CLK *173:12 5.81374e-05 +8 *461:RESET_B *452:D 0.000192956 +9 *461:RESET_B *173:12 9.89388e-06 +10 *137:12 *452:D 5.33005e-05 +11 *137:14 *452:D 1.67286e-05 +12 *137:14 *173:12 4.14221e-05 +*RES +1 *502:X *173:11 43.7458 +2 *173:11 *173:12 8.47603 +3 *173:12 *461:D 13.7491 +4 *173:12 *452:D 20.2081 +*END + +*D_NET *174 0.00172495 +*CONN +*I *445:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *503:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *445:D 0.000605188 +2 *503:X 0.000605188 +3 *444:RESET_B *445:D 2.16355e-05 +4 *475:A *445:D 0.000138523 +5 *27:10 *445:D 0.000127809 +6 *30:7 *445:D 0.000156654 +7 *103:28 *445:D 6.1743e-05 +8 *145:65 *445:D 8.20953e-06 +*RES +1 *503:X *445:D 39.372 +*END + +*D_NET *175 0.0031022 +*CONN +*I *450:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *504:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *450:D 0.00063941 +2 *504:X 0.00063941 +3 *223:DIODE *450:D 4.24488e-05 +4 *224:DIODE *450:D 0.000179114 +5 *466:D *450:D 0.000137397 +6 *3:23 *450:D 0.000465402 +7 *3:27 *450:D 0.000481168 +8 *4:15 *450:D 0.000120698 +9 *4:17 *450:D 5.83069e-05 +10 *4:19 *450:D 6.32012e-05 +11 *155:8 *450:D 0.000275639 +*RES +1 *504:X *450:D 49.4662 +*END + +*D_NET *176 0.00035742 +*CONN +*I *442:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *373:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *442:CLK_N 0.000112105 +2 *373:Y 0.000112105 +3 *206:DIODE *442:CLK_N 7.60183e-05 +4 *373:A *442:CLK_N 3.62414e-05 +5 *377:A *442:CLK_N 2.09501e-05 +*RES +1 *373:Y *442:CLK_N 31.0235 +*END + +*D_NET *177 0.00176339 +*CONN +*I *443:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *380:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *443:CLK_N 0.000663153 +2 *380:Y 0.000663153 +3 *216:DIODE *443:CLK_N 0.000243681 +4 *378:A *443:CLK_N 0.000107496 +5 *8:37 *443:CLK_N 6.93171e-05 +6 *136:13 *443:CLK_N 1.65872e-05 +*RES +1 *380:Y *443:CLK_N 39.1149 +*END + +*D_NET *178 0.00103534 +*CONN +*I *444:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *386:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *444:CLK_N 0.000311113 +2 *386:Y 0.000311113 +3 *384:A *444:CLK_N 6.53173e-05 +4 *384:B *444:CLK_N 0.000114571 +5 *385:A *444:CLK_N 0.000109427 +6 *473:A *444:CLK_N 9.55302e-05 +7 *3:21 *444:CLK_N 2.82641e-05 +*RES +1 *386:Y *444:CLK_N 33.7966 +*END + +*D_NET *179 0.00143372 +*CONN +*I *445:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *391:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *445:CLK_N 0.000333333 +2 *391:Y 0.000333333 +3 *386:A *445:CLK_N 0.000297277 +4 *388:A *445:CLK_N 5.93498e-05 +5 *391:A *445:CLK_N 0.000273982 +6 *470:A *445:CLK_N 3.06956e-05 +7 *481:A *445:CLK_N 5.04829e-06 +8 *103:28 *445:CLK_N 6.3657e-05 +9 *145:65 *445:CLK_N 3.70431e-05 +*RES +1 *391:Y *445:CLK_N 37.5338 +*END + +*D_NET *180 0.000766861 +*CONN +*I *446:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *396:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *446:CLK_N 0.000270613 +2 *396:Y 0.000270613 +3 *396:A *446:CLK_N 8.60676e-05 +4 *443:RESET_B *446:CLK_N 7.60183e-05 +5 *9:11 *446:CLK_N 1.94615e-05 +6 *10:10 *446:CLK_N 4.40873e-05 +*RES +1 *396:Y *446:CLK_N 32.6874 +*END + +*D_NET *181 0.000685652 +*CONN +*I *447:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *402:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *447:CLK_N 0.000145995 +2 *402:Y 0.000145995 +3 *9:13 *447:CLK_N 4.75329e-05 +4 *32:158 *447:CLK_N 4.78409e-05 +5 *110:10 *447:CLK_N 0.000298287 +*RES +1 *402:Y *447:CLK_N 33.0676 +*END + +*D_NET *182 6.11109e-05 +*CONN +*I *486:A I *D sky130_fd_sc_hd__dlygate4sd2_1 +*I *485:X O *D sky130_fd_sc_hd__dlygate4sd2_1 +*CAP +1 *486:A 3.05555e-05 +2 *485:X 3.05555e-05 +*RES +1 *485:X *486:A 19.2217 +*END + +*D_NET *183 0.000708351 +*CONN +*I *367:B I *D sky130_fd_sc_hd__and2_2 +*I *486:X O *D sky130_fd_sc_hd__dlygate4sd2_1 +*CAP +1 *367:B 0.000312302 +2 *486:X 0.000312302 +3 *202:DIODE *367:B 2.8322e-05 +4 *247:DIODE *367:B 3.8697e-05 +5 *17:8 *367:B 1.67286e-05 +*RES +1 *486:X *367:B 33.1026 +*END + +*D_NET *184 0.00221192 +*CONN +*I *505:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *485:A I *D sky130_fd_sc_hd__dlygate4sd2_1 +*I *467:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *505:A 0.000219644 +2 *485:A 0.000267438 +3 *467:Q 0.000118401 +4 *184:7 0.000605484 +5 pad_gpio_ana_pol *485:A 5.23032e-05 +6 *423:A *505:A 0.000272604 +7 *426:A *505:A 0.000353726 +8 *451:SET_B *505:A 9.17145e-05 +9 *496:A *485:A 4.49172e-06 +10 *496:A *505:A 7.47617e-05 +11 *49:13 *505:A 5.7438e-05 +12 *109:10 *485:A 8.96303e-05 +13 *109:10 *505:A 4.28349e-06 +*RES +1 *467:Q *184:7 16.1364 +2 *184:7 *485:A 20.8723 +3 *184:7 *505:A 23.506 +*END + +*D_NET *185 0.000206175 +*CONN +*I *491:A I *D sky130_fd_sc_hd__dlygate4sd3_1 *I *455:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *506:A 0.000161423 -2 *456:D 0.000168544 -3 *455:Q 0 -4 *190:4 0.000329966 -5 *456:D *191:7 6.27782e-05 -6 *444:CLK_N *456:D 0.000121494 -7 *32:52 *456:D 0.000344993 -8 *32:95 *506:A 9.19001e-06 -9 *34:23 *456:D 2.16355e-05 -10 *110:39 *456:D 9.39144e-05 +1 *491:A 7.49653e-05 +2 *455:Q 7.49653e-05 +3 *5:12 *491:A 5.62448e-05 *RES -1 *455:Q *190:4 9.24915 -2 *190:4 *456:D 25.3723 -3 *190:4 *506:A 12.625 +1 *455:Q *491:A 29.7455 *END -*D_NET *191 0.00552872 +*D_NET *186 0.000400678 *CONN -*I *489:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *457:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *507:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *465:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *507:A 9.61795e-05 +2 *465:Q 9.61795e-05 +3 *503:A *507:A 3.30446e-05 +4 *33:8 *507:A 4.89392e-05 +5 *104:8 *507:A 0.000126335 +*RES +1 *465:Q *507:A 31.0235 +*END + +*D_NET *187 0.000560265 +*CONN +*I *498:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *466:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *498:A 0.000258934 +2 *466:Q 0.000258934 +3 *113:50 *498:A 4.17531e-06 +4 *170:7 *498:A 3.82228e-05 +*RES +1 *466:Q *498:A 24.2372 +*END + +*D_NET *188 0.0002047 +*CONN +*I *509:A I *D sky130_fd_sc_hd__dlygate4sd3_1 *I *456:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *489:A 4.26306e-05 -2 *457:D 0.000865143 -3 *456:Q 0.000744561 -4 *191:7 0.00165234 -5 *229:DIODE *457:D 0.000113096 -6 *247:DIODE *457:D 4.98339e-05 -7 *449:CLK_N *457:D 0.000117741 -8 *456:CLK *489:A 6.08467e-05 -9 *456:CLK *191:7 0.000154145 -10 *456:D *191:7 6.27782e-05 -11 *456:RESET_B *191:7 9.57639e-05 -12 *457:CLK *457:D 1.78942e-05 -13 *458:RESET_B *457:D 0.000304088 -14 *10:9 *457:D 0.000264495 -15 *10:9 *489:A 3.90219e-05 -16 *10:11 *457:D 0.000207533 -17 *34:23 *489:A 6.08467e-05 -18 *34:23 *191:7 0.000184425 -19 *143:17 *457:D 0.000444482 -20 *143:17 *489:A 4.70559e-05 +1 *509:A 3.05403e-05 +2 *456:Q 3.05403e-05 +3 *5:12 *509:A 7.18098e-05 +4 *32:93 *509:A 7.18098e-05 *RES -1 *456:Q *191:7 26.1192 -2 *191:7 *457:D 39.9739 -3 *191:7 *489:A 15.474 +1 *456:Q *509:A 20.3309 *END -*D_NET *192 0.00275478 +*D_NET *189 0.00078521 *CONN -*I *501:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *458:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *511:A I *D sky130_fd_sc_hd__dlygate4sd3_1 *I *457:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *501:A 0.000388064 -2 *458:D 0.000352948 -3 *457:Q 0 -4 *192:4 0.000741013 -5 *501:A *499:A 0.00016386 -6 *240:DIODE *501:A 5.04756e-05 -7 *436:A *458:D 2.58757e-05 -8 *451:SET_B *458:D 6.27782e-05 -9 *457:RESET_B *458:D 4.21956e-05 -10 *457:RESET_B *501:A 0.00016553 -11 *32:168 *501:A 0.000148767 -12 *32:170 *501:A 0.000100999 -13 *137:30 *458:D 4.73827e-05 -14 *175:14 *501:A 0.000464886 +1 *511:A 0.00028823 +2 *457:Q 0.00028823 +3 *408:A *511:A 5.62448e-05 +4 *456:CLK *511:A 8.36429e-06 +5 *5:12 *511:A 0.000144141 *RES -1 *457:Q *192:4 9.24915 -2 *192:4 *458:D 25.9592 -3 *192:4 *501:A 31.3661 +1 *457:Q *511:A 36.3896 *END -*D_NET *193 0.0036921 +*D_NET *190 0.000369885 *CONN -*I *500:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *459:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *493:A I *D sky130_fd_sc_hd__dlygate4sd3_1 *I *458:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *500:A 0.000351132 -2 *459:D 0.000440399 -3 *458:Q 0.000827492 -4 *193:7 0.00161902 -5 *421:A *500:A 1.03594e-05 -6 *436:A *193:7 0.000134304 -7 *448:CLK_N *500:A 6.27782e-05 -8 *451:CLK_N *193:7 2.41274e-06 -9 *451:SET_B *193:7 2.62753e-05 -10 *460:CLK *459:D 5.50103e-05 -11 *475:A *500:A 6.27782e-05 -12 *89:8 *500:A 7.31556e-06 -13 *137:35 *459:D 9.28161e-05 +1 *493:A 8.09186e-05 +2 *458:Q 8.09186e-05 +3 *459:D *493:A 0.000208048 *RES -1 *458:Q *193:7 26.1192 -2 *193:7 *459:D 24.3881 -3 *193:7 *500:A 21.5074 +1 *458:Q *493:A 20.8855 *END -*D_NET *194 0.000912971 +*D_NET *191 0.000214003 *CONN -*I *460:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *499:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *489:A I *D sky130_fd_sc_hd__dlygate4sd3_1 *I *459:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *460:D 0 -2 *499:A 0.000200633 -3 *459:Q 0.000117369 -4 *194:5 0.000318002 -5 *460:CLK *194:5 0.000113107 -6 *501:A *499:A 0.00016386 +1 *489:A 7.63613e-05 +2 *459:Q 7.63613e-05 +3 *459:RESET_B *489:A 5.03545e-06 +4 *32:128 *489:A 5.62448e-05 *RES -1 *459:Q *194:5 12.191 -2 *194:5 *499:A 23.5748 -3 *194:5 *460:D 9.24915 +1 *459:Q *489:A 29.7455 *END -*D_NET *195 0.00833661 +*D_NET *192 0.00190961 *CONN -*I *507:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 -*I *461:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *502:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 *I *460:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *507:A 0.000501944 -2 *461:D 1.41955e-05 -3 *460:Q 0 -4 *195:12 0.00143418 -5 *195:7 0.00178959 -6 *195:4 0.000871556 -7 *380:A *195:12 4.95146e-05 -8 *408:A *195:12 0.00025597 -9 *441:SET_B *195:12 9.89413e-06 -10 *447:D *195:12 6.25544e-06 -11 *448:D *507:A 0.000197084 -12 *448:D *195:7 0.000214124 -13 *448:D *195:12 0.00015647 -14 *464:D *195:12 0 -15 *467:A *461:D 2.58616e-05 -16 *4:19 *195:12 0.000460865 -17 *4:21 *195:12 0.000237611 -18 *4:26 *195:12 0.00079081 -19 *15:8 *507:A 0.000220828 -20 *19:5 *507:A 0.000107496 -21 *32:215 *195:7 6.12919e-06 -22 *32:215 *195:12 0.000349284 -23 *85:8 *195:12 3.5822e-05 -24 *85:16 *195:12 0.000182708 -25 *113:35 *195:12 2.24718e-05 -26 *113:52 *195:12 1.65175e-05 -27 *141:67 *461:D 6.27718e-05 -28 *148:16 *195:12 0.00031666 +1 *502:A 0.000674347 +2 *460:Q 0.000674347 +3 *228:DIODE *502:A 4.09206e-05 +4 *438:A *502:A 0.000320258 +5 *439:A *502:A 0.000168313 +6 *171:10 *502:A 3.14194e-05 *RES -1 *460:Q *195:4 9.24915 -2 *195:4 *195:7 10.7694 -3 *195:7 *195:12 46.3617 -4 *195:12 *461:D 14.4725 -5 *195:4 *507:A 29.0109 +1 *460:Q *502:A 35.4016 *END -*D_NET *196 0.00232975 +*D_NET *193 0.00197986 *CONN -*I *462:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *508:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *501:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 *I *461:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *462:D 0 -2 *508:A 0.00047619 -3 *461:Q 0.000183341 -4 *196:8 0.000659531 -5 pad_gpio_slow_sel *508:A 3.29578e-05 -6 *356:A1 *508:A 5.04829e-06 -7 *404:A *508:A 7.91397e-05 -8 *461:RESET_B *196:8 0.000176936 -9 *463:CLK *508:A 6.68963e-06 -10 *463:CLK *196:8 6.14474e-06 -11 *466:A *196:8 3.57378e-06 -12 *467:A *196:8 3.00174e-06 -13 *472:A *508:A 4.75721e-06 -14 *472:A *196:8 4.59923e-05 -15 *15:30 *508:A 0.000154145 -16 *32:29 *196:8 0.000150154 -17 *91:14 *508:A 6.69183e-05 -18 *103:9 *508:A 0.000107496 -19 *141:68 *196:8 2.60879e-06 -20 *169:17 *508:A 0.000165122 +1 *501:A 0.000369716 +2 *461:Q 0.000369716 +3 *224:DIODE *501:A 0.000131374 +4 *424:A *501:A 1.03594e-05 +5 *451:CLK_N *501:A 0.000407351 +6 *453:SET_B *501:A 0.000100449 +7 *454:CLK_N *501:A 0.000109427 +8 *454:SET_B *501:A 3.7003e-05 +9 *467:D *501:A 7.0179e-06 +10 *478:A *501:A 0.000107496 +11 *99:16 *501:A 0.000329952 *RES -1 *461:Q *196:8 19.49 -2 *196:8 *508:A 26.7216 -3 *196:8 *462:D 13.7491 +1 *461:Q *501:A 41.5848 +*END + +*D_NET *194 0.000354279 +*CONN +*I *499:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *462:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *499:A 0.000153481 +2 *462:Q 0.000153481 +3 *238:DIODE *499:A 3.05632e-05 +4 *454:D *499:A 5.04829e-06 +5 *137:36 *499:A 1.17054e-05 +*RES +1 *462:Q *499:A 30.8842 +*END + +*D_NET *195 0.00081936 +*CONN +*I *495:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *463:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *495:A 0.000352544 +2 *463:Q 0.000352544 +3 *496:A *495:A 0.000114271 +*RES +1 *463:Q *495:A 35.4604 +*END + +*D_NET *196 0.00170299 +*CONN +*I *497:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1 +*I *464:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *497:A 0.000498947 +2 *464:Q 0.000498947 +3 *218:DIODE *497:A 6.08467e-05 +4 *220:DIODE *497:A 2.06573e-05 +5 *413:A *497:A 0.000255793 +6 *475:A *497:A 0.000259137 +7 *2:20 *497:A 0.000108664 +*RES +1 *464:Q *497:A 38.9646 *END diff --git a/spi/lvs/gpio_control_block.spice b/spi/lvs/gpio_control_block.spice index bd9de28b..9b1e513d 100644 --- a/spi/lvs/gpio_control_block.spice +++ b/spi/lvs/gpio_control_block.spice @@ -4,20 +4,20 @@ .subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view -.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR -.ends - * Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view .subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view +.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view .subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s25_1 abstract view -.subckt sky130_fd_sc_hd__clkdlybuf4s25_1 A VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s50_1 abstract view +.subckt sky130_fd_sc_hd__clkdlybuf4s50_1 A VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view @@ -32,6 +32,14 @@ .subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s25_1 abstract view +.subckt sky130_fd_sc_hd__clkdlybuf4s25_1 A VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dlygate4sd3_1 abstract view +.subckt sky130_fd_sc_hd__dlygate4sd3_1 A VGND VNB VPB VPWR X +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view .subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X .ends @@ -56,12 +64,8 @@ .subckt sky130_fd_sc_hd__or2b_2 A B_N VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view -.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view -.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view +.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR .ends * Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view @@ -116,8 +120,8 @@ .subckt sky130_fd_sc_hd__dlygate4sd2_1 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__clkdlybuf4s50_1 abstract view -.subckt sky130_fd_sc_hd__clkdlybuf4s50_1 A VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view +.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR .ends .subckt gpio_control_block gpio_defaults[0] gpio_defaults[10] gpio_defaults[11] gpio_defaults[12] @@ -128,95 +132,93 @@ + pad_gpio_in pad_gpio_inenb pad_gpio_out pad_gpio_outenb pad_gpio_slow_sel pad_gpio_vtrip_sel + resetn resetn_out serial_clock serial_clock_out serial_data_in serial_data_out serial_load + serial_load_out user_gpio_in user_gpio_oeb user_gpio_out vccd vccd1 vssd vssd1 zero -X_200_ _207_/CLK _200_/D resetn vssd vssd vccd vccd _201_/D sky130_fd_sc_hd__dfrtp_2 -XFILLER_18_31 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_200_ _207_/CLK hold2/X resetn vssd vssd vccd vccd _200_/Q sky130_fd_sc_hd__dfrtp_2 XANTENNA__127__B_N gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XFILLER_18_31 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_114_ resetn vssd vssd vccd vccd _177_/A sky130_fd_sc_hd__buf_1 XFILLER_13_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XFILLER_3_57 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_130_ _130_/A vssd vssd vccd vccd _130_/X sky130_fd_sc_hd__buf_1 XANTENNA__124__B gpio_defaults[8] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XANTENNA__160__B_N gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xhold20 hold20/A vssd vssd vccd vccd _190_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +XFILLER_0_47 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +Xhold20 _201_/Q vssd vssd vccd vccd _202_/D sky130_fd_sc_hd__clkdlybuf4s50_1 X_179__3 _179__3/A vssd vssd vccd vccd _179__3/Y sky130_fd_sc_hd__inv_2 -X_189_ _154__11/Y _189_/D _153_/X _156_/X vssd vssd vccd vccd pad_gpio_dm[0] _104_/A2 +X_189_ _154__11/Y hold6/X _153_/X _156_/X vssd vssd vccd vccd pad_gpio_dm[0] _104_/A2 + sky130_fd_sc_hd__dfbbn_2 XANTENNA__200__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 Xclkbuf_1_1_0__077_ clkbuf_0__077_/X vssd vssd vccd vccd _131__7/A sky130_fd_sc_hd__clkbuf_2 X_112_ _210_/A vssd vssd vccd vccd _112_/X sky130_fd_sc_hd__buf_1 -Xhold10 _207_/D vssd vssd vccd vccd hold20/A sky130_fd_sc_hd__clkdlybuf4s25_1 -Xhold21 _203_/D vssd vssd vccd vccd _194_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold10 hold9/X vssd vssd vccd vccd _198_/D sky130_fd_sc_hd__clkdlybuf4s50_1 +Xhold21 _200_/Q vssd vssd vccd vccd _201_/D sky130_fd_sc_hd__clkdlybuf4s50_1 XFILLER_3_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_111_ _111_/A vssd vssd vccd vccd _111_/X sky130_fd_sc_hd__buf_1 XANTENNA__146__B gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_188_ _148__10/Y _188_/D _147_/X _150_/X vssd vssd vccd vccd _188_/Q _188_/Q_N sky130_fd_sc_hd__dfbbn_2 +X_188_ _148__10/Y hold8/X _147_/X _150_/X vssd vssd vccd vccd _188_/Q _188_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xhold22 _205_/D vssd vssd vccd vccd _185_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold11 _195_/Q vssd vssd vccd vccd hold12/A sky130_fd_sc_hd__dlygate4sd3_1 XFILLER_15_24 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -Xhold22 _202_/D vssd vssd vccd vccd _193_/D sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_6_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -Xhold11 hold1/X vssd vssd vccd vccd _187_/D sky130_fd_sc_hd__clkdlybuf4s25_1 -X_187_ _142__9/Y _187_/D _140_/X _145_/X vssd vssd vccd vccd pad_gpio_ib_mode_sel +X_187_ _142__9/Y hold2/X _140_/X _145_/X vssd vssd vccd vccd pad_gpio_ib_mode_sel + _187_/Q_N sky130_fd_sc_hd__dfbbn_2 X_110_ _180_/A gpio_defaults[0] vssd vssd vccd vccd _111_/A sky130_fd_sc_hd__or2_2 -Xhold12 _200_/D vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__clkdlybuf4s25_1 -Xhold23 _201_/D vssd vssd vccd vccd _192_/D sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_1_82 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +Xhold12 hold12/A vssd vssd vccd vccd _196_/D sky130_fd_sc_hd__clkdlybuf4s50_1 +Xhold23 _207_/D vssd vssd vccd vccd _190_/D sky130_fd_sc_hd__clkdlybuf4s25_1 XANTENNA__162__B gpio_defaults[12] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XFILLER_1_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XANTENNA__157__B gpio_defaults[11] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_186_ _136__8/Y _186_/D _135_/X _138_/X vssd vssd vccd vccd pad_gpio_inenb _186_/Q_N +X_186_ _136__8/Y _199_/D _135_/X _138_/X vssd vssd vccd vccd pad_gpio_inenb _186_/Q_N + sky130_fd_sc_hd__dfbbn_2 -Xhold13 hold2/X vssd vssd vccd vccd _191_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold13 _198_/Q vssd vssd vccd vccd hold14/A sky130_fd_sc_hd__dlygate4sd3_1 XPHY_0 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__203__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_185_ _131__7/Y _185_/D _130_/X _133_/X vssd vssd vccd vccd pad_gpio_vtrip_sel _185_/Q_N + sky130_fd_sc_hd__dfbbn_2 XANTENNA__196__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_099_ _188_/Q mgmt_gpio_oeb _182_/Q _098_/X vssd vssd vccd vccd pad_gpio_outenb sky130_fd_sc_hd__a31o_2 -Xhold14 hold4/X vssd vssd vccd vccd _188_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold14 hold14/A vssd vssd vccd vccd _199_/D sky130_fd_sc_hd__clkdlybuf4s50_1 X_168_ _168_/A vssd vssd vccd vccd _168_/X sky130_fd_sc_hd__buf_1 +XFILLER_6_29 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_1 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 X_164__13 _164__13/A vssd vssd vccd vccd _164__13/Y sky130_fd_sc_hd__inv_2 -X_184_ _126__6/Y hold8/X _125_/X _128_/X vssd vssd vccd vccd pad_gpio_slow_sel _184_/Q_N +X_184_ _126__6/Y _204_/D _125_/X _128_/X vssd vssd vccd vccd pad_gpio_slow_sel _184_/Q_N + sky130_fd_sc_hd__dfbbn_2 XFILLER_18_27 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 Xconst_source vssd vssd vccd vccd one zero sky130_fd_sc_hd__conb_1 X_098_ _182_/Q user_gpio_oeb vssd vssd vccd vccd _098_/X sky130_fd_sc_hd__and2b_2 -Xhold15 hold5/X vssd vssd vccd vccd _183_/D sky130_fd_sc_hd__clkdlybuf4s25_1 X_167_ _172_/A gpio_defaults[5] vssd vssd vccd vccd _168_/A sky130_fd_sc_hd__or2_2 +Xhold15 _203_/Q vssd vssd vccd vccd hold16/A sky130_fd_sc_hd__dlygate4sd3_1 XFILLER_16_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_2 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_183_ _120__5/Y _183_/D _119_/X _122_/X vssd vssd vccd vccd pad_gpio_holdover _183_/Q_N +X_183_ _120__5/Y _198_/D _119_/X _122_/X vssd vssd vccd vccd pad_gpio_holdover _183_/Q_N + sky130_fd_sc_hd__dfbbn_2 X_166_ _166_/A vssd vssd vccd vccd _166_/X sky130_fd_sc_hd__buf_1 X_097_ _097_/A vssd vssd vccd vccd _097_/X sky130_fd_sc_hd__buf_1 -Xhold16 hold6/X vssd vssd vccd vccd _182_/D sky130_fd_sc_hd__clkdlybuf4s25_1 X_149_ _165_/A gpio_defaults[1] vssd vssd vccd vccd _150_/A sky130_fd_sc_hd__or2b_2 +Xhold16 hold16/A vssd vssd vccd vccd _204_/D sky130_fd_sc_hd__clkdlybuf4s50_1 XPHY_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__100__A user_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_182_ _113__4/Y _182_/D _111_/X _117_/X vssd vssd vccd vccd _182_/Q _182_/Q_N sky130_fd_sc_hd__dfbbn_2 -Xhold17 hold3/X vssd vssd vccd vccd _189_/D sky130_fd_sc_hd__clkdlybuf4s25_1 +X_182_ _113__4/Y _196_/D _111_/X _117_/X vssd vssd vccd vccd _182_/Q _182_/Q_N sky130_fd_sc_hd__dfbbn_2 +Xhold17 _204_/Q vssd vssd vccd vccd _205_/D sky130_fd_sc_hd__clkdlybuf4s50_1 X_096_ pad_gpio_inenb _188_/Q vssd vssd vccd vccd _097_/A sky130_fd_sc_hd__or2b_2 X_165_ _165_/A gpio_defaults[12] vssd vssd vccd vccd _166_/A sky130_fd_sc_hd__or2b_2 XANTENNA__206__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_4 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__199__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xclkbuf_1_1_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _210_/A sky130_fd_sc_hd__clkbuf_2 XANTENNA__195__D serial_data_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xclkbuf_1_1_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _210_/A sky130_fd_sc_hd__clkbuf_2 X_181_ _181_/A vssd vssd vccd vccd _181_/X sky130_fd_sc_hd__buf_1 -XFILLER_18_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_6 +XFILLER_18_3 vssd vssd vccd vccd sky130_fd_sc_hd__decap_4 X_147_ _147_/A vssd vssd vccd vccd _147_/X sky130_fd_sc_hd__buf_1 -Xhold18 hold7/X vssd vssd vccd vccd _186_/D sky130_fd_sc_hd__clkdlybuf4s25_1 XANTENNA__106__A pad_gpio_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xhold18 _206_/Q vssd vssd vccd vccd _207_/D sky130_fd_sc_hd__clkdlybuf4s50_1 XPHY_5 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__114__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_180_ _180_/A gpio_defaults[7] vssd vssd vccd vccd _181_/A sky130_fd_sc_hd__or2b_2 X_169__1 _179__3/A vssd vssd vccd vccd _169__1/Y sky130_fd_sc_hd__inv_2 XANTENNA__109__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xhold19 hold9/X vssd vssd vccd vccd _185_/D sky130_fd_sc_hd__clkdlybuf4s25_1 -X_163_ _163_/A vssd vssd vccd vccd _163_/X sky130_fd_sc_hd__buf_1 XANTENNA__149__B_N gpio_defaults[1] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XFILLER_1_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2 +Xhold19 _202_/Q vssd vssd vccd vccd _203_/D sky130_fd_sc_hd__clkdlybuf4s25_1 XFILLER_1_78 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_163_ _163_/A vssd vssd vccd vccd _163_/X sky130_fd_sc_hd__buf_1 X_129_ _146_/A gpio_defaults[9] vssd vssd vccd vccd _130_/A sky130_fd_sc_hd__or2_2 Xclkbuf_0__077_ _112_/X vssd vssd vccd vccd clkbuf_0__077_/X sky130_fd_sc_hd__clkbuf_16 Xclkbuf_1_0_0__077_ clkbuf_0__077_/X vssd vssd vccd vccd _136__8/A sky130_fd_sc_hd__clkbuf_2 @@ -227,7 +229,7 @@ X_162_ _172_/A gpio_defaults[12] vssd vssd vccd vccd _163_/A sky130_fd_sc_hd__or X_145_ _145_/A vssd vssd vccd vccd _145_/X sky130_fd_sc_hd__buf_1 XANTENNA__116__B_N gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_128_ _128_/A vssd vssd vccd vccd _128_/X sky130_fd_sc_hd__buf_1 -XFILLER_7_78 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_7_34 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_7 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 X_161_ _161_/A vssd vssd vccd vccd _161_/X sky130_fd_sc_hd__buf_1 Xgpio_in_buf _106_/Y gpio_in_buf/TE vssd vssd vccd vccd user_gpio_in sky130_fd_sc_hd__einvp_8 @@ -255,8 +257,8 @@ X_210_ _210_/A vssd vssd vccd vccd serial_load_out sky130_fd_sc_hd__buf_2 XTAP_61 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_50 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 X_141_ _210_/A vssd vssd vccd vccd _141_/X sky130_fd_sc_hd__buf_1 -X_124_ _146_/A gpio_defaults[8] vssd vssd vccd vccd _125_/A sky130_fd_sc_hd__or2_2 Xclkbuf_1_1_0_serial_clock clkbuf_0_serial_clock/X vssd vssd vccd vccd _209_/A sky130_fd_sc_hd__clkbuf_2 +X_124_ _146_/A gpio_defaults[8] vssd vssd vccd vccd _125_/A sky130_fd_sc_hd__or2_2 XANTENNA__202__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XANTENNA__195__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XFILLER_14_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 @@ -270,58 +272,59 @@ XTAP_40 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 X_123_ _177_/A vssd vssd vccd vccd _146_/A sky130_fd_sc_hd__buf_1 X_106_ pad_gpio_in vssd vssd vccd vccd _106_/Y sky130_fd_sc_hd__inv_2 X_148__10 _142__9/A vssd vssd vccd vccd _148__10/Y sky130_fd_sc_hd__inv_2 -XANTENNA__177__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XANTENNA__132__B_N gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XTAP_63 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 +XANTENNA__177__B gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XTAP_52 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_41 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA__155__B_N gpio_defaults[10] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XFILLER_16_27 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XFILLER_11_60 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_122_ _122_/A vssd vssd vccd vccd _122_/X sky130_fd_sc_hd__buf_1 -X_199_ _207_/CLK hold7/A resetn vssd vssd vccd vccd _200_/D sky130_fd_sc_hd__dfrtp_2 +X_199_ _207_/CLK _199_/D resetn vssd vssd vccd vccd hold1/A sky130_fd_sc_hd__dfrtp_2 X_105_ _182_/Q _100_/Y _103_/X _104_/Y vssd vssd vccd vccd pad_gpio_out sky130_fd_sc_hd__o22ai_2 XTAP_64 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XFILLER_14_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_53 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_198_ _209_/A hold5/A resetn vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_2 +X_198_ _209_/A _198_/D resetn vssd vssd vccd vccd _198_/Q sky130_fd_sc_hd__dfrtp_2 XTAP_42 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA__098__B user_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_121_ _137_/A gpio_defaults[2] vssd vssd vccd vccd _122_/A sky130_fd_sc_hd__or2b_2 X_104_ pad_gpio_dm[2] _104_/A2 _101_/Y _182_/Q vssd vssd vccd vccd _104_/Y sky130_fd_sc_hd__o31ai_2 XFILLER_12_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -XTAP_65 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA__205__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XTAP_65 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_54 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_43 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_197_ _209_/A hold4/A resetn vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_2 +X_197_ _209_/A hold8/X resetn vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_2 XANTENNA__198__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_103_ pad_gpio_dm[2] _101_/Y _102_/Y vssd vssd vccd vccd _103_/X sky130_fd_sc_hd__o21a_2 X_120__5 _136__8/A vssd vssd vccd vccd _120__5/Y sky130_fd_sc_hd__inv_2 -Xhold1 hold1/A vssd vssd vccd vccd hold1/X sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold1 hold1/A vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dlygate4sd3_1 XTAP_55 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_196_ _209_/A hold6/A resetn vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dfrtp_2 +X_196_ _209_/A _196_/D resetn vssd vssd vccd vccd hold7/A sky130_fd_sc_hd__dfrtp_2 XANTENNA__101__A mgmt_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XTAP_44 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 X_102_ mgmt_gpio_out vssd vssd vccd vccd _102_/Y sky130_fd_sc_hd__inv_2 Xclkbuf_0_serial_load serial_load vssd vssd vccd vccd clkbuf_0_serial_load/X sky130_fd_sc_hd__clkbuf_16 -Xhold2 hold2/A vssd vssd vccd vccd hold2/X sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold2 hold2/A vssd vssd vccd vccd hold2/X sky130_fd_sc_hd__clkdlybuf4s50_1 XTAP_56 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_45 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 Xgpio_logic_high gpio_in_buf/TE vccd1 vssd1 gpio_logic_high -X_195_ _209_/A serial_data_in resetn vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dfrtp_2 +XFILLER_5_32 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_195_ _209_/A serial_data_in resetn vssd vssd vccd vccd _195_/Q sky130_fd_sc_hd__dfrtp_2 X_101_ mgmt_gpio_oeb pad_gpio_dm[1] vssd vssd vccd vccd _101_/Y sky130_fd_sc_hd__nand2_2 X_178_ _178_/A vssd vssd vccd vccd _178_/X sky130_fd_sc_hd__buf_1 -Xhold3 hold3/A vssd vssd vccd vccd hold3/X sky130_fd_sc_hd__clkdlybuf4s25_1 Xclkbuf_1_1_0__049_ clkbuf_0__049_/X vssd vssd vccd vccd _142__9/A sky130_fd_sc_hd__clkbuf_2 Xclkbuf_1_0_0_serial_load clkbuf_0_serial_load/X vssd vssd vccd vccd _179__3/A sky130_fd_sc_hd__clkbuf_2 XFILLER_10_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +Xhold3 hold3/A vssd vssd vccd vccd hold4/A sky130_fd_sc_hd__dlygate4sd3_1 X_126__6 _131__7/A vssd vssd vccd vccd _126__6/Y sky130_fd_sc_hd__inv_2 XFILLER_14_42 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XTAP_57 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_30 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_46 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 -X_194_ _179__3/Y _194_/D _178_/X _181_/X vssd vssd vccd vccd pad_gpio_ana_pol _194_/Q_N +X_194_ _179__3/Y _203_/D _178_/X _181_/X vssd vssd vccd vccd pad_gpio_ana_pol _194_/Q_N + sky130_fd_sc_hd__dfbbn_2 X_100_ user_gpio_out vssd vssd vccd vccd _100_/Y sky130_fd_sc_hd__inv_2 XANTENNA__208__A resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 @@ -332,24 +335,24 @@ XTAP_58 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_47 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_31 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_20 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_193_ _174__2/Y _193_/D _173_/X _176_/X vssd vssd vccd vccd pad_gpio_ana_sel _193_/Q_N +X_193_ _174__2/Y _202_/D _173_/X _176_/X vssd vssd vccd vccd pad_gpio_ana_sel _193_/Q_N + sky130_fd_sc_hd__dfbbn_2 XANTENNA__118__B gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_176_ _176_/A vssd vssd vccd vccd _176_/X sky130_fd_sc_hd__buf_1 -Xhold5 hold5/A vssd vssd vccd vccd hold5/X sky130_fd_sc_hd__clkdlybuf4s25_1 -Xdata_delay_1 hold2/A vssd vssd vccd vccd data_delay_2/A sky130_fd_sc_hd__dlygate4sd2_1 +Xhold5 hold5/A vssd vssd vccd vccd hold6/A sky130_fd_sc_hd__dlygate4sd3_1 +Xdata_delay_1 hold3/A vssd vssd vccd vccd data_delay_2/A sky130_fd_sc_hd__dlygate4sd2_1 XPHY_32 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_59 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_21 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XTAP_48 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_10 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -X_192_ _169__1/Y _192_/D _168_/X _171_/X vssd vssd vccd vccd pad_gpio_ana_en _192_/Q_N +X_192_ _169__1/Y _201_/D _168_/X _171_/X vssd vssd vccd vccd pad_gpio_ana_en _192_/Q_N + sky130_fd_sc_hd__dfbbn_2 X_175_ _180_/A gpio_defaults[6] vssd vssd vccd vccd _176_/A sky130_fd_sc_hd__or2b_2 XFILLER_2_47 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XANTENNA__129__B gpio_defaults[9] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XANTENNA__134__B gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -Xhold6 hold6/A vssd vssd vccd vccd hold6/X sky130_fd_sc_hd__clkdlybuf4s25_1 +Xhold6 hold6/A vssd vssd vccd vccd hold6/X sky130_fd_sc_hd__clkdlybuf4s50_1 X_158_ _158_/A vssd vssd vccd vccd _158_/X sky130_fd_sc_hd__buf_1 XTAP_49 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_38 vssd vccd sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -359,12 +362,13 @@ XPHY_33 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XFILLER_11_24 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_22 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 Xclkbuf_0_serial_clock serial_clock vssd vssd vccd vccd clkbuf_0_serial_clock/X sky130_fd_sc_hd__clkbuf_16 -X_191_ _164__13/Y _191_/D _163_/X _166_/X vssd vssd vccd vccd pad_gpio_dm[2] _191_/Q_N +X_191_ _164__13/Y hold4/X _163_/X _166_/X vssd vssd vccd vccd pad_gpio_dm[2] _191_/Q_N + sky130_fd_sc_hd__dfbbn_2 XPHY_11 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 X_157_ _172_/A gpio_defaults[11] vssd vssd vccd vccd _158_/A sky130_fd_sc_hd__or2_2 X_209_ _209_/A vssd vssd vccd vccd serial_clock_out sky130_fd_sc_hd__buf_2 -Xhold7 hold7/A vssd vssd vccd vccd hold7/X sky130_fd_sc_hd__clkdlybuf4s25_1 +XFILLER_17_45 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +Xhold7 hold7/A vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dlygate4sd3_1 XFILLER_5_26 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_142__9 _142__9/A vssd vssd vccd vccd _142__9/Y sky130_fd_sc_hd__inv_2 XANTENNA__201__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 @@ -376,10 +380,10 @@ X_190_ _159__12/Y _190_/D _158_/X _161_/X vssd vssd vccd vccd pad_gpio_dm[1] _19 + sky130_fd_sc_hd__dfbbn_2 X_173_ _173_/A vssd vssd vccd vccd _173_/X sky130_fd_sc_hd__buf_1 X_156_ _156_/A vssd vssd vccd vccd _156_/X sky130_fd_sc_hd__buf_1 -XFILLER_8_37 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -Xhold8 hold8/A vssd vssd vccd vccd hold8/X sky130_fd_sc_hd__clkdlybuf4s50_1 +Xhold8 hold8/A vssd vssd vccd vccd hold8/X sky130_fd_sc_hd__clkdlybuf4s25_1 X_208_ resetn vssd vssd vccd vccd resetn_out sky130_fd_sc_hd__buf_2 X_139_ _146_/A gpio_defaults[4] vssd vssd vccd vccd _140_/A sky130_fd_sc_hd__or2_2 +XFILLER_0_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_35 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_24 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_13 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 @@ -388,29 +392,30 @@ X_155_ _165_/A gpio_defaults[10] vssd vssd vccd vccd _156_/A sky130_fd_sc_hd__or X_172_ _172_/A gpio_defaults[6] vssd vssd vccd vccd _173_/A sky130_fd_sc_hd__or2_2 XFILLER_3_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_138_ _138_/A vssd vssd vccd vccd _138_/X sky130_fd_sc_hd__buf_1 -Xhold9 hold9/A vssd vssd vccd vccd hold9/X sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_0_72 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_207_ _207_/CLK _207_/D resetn vssd vssd vccd vccd hold2/A sky130_fd_sc_hd__dfrtp_2 -XANTENNA__172__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +Xhold9 hold9/A vssd vssd vccd vccd hold9/X sky130_fd_sc_hd__dlygate4sd3_1 +X_207_ _207_/CLK _207_/D resetn vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_2 XANTENNA__167__B gpio_defaults[5] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 +XANTENNA__172__B gpio_defaults[6] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 XPHY_36 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_25 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 X_171_ _171_/A vssd vssd vccd vccd _171_/X sky130_fd_sc_hd__buf_1 XPHY_14 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 -XFILLER_18_80 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_17_48 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +XFILLER_3_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_137_ _137_/A gpio_defaults[3] vssd vssd vccd vccd _138_/A sky130_fd_sc_hd__or2b_2 XFILLER_17_3 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XANTENNA__096__A pad_gpio_inenb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_206_ _209_/A hold3/A resetn vssd vssd vccd vccd _207_/D sky130_fd_sc_hd__dfrtp_2 +X_206_ _209_/A hold6/X resetn vssd vssd vccd vccd _206_/Q sky130_fd_sc_hd__dfrtp_2 +XFILLER_0_84 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_37 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_26 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_15 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__121__B_N gpio_defaults[2] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_170_ _180_/A gpio_defaults[5] vssd vssd vccd vccd _171_/A sky130_fd_sc_hd__or2b_2 XANTENNA__204__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -XFILLER_12_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 -X_205_ _209_/A hold9/A resetn vssd vssd vccd vccd hold3/A sky130_fd_sc_hd__dfrtp_2 X_153_ _153_/A vssd vssd vccd vccd _153_/X sky130_fd_sc_hd__buf_1 +XFILLER_12_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 +X_205_ _209_/A _205_/D resetn vssd vssd vccd vccd hold5/A sky130_fd_sc_hd__dfrtp_2 XANTENNA__144__B_N gpio_defaults[4] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_119_ _119_/A vssd vssd vccd vccd _119_/X sky130_fd_sc_hd__buf_1 XANTENNA__197__RESET_B resetn vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 @@ -418,18 +423,19 @@ XFILLER_15_93 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 XPHY_27 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_16 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 X_113__4 _136__8/A vssd vssd vccd vccd _113__4/Y sky130_fd_sc_hd__inv_2 +XFILLER_12_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_152_ _172_/A gpio_defaults[10] vssd vssd vccd vccd _153_/A sky130_fd_sc_hd__or2_2 X_135_ _135_/A vssd vssd vccd vccd _135_/X sky130_fd_sc_hd__buf_1 -X_204_ _209_/A hold8/A resetn vssd vssd vccd vccd hold9/A sky130_fd_sc_hd__dfrtp_2 +X_204_ _209_/A _204_/D resetn vssd vssd vccd vccd _204_/Q sky130_fd_sc_hd__dfrtp_2 X_118_ _180_/A gpio_defaults[2] vssd vssd vccd vccd _119_/A sky130_fd_sc_hd__or2_2 XPHY_28 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_17 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 Xclkbuf_0__049_ _141_/X vssd vssd vccd vccd clkbuf_0__049_/X sky130_fd_sc_hd__clkbuf_16 Xclkbuf_1_0_0__049_ clkbuf_0__049_/X vssd vssd vccd vccd _164__13/A sky130_fd_sc_hd__clkbuf_2 X_134_ _146_/A gpio_defaults[3] vssd vssd vccd vccd _135_/A sky130_fd_sc_hd__or2_2 -X_203_ _207_/CLK _203_/D resetn vssd vssd vccd vccd hold8/A sky130_fd_sc_hd__dfrtp_2 +X_203_ _207_/CLK _203_/D resetn vssd vssd vccd vccd _203_/Q sky130_fd_sc_hd__dfrtp_2 X_151_ _177_/A vssd vssd vccd vccd _172_/A sky130_fd_sc_hd__buf_1 -XFILLER_18_61 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2 +XFILLER_18_83 vssd vssd vccd vccd sky130_fd_sc_hd__fill_1 X_117_ _117_/A vssd vssd vccd vccd _117_/X sky130_fd_sc_hd__buf_1 XPHY_29 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XPHY_18 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 @@ -437,7 +443,7 @@ XANTENNA__102__A mgmt_gpio_out vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_150_ _150_/A vssd vssd vccd vccd _150_/X sky130_fd_sc_hd__buf_1 X_159__12 _164__13/A vssd vssd vccd vccd _159__12/Y sky130_fd_sc_hd__inv_2 X_133_ _133_/A vssd vssd vccd vccd _133_/X sky130_fd_sc_hd__buf_1 -X_202_ _207_/CLK _202_/D resetn vssd vssd vccd vccd _203_/D sky130_fd_sc_hd__dfrtp_2 +X_202_ _207_/CLK _202_/D resetn vssd vssd vccd vccd _202_/Q sky130_fd_sc_hd__dfrtp_2 X_116_ _137_/A gpio_defaults[0] vssd vssd vccd vccd _117_/A sky130_fd_sc_hd__or2b_2 XPHY_19 vssd vssd vccd vccd sky130_fd_sc_hd__decap_3 XANTENNA__180__B_N gpio_defaults[7] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 @@ -446,7 +452,8 @@ XANTENNA_clkbuf_0_serial_clock_A serial_clock vssd vssd vccd vccd sky130_fd_sc_h XANTENNA__137__B_N gpio_defaults[3] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_132_ _137_/A gpio_defaults[9] vssd vssd vccd vccd _133_/A sky130_fd_sc_hd__or2b_2 XANTENNA__099__A2 mgmt_gpio_oeb vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 -X_201_ _207_/CLK _201_/D resetn vssd vssd vccd vccd _202_/D sky130_fd_sc_hd__dfrtp_2 +X_201_ _207_/CLK _201_/D resetn vssd vssd vccd vccd _201_/Q sky130_fd_sc_hd__dfrtp_2 +XFILLER_18_63 vssd vssd vccd vccd sky130_fd_sc_hd__fill_2 XANTENNA__110__B gpio_defaults[0] vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 X_115_ _177_/A vssd vssd vccd vccd _137_/A sky130_fd_sc_hd__buf_1 XANTENNA__211__A pad_gpio_in vssd vssd vccd vccd sky130_fd_sc_hd__diode_2 diff --git a/verilog/gl/gpio_control_block.v b/verilog/gl/gpio_control_block.v index ee88e70c..49b798e5 100644 --- a/verilog/gl/gpio_control_block.v +++ b/verilog/gl/gpio_control_block.v @@ -480,7 +480,15 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_0_72 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_0_47 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_0_84 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_0_93 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -504,6 +512,10 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_12_83 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); sky130_fd_sc_hd__fill_1 FILLER_12_93 (.VGND(vssd), .VNB(vssd), .VPB(vccd), @@ -552,11 +564,19 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_17_45 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_17_48 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); sky130_fd_sc_hd__fill_1 FILLER_18_27 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__decap_6 FILLER_18_3 (.VGND(vssd), + sky130_fd_sc_hd__decap_4 FILLER_18_3 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -564,11 +584,11 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_2 FILLER_18_61 (.VGND(vssd), + sky130_fd_sc_hd__fill_2 FILLER_18_63 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_18_80 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_18_83 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -576,22 +596,10 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_2 FILLER_1_34 (.VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd)); sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_1_82 (.VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_1_93 (.VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd)); sky130_fd_sc_hd__fill_1 FILLER_2_47 (.VGND(vssd), .VNB(vssd), .VPB(vccd), @@ -604,6 +612,10 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); + sky130_fd_sc_hd__fill_1 FILLER_3_83 (.VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd)); sky130_fd_sc_hd__fill_1 FILLER_3_93 (.VGND(vssd), .VNB(vssd), .VPB(vccd), @@ -612,15 +624,15 @@ module gpio_control_block (mgmt_gpio_in, .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_6_26 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_5_32 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_7_78 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_6_29 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); - sky130_fd_sc_hd__fill_1 FILLER_8_37 (.VGND(vssd), + sky130_fd_sc_hd__fill_1 FILLER_7_34 (.VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd)); @@ -1394,7 +1406,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .X(_027_)); sky130_fd_sc_hd__dfbbn_2 _182_ (.CLK_N(net4), - .D(net29), + .D(net24), .RESET_B(_002_), .SET_B(_003_), .VGND(vssd), @@ -1404,7 +1416,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(mgmt_ena), .Q_N(_094_)); sky130_fd_sc_hd__dfbbn_2 _183_ (.CLK_N(net5), - .D(net28), + .D(net22), .RESET_B(_004_), .SET_B(_005_), .VGND(vssd), @@ -1414,7 +1426,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_holdover), .Q_N(_093_)); sky130_fd_sc_hd__dfbbn_2 _184_ (.CLK_N(net6), - .D(net21), + .D(net28), .RESET_B(_006_), .SET_B(_007_), .VGND(vssd), @@ -1424,7 +1436,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_slow_sel), .Q_N(_092_)); sky130_fd_sc_hd__dfbbn_2 _185_ (.CLK_N(net7), - .D(net32), + .D(net35), .RESET_B(_008_), .SET_B(_009_), .VGND(vssd), @@ -1434,7 +1446,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_vtrip_sel), .Q_N(_091_)); sky130_fd_sc_hd__dfbbn_2 _186_ (.CLK_N(net8), - .D(net31), + .D(net26), .RESET_B(_010_), .SET_B(_011_), .VGND(vssd), @@ -1444,7 +1456,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_inenb), .Q_N(_090_)); sky130_fd_sc_hd__dfbbn_2 _187_ (.CLK_N(net9), - .D(net24), + .D(net14), .RESET_B(_012_), .SET_B(_013_), .VGND(vssd), @@ -1454,7 +1466,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_ib_mode_sel), .Q_N(_089_)); sky130_fd_sc_hd__dfbbn_2 _188_ (.CLK_N(net10), - .D(net27), + .D(net20), .RESET_B(_014_), .SET_B(_015_), .VGND(vssd), @@ -1464,7 +1476,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(gpio_outenb), .Q_N(_088_)); sky130_fd_sc_hd__dfbbn_2 _189_ (.CLK_N(net11), - .D(net30), + .D(net18), .RESET_B(_016_), .SET_B(_017_), .VGND(vssd), @@ -1474,7 +1486,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_dm[0]), .Q_N(_000_)); sky130_fd_sc_hd__dfbbn_2 _190_ (.CLK_N(net12), - .D(net33), + .D(net36), .RESET_B(_018_), .SET_B(_019_), .VGND(vssd), @@ -1484,7 +1496,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_dm[1]), .Q_N(_087_)); sky130_fd_sc_hd__dfbbn_2 _191_ (.CLK_N(net13), - .D(net26), + .D(net16), .RESET_B(_020_), .SET_B(_021_), .VGND(vssd), @@ -1494,7 +1506,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_dm[2]), .Q_N(_086_)); sky130_fd_sc_hd__dfbbn_2 _192_ (.CLK_N(net1), - .D(net36), + .D(net34), .RESET_B(_022_), .SET_B(_023_), .VGND(vssd), @@ -1504,7 +1516,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_ana_en), .Q_N(_085_)); sky130_fd_sc_hd__dfbbn_2 _193_ (.CLK_N(net2), - .D(net35), + .D(net33), .RESET_B(_024_), .SET_B(_025_), .VGND(vssd), @@ -1514,7 +1526,7 @@ module gpio_control_block (mgmt_gpio_in, .Q(pad_gpio_ana_sel), .Q_N(_084_)); sky130_fd_sc_hd__dfbbn_2 _194_ (.CLK_N(net3), - .D(net34), + .D(net32), .RESET_B(_026_), .SET_B(_027_), .VGND(vssd), @@ -1532,7 +1544,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[0] )); sky130_fd_sc_hd__dfrtp_2 _196_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[0] ), + .D(net24), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1540,7 +1552,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[1] )); sky130_fd_sc_hd__dfrtp_2 _197_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[1] ), + .D(net20), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1548,7 +1560,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[2] )); sky130_fd_sc_hd__dfrtp_2 _198_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[2] ), + .D(net22), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1556,7 +1568,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[3] )); sky130_fd_sc_hd__dfrtp_2 _199_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[3] ), + .D(net26), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1564,7 +1576,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[4] )); sky130_fd_sc_hd__dfrtp_2 _200_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[4] ), + .D(net14), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1572,7 +1584,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[5] )); sky130_fd_sc_hd__dfrtp_2 _201_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[5] ), + .D(net34), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1580,7 +1592,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[6] )); sky130_fd_sc_hd__dfrtp_2 _202_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[6] ), + .D(net33), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1588,7 +1600,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[7] )); sky130_fd_sc_hd__dfrtp_2 _203_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[7] ), + .D(net32), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1596,7 +1608,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[8] )); sky130_fd_sc_hd__dfrtp_2 _204_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[8] ), + .D(net28), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1604,7 +1616,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[9] )); sky130_fd_sc_hd__dfrtp_2 _205_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[9] ), + .D(net30), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1612,7 +1624,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[10] )); sky130_fd_sc_hd__dfrtp_2 _206_ (.CLK(clknet_1_1_0_serial_clock), - .D(\shift_register[10] ), + .D(net18), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1620,7 +1632,7 @@ module gpio_control_block (mgmt_gpio_in, .VPWR(vccd), .Q(\shift_register[11] )); sky130_fd_sc_hd__dfrtp_2 _207_ (.CLK(clknet_1_0_0_serial_clock), - .D(\shift_register[11] ), + .D(net31), .RESET_B(resetn), .VGND(vssd), .VNB(vssd), @@ -1752,142 +1764,142 @@ module gpio_control_block (mgmt_gpio_in, gpio_logic_high gpio_logic_high (.gpio_logic1(gpio_logic1), .vccd1(vccd1), .vssd1(vssd1)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 (.A(net25), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net14)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold10 (.A(\shift_register[11] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net23)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold11 (.A(net14), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net24)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold12 (.A(\shift_register[4] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net25)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold13 (.A(net15), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net26)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold14 (.A(net17), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net27)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(net18), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net28)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold16 (.A(net19), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net29)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold17 (.A(net16), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net30)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 (.A(net20), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net31)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(net22), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net32)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold2 (.A(serial_data_pre), + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\shift_register[4] ), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net15)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 (.A(net23), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net33)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold21 (.A(\shift_register[7] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net34)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold22 (.A(\shift_register[6] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net35)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(\shift_register[5] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net36)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 (.A(\shift_register[10] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net16)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(\shift_register[1] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net17)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold5 (.A(\shift_register[2] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net18)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold6 (.A(\shift_register[0] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net19)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold7 (.A(\shift_register[3] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net20)); - sky130_fd_sc_hd__clkdlybuf4s50_1 hold8 (.A(\shift_register[8] ), - .VGND(vssd), - .VNB(vssd), - .VPB(vccd), - .VPWR(vccd), - .X(net21)); - sky130_fd_sc_hd__clkdlybuf4s25_1 hold9 (.A(\shift_register[9] ), + sky130_fd_sc_hd__clkdlybuf4s50_1 hold10 (.A(net23), .VGND(vssd), .VNB(vssd), .VPB(vccd), .VPWR(vccd), .X(net22)); + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\shift_register[0] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net25)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold12 (.A(net25), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net24)); + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\shift_register[3] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net27)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold14 (.A(net27), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net26)); + sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\shift_register[8] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net29)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold16 (.A(net29), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net28)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold17 (.A(\shift_register[9] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net30)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold18 (.A(\shift_register[11] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net31)); + sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(\shift_register[7] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net32)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold2 (.A(net15), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net14)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold20 (.A(\shift_register[6] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net33)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold21 (.A(\shift_register[5] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net34)); + sky130_fd_sc_hd__clkdlybuf4s25_1 hold22 (.A(net30), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net35)); + sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(net31), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net36)); + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(serial_data_pre), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net17)); + sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(net17), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net16)); + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\shift_register[10] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net19)); + sky130_fd_sc_hd__clkdlybuf4s50_1 hold6 (.A(net19), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net18)); + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\shift_register[1] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net21)); + sky130_fd_sc_hd__clkdlybuf4s25_1 hold8 (.A(net21), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net20)); + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\shift_register[2] ), + .VGND(vssd), + .VNB(vssd), + .VPB(vccd), + .VPWR(vccd), + .X(net23)); endmodule