mirror of https://github.com/YosysHQ/yosys.git
Add test for abc9+mince issue
Signed-off-by: David Shah <dave@ds0.me>
This commit is contained in:
parent
e813624f21
commit
fa77fb857b
|
@ -0,0 +1,17 @@
|
|||
read_verilog <<EOT
|
||||
|
||||
module top(input clk, ce, input [2:0] a, b, output reg [2:0] q);
|
||||
|
||||
reg [2:0] aa, bb;
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (ce) begin
|
||||
aa <= a;
|
||||
end
|
||||
bb <= b;
|
||||
q <= aa + bb;
|
||||
end
|
||||
endmodule
|
||||
EOT
|
||||
|
||||
synth_ice40 -abc9 -dffe_min_ce_use 4
|
Loading…
Reference in New Issue