mirror of https://github.com/YosysHQ/yosys.git
Update tests
This commit is contained in:
parent
0d4a670267
commit
e6f7cf3b29
|
@ -26,10 +26,12 @@ proc
|
|||
equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux8 # Constrain all select calls below inside the top module
|
||||
select -assert-count 3 t:AL_MAP_LUT4
|
||||
select -assert-count 1 t:AL_MAP_LUT6
|
||||
select -assert-max 3 t:AL_MAP_LUT3
|
||||
select -assert-max 3 t:AL_MAP_LUT4
|
||||
select -assert-max 1 t:AL_MAP_LUT5
|
||||
select -assert-max 1 t:AL_MAP_LUT6
|
||||
|
||||
select -assert-none t:AL_MAP_LUT4 t:AL_MAP_LUT6 %% t:* %D
|
||||
select -assert-none t:AL_MAP_LUT3 t:AL_MAP_LUT4 t:AL_MAP_LUT5 t:AL_MAP_LUT6 %% t:* %D
|
||||
|
||||
design -load read
|
||||
hierarchy -top mux16
|
||||
|
|
|
@ -28,8 +28,8 @@ equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
|
|||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux8 # Constrain all select calls below inside the top module
|
||||
select -assert-max 1 t:L6MUX21
|
||||
select -assert-max 7 t:LUT4
|
||||
select -assert-max 2 t:PFUMX
|
||||
select -assert-max 8 t:LUT4
|
||||
select -assert-max 3 t:PFUMX
|
||||
|
||||
select -assert-none t:LUT4 t:L6MUX21 t:PFUMX %% t:* %D
|
||||
|
||||
|
|
|
@ -15,6 +15,6 @@ cd fsm # Constrain all select calls below inside the top module
|
|||
select -assert-count 1 t:CC_BUFG
|
||||
select -assert-count 6 t:CC_DFF
|
||||
select -assert-max 5 t:CC_LUT2
|
||||
select -assert-max 4 t:CC_LUT3
|
||||
select -assert-max 6 t:CC_LUT3
|
||||
select -assert-max 9 t:CC_LUT4
|
||||
select -assert-none t:CC_BUFG t:CC_DFF t:CC_LUT2 t:CC_LUT3 t:CC_LUT4 %% t:* %D
|
||||
|
|
|
@ -4,5 +4,5 @@ flatten
|
|||
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd top # Constrain all select calls below inside the top module
|
||||
select -assert-count 5 t:SB_LUT4
|
||||
select -assert-max 6 t:SB_LUT4
|
||||
select -assert-none t:SB_LUT4 %% t:* %D
|
||||
|
|
|
@ -69,7 +69,7 @@ proc
|
|||
equiv_opt -assert -map +/intel_alm/common/alm_sim.v synth_intel_alm -family cyclonev -noiopad -noclkbuf # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux16 # Constrain all select calls below inside the top module
|
||||
select -assert-count 1 t:MISTRAL_ALUT3
|
||||
select -assert-max 1 t:MISTRAL_ALUT3
|
||||
select -assert-max 2 t:MISTRAL_ALUT5
|
||||
select -assert-max 5 t:MISTRAL_ALUT6
|
||||
select -assert-none t:MISTRAL_ALUT3 t:MISTRAL_ALUT5 t:MISTRAL_ALUT6 %% t:* %D
|
||||
|
@ -81,8 +81,8 @@ proc
|
|||
equiv_opt -assert -map +/intel_alm/common/alm_sim.v synth_intel_alm -family cyclone10gx -noiopad -noclkbuf # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux16 # Constrain all select calls below inside the top module
|
||||
select -assert-count 1 t:MISTRAL_ALUT3
|
||||
select -assert-count 2 t:MISTRAL_ALUT5
|
||||
select -assert-count 4 t:MISTRAL_ALUT6
|
||||
select -assert-max 1 t:MISTRAL_ALUT3
|
||||
select -assert-max 2 t:MISTRAL_ALUT5
|
||||
select -assert-max 5 t:MISTRAL_ALUT6
|
||||
|
||||
select -assert-none t:MISTRAL_ALUT3 t:MISTRAL_ALUT5 t:MISTRAL_ALUT6 %% t:* %D
|
||||
|
|
|
@ -36,8 +36,7 @@ proc
|
|||
equiv_opt -assert -map +/nexus/cells_sim.v synth_nexus # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux16 # Constrain all select calls below inside the top module
|
||||
select -assert-min 11 t:LUT4
|
||||
select -assert-max 12 t:LUT4
|
||||
select -assert-count 1 t:WIDEFN9
|
||||
select -assert-max 2 t:WIDEFN9
|
||||
|
||||
select -assert-none t:IB t:OB t:VLO t:VHI t:LUT4 t:WIDEFN9 %% t:* %D
|
||||
|
|
|
@ -30,12 +30,13 @@ proc
|
|||
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx -family xc3se -noiopad # equivalency check
|
||||
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
|
||||
cd mux8 # Constrain all select calls below inside the top module
|
||||
select -assert-count 4 t:LUT1
|
||||
select -assert-count 3 t:LUT4
|
||||
select -assert-count 2 t:MUXF5
|
||||
select -assert-max 5 t:LUT1
|
||||
select -assert-max 3 t:LUT3
|
||||
select -assert-max 3 t:LUT4
|
||||
select -assert-max 3 t:MUXF5
|
||||
select -assert-count 1 t:MUXF6
|
||||
|
||||
select -assert-none t:LUT1 t:LUT4 t:MUXF5 t:MUXF6 %% t:* %D
|
||||
select -assert-none t:LUT1 t:LUT3 t:LUT4 t:MUXF5 t:MUXF6 %% t:* %D
|
||||
|
||||
|
||||
design -load read
|
||||
|
|
Loading…
Reference in New Issue