mirror of https://github.com/YosysHQ/yosys.git
simlib: Simplify recently changed $mux model
The use of a procedural continuous assignment introduced in #3526 was unintended and is completely unnecessary for the actual change of that PR.
This commit is contained in:
parent
518194fac1
commit
aa7e7df19f
|
@ -1279,11 +1279,9 @@ parameter WIDTH = 0;
|
|||
|
||||
input [WIDTH-1:0] A, B;
|
||||
input S;
|
||||
output reg [WIDTH-1:0] Y;
|
||||
output [WIDTH-1:0] Y;
|
||||
|
||||
always @* begin
|
||||
assign Y = S ? B : A;
|
||||
end
|
||||
assign Y = S ? B : A;
|
||||
|
||||
endmodule
|
||||
|
||||
|
|
Loading…
Reference in New Issue