Docs: Assign remaining word cells to groups

Move todos to correct place.
Add todo for x-prop cells.
This commit is contained in:
Krystine Sherwin 2024-08-27 10:48:28 +12:00
parent c6414e08eb
commit 78b9dbd4ea
No known key found for this signature in database
6 changed files with 35 additions and 14 deletions

View File

@ -1,6 +1,8 @@
Coarse arithmetics Coarse arithmetics
------------------ ------------------
.. todo:: Add information about `$alu`, `$fa`, and `$lcu` cells.
Multiply-accumulate Multiply-accumulate
~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~~~~

View File

@ -4,6 +4,8 @@
Binary operators Binary operators
~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~
.. todo:: Add detail on ``$*x`` cells, `$eqx`, `$nex`, `$bweqx`, `$shiftx`
All binary RTL cells have two input ports ``A`` and ``B`` and one output port All binary RTL cells have two input ports ``A`` and ``B`` and one output port
``Y``. They also have the following parameters: ``Y``. They also have the following parameters:

View File

@ -12,3 +12,11 @@ Formal verification cells
:members: :members:
:source: :source:
:linenos: :linenos:
Formal support cells
~~~~~~~~~~~~~~~~~~~~
.. autocellgroup:: formal_tag
:members:
:source:
:linenos:

View File

@ -0,0 +1,9 @@
Wire cells
-------------------------
.. todo:: Add information about `$slice` and `$concat` cells.
.. autocellgroup:: wire
:members:
:source:
:linenos:

View File

@ -27,10 +27,10 @@ Simulation models for the RTL cells can be found in the file
/cell/word_spec /cell/word_spec
/cell/word_formal /cell/word_formal
/cell/word_debug /cell/word_debug
/cell/word_wire
.. todo:: Add information about `$slice` and `$concat` cells. .. this should raise a warning, otherwise there are word-level cells without a
'group' tag
.. todo:: Add information about `$alu`, `$fa`, and `$lcu` cells.
.. autocellgroup:: word_other .. autocellgroup:: word_other
:caption: Other word-level cells :caption: Other word-level cells

View File

@ -585,7 +585,7 @@ endgenerate
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group arith
module \$fa (A, B, C, X, Y); module \$fa (A, B, C, X, Y);
parameter WIDTH = 1; parameter WIDTH = 1;
@ -1477,7 +1477,7 @@ endgenerate
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group wire
module \$slice (A, Y); module \$slice (A, Y);
parameter OFFSET = 0; parameter OFFSET = 0;
@ -1492,10 +1492,10 @@ assign Y = A >> OFFSET;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---| // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
//- //-
//- $concat (A, B, Y) //- $concat (A, B, Y)
//* group wire
//- //-
//- Concatenation of inputs into a single output ( Y = {B, A} ). //- Concatenation of inputs into a single output ( Y = {B, A} ).
//- //-
@ -1870,7 +1870,7 @@ endspecify
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group binary
module \$bweqx (A, B, Y); module \$bweqx (A, B, Y);
parameter WIDTH = 0; parameter WIDTH = 0;
@ -1888,7 +1888,7 @@ endgenerate
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group mux
module \$bwmux (A, B, S, Y); module \$bwmux (A, B, S, Y);
parameter WIDTH = 0; parameter WIDTH = 0;
@ -3004,7 +3004,7 @@ endmodule
`endif `endif
// -------------------------------------------------------- // --------------------------------------------------------
//* group formal_tag
module \$set_tag (A, SET, CLR, Y); module \$set_tag (A, SET, CLR, Y);
parameter TAG = ""; parameter TAG = "";
@ -3020,7 +3020,7 @@ assign Y = A;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group formal_tag
module \$get_tag (A, Y); module \$get_tag (A, Y);
parameter TAG = ""; parameter TAG = "";
@ -3034,7 +3034,7 @@ assign Y = A;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group formal_tag
module \$overwrite_tag (A, SET, CLR); module \$overwrite_tag (A, SET, CLR);
parameter TAG = ""; parameter TAG = "";
@ -3047,7 +3047,7 @@ input [WIDTH-1:0] CLR;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group formal_tag
module \$original_tag (A, Y); module \$original_tag (A, Y);
parameter TAG = ""; parameter TAG = "";
@ -3061,7 +3061,7 @@ assign Y = A;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group formal_tag
module \$future_ff (A, Y); module \$future_ff (A, Y);
parameter WIDTH = 0; parameter WIDTH = 0;
@ -3074,7 +3074,7 @@ assign Y = A;
endmodule endmodule
// -------------------------------------------------------- // --------------------------------------------------------
//* group debug
(* noblackbox *) (* noblackbox *)
module \$scopeinfo (); module \$scopeinfo ();