mirror of https://github.com/YosysHQ/yosys.git
10 lines
265 B
Plaintext
10 lines
265 B
Plaintext
|
read_verilog adffs.v
|
||
|
proc
|
||
|
dff2dffe
|
||
|
synth_ice40
|
||
|
select -assert-count 2 t:SB_DFFR
|
||
|
select -assert-count 1 t:SB_DFFE
|
||
|
select -assert-count 4 t:SB_LUT4
|
||
|
#select -assert-none t:SB_LUT4 t:SB_DFFR t:SB_DFFE t:$_DFFSR_NPP_ t:$_DFFSR_PPP_ %% t:* %D
|
||
|
write_verilog adffs_synth.v
|