yosys/tests/verilog/bug2037.ys

44 lines
984 B
Plaintext
Raw Normal View History

2020-05-11 11:33:11 -05:00
logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 1
logger -expect-no-warnings
read_verilog <<EOT
module test ();
localparam y = 1;
always @(*)
if (y) (* foo *) ;
endmodule
EOT
2020-05-11 12:26:08 -05:00
design -reset
logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 3 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
localparam y = 1;
always @(*)
if (y) (* foo *) ; else (* bar *) ;
endmodule
EOT
design -reset
logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 4 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
localparam y = 1;
generate if (y) (* foo *) ; endgenerate
endmodule
EOT
design -reset
logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 6 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
localparam y = 1;
generate if (y) (* foo *) ; else (* bar *); endgenerate
endmodule
EOT