From 3acbe0b1a30ed5bdd0fe457389918624bad7f2ea Mon Sep 17 00:00:00 2001 From: Tim 'mithro' Ansell Date: Wed, 1 Jul 2020 10:12:23 -0700 Subject: [PATCH] Add the standard cells as submodules. They are being added as submodules from the https://foss-eda-tools.googlesource.com/ repository due to their large size. People are encouraged to only initialize and clone the submodules needed for their designs. * [`sky130_fd_sc_hd`](https://github.com/google/skywater-pdk/tree/master/libraries/sky130_fd_sc_hd) - "High density" digital standard cells provided by the SkyWater foundry. * [`sky130_fd_sc_hdll`](https://github.com/google/skywater-pdk/tree/master/libraries/sky130_fd_sc_hdll) - "High density, low leakage" digital standard cells provided by the SkyWater foundry. * [`sky130_fd_sc_hs`](https://github.com/google/skywater-pdk/tree/master/libraries/sky130_fd_sc_hs) - "High speed" digital standard cells provided by the SkyWater foundry. * [`sky130_fd_sc_ms`](https://github.com/google/skywater-pdk/tree/master/libraries/sky130_fd_sc_ms) - "Medium speed" digital standard cells provided by the SkyWater foundry. * [`sky130_fd_sc_ls`](https://github.com/google/skywater-pdk/tree/master/libraries/sky130_fd_sc_ls) - "Low speed" digital standard cells provided by the SkyWater foundry. Signed-off-by: Tim 'mithro' Ansell --- .gitmodules | 96 ++++++++++++++ libraries/sky130_fd_sc_hd/latest | 1 + libraries/sky130_fd_sc_hd/v0.0.1 | 1 + libraries/sky130_fd_sc_hd/v0.0.2 | 1 + libraries/sky130_fd_sc_hdll/latest | 1 + libraries/sky130_fd_sc_hdll/v0.1.0 | 1 + libraries/sky130_fd_sc_hdll/v0.1.1 | 1 + libraries/sky130_fd_sc_hs/latest | 1 + libraries/sky130_fd_sc_hs/v0.0.1 | 1 + libraries/sky130_fd_sc_hs/v0.0.2 | 1 + libraries/sky130_fd_sc_ls/latest | 1 + libraries/sky130_fd_sc_ls/v0.1.0 | 1 + libraries/sky130_fd_sc_ls/v0.1.1 | 1 + libraries/sky130_fd_sc_ms/latest | 2 +- .../v0.0.0/cells/xor3/README.rst | 33 ----- .../sky130_fd_sc_ms__xor3.behavioral.pp.v | 72 ----------- .../xor3/sky130_fd_sc_ms__xor3.behavioral.v | 65 ---------- .../xor3/sky130_fd_sc_ms__xor3.blackbox.v | 57 --------- .../sky130_fd_sc_ms__xor3.functional.pp.v | 72 ----------- .../xor3/sky130_fd_sc_ms__xor3.functional.v | 59 --------- .../xor3/sky130_fd_sc_ms__xor3.pp.blackbox.v | 58 --------- .../xor3/sky130_fd_sc_ms__xor3.pp.symbol.svg | 67 ---------- .../xor3/sky130_fd_sc_ms__xor3.pp.symbol.v | 53 -------- .../xor3/sky130_fd_sc_ms__xor3.schematic.svg | 75 ----------- .../xor3/sky130_fd_sc_ms__xor3.specify.v | 32 ----- .../xor3/sky130_fd_sc_ms__xor3.symbol.svg | 47 ------- .../cells/xor3/sky130_fd_sc_ms__xor3.symbol.v | 54 -------- .../cells/xor3/sky130_fd_sc_ms__xor3.tb.v | 104 --------------- .../v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.v | 54 -------- .../cells/xor3/sky130_fd_sc_ms__xor3_1.v | 119 ------------------ .../cells/xor3/sky130_fd_sc_ms__xor3_2.v | 119 ------------------ .../cells/xor3/sky130_fd_sc_ms__xor3_4.v | 119 ------------------ libraries/sky130_fd_sc_ms/v0.0.1 | 1 + libraries/sky130_fd_sc_ms/v0.0.2 | 1 + 34 files changed, 111 insertions(+), 1260 deletions(-) create mode 100644 .gitmodules create mode 160000 libraries/sky130_fd_sc_hd/latest create mode 160000 libraries/sky130_fd_sc_hd/v0.0.1 create mode 160000 libraries/sky130_fd_sc_hd/v0.0.2 create mode 160000 libraries/sky130_fd_sc_hdll/latest create mode 160000 libraries/sky130_fd_sc_hdll/v0.1.0 create mode 160000 libraries/sky130_fd_sc_hdll/v0.1.1 create mode 160000 libraries/sky130_fd_sc_hs/latest create mode 160000 libraries/sky130_fd_sc_hs/v0.0.1 create mode 160000 libraries/sky130_fd_sc_hs/v0.0.2 create mode 160000 libraries/sky130_fd_sc_ls/latest create mode 160000 libraries/sky130_fd_sc_ls/v0.1.0 create mode 160000 libraries/sky130_fd_sc_ls/v0.1.1 mode change 120000 => 160000 libraries/sky130_fd_sc_ms/latest delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/README.rst delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.pp.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.blackbox.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.pp.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.blackbox.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.svg delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.schematic.svg delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.specify.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.svg delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.tb.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_1.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_2.v delete mode 100644 libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_4.v create mode 160000 libraries/sky130_fd_sc_ms/v0.0.1 create mode 160000 libraries/sky130_fd_sc_ms/v0.0.2 diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..cc709d8 --- /dev/null +++ b/.gitmodules @@ -0,0 +1,96 @@ +# sky130_fd_sc_hd +[submodule "libraries/sky130_fd_sc_hd/latest"] + path = libraries/sky130_fd_sc_hd/latest + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hd.git + branch = master + shallow = true +[submodule "libraries/sky130_fd_sc_hd/v0.0.2"] + path = libraries/sky130_fd_sc_hd/v0.0.2 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hd.git + branch = branch-0.0.2 + shallow = true +[submodule "libraries/sky130_fd_sc_hd/v0.0.1"] + path = libraries/sky130_fd_sc_hd/v0.0.1 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hd.git + branch = branch-0.0.1 + shallow = true + +# sky130_fd_sc_hdll +[submodule "libraries/sky130_fd_sc_hdll/latest"] + path = libraries/sky130_fd_sc_hdll/latest + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hdll.git + branch = master + shallow = true + fetchRecurseSubmodules = true +[submodule "libraries/sky130_fd_sc_hdll/v0.1.1"] + path = libraries/sky130_fd_sc_hdll/v0.1.1 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hdll.git + branch = branch-0.1.1 + shallow = true + fetchRecurseSubmodules = false +[submodule "libraries/sky130_fd_sc_hdll/v0.1.0"] + path = libraries/sky130_fd_sc_hdll/v0.1.0 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hdll.git + branch = branch-0.1.0 + shallow = true + fetchRecurseSubmodules = false + +# sky130_fd_sc_hs +[submodule "libraries/sky130_fd_sc_hs/latest"] + path = libraries/sky130_fd_sc_hs/latest + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hs.git + branch = master + shallow = true + fetchRecurseSubmodules = true +[submodule "libraries/sky130_fd_sc_hs/v0.0.2"] + path = libraries/sky130_fd_sc_hs/v0.0.2 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hs.git + branch = branch-0.0.2 + shallow = true + fetchRecurseSubmodules = false +[submodule "libraries/sky130_fd_sc_hs/v0.0.1"] + path = libraries/sky130_fd_sc_hs/v0.0.1 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_hs.git + branch = branch-0.0.1 + shallow = true + fetchRecurseSubmodules = false + +# sky130_fd_sc_ms +[submodule "libraries/sky130_fd_sc_ms/latest"] + path = libraries/sky130_fd_sc_ms/latest + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ms.git + branch = master + shallow = true + fetchRecurseSubmodules = true +[submodule "libraries/sky130_fd_sc_ms/v0.0.2"] + path = libraries/sky130_fd_sc_ms/v0.0.2 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ms.git + branch = branch-0.0.2 + shallow = true + fetchRecurseSubmodules = false +[submodule "libraries/sky130_fd_sc_ms/v0.0.1"] + path = libraries/sky130_fd_sc_ms/v0.0.1 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ms.git + branch = branch-0.0.1 + shallow = true + fetchRecurseSubmodules = false + +# sky130_fd_sc_ls +[submodule "libraries/sky130_fd_sc_ls/latest"] + path = libraries/sky130_fd_sc_ls/latest + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ls.git + branch = master + shallow = true + fetchRecurseSubmodules = true +[submodule "libraries/sky130_fd_sc_ls/v0.1.1"] + path = libraries/sky130_fd_sc_ls/v0.1.1 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ls.git + branch = branch-0.1.1 + shallow = true + fetchRecurseSubmodules = false +[submodule "libraries/sky130_fd_sc_ls/v0.1.0"] + path = libraries/sky130_fd_sc_ls/v0.1.0 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_fd_sc_ls.git + branch = branch-0.1.0 + shallow = true + fetchRecurseSubmodules = false diff --git a/libraries/sky130_fd_sc_hd/latest b/libraries/sky130_fd_sc_hd/latest new file mode 160000 index 0000000..47564a5 --- /dev/null +++ b/libraries/sky130_fd_sc_hd/latest @@ -0,0 +1 @@ +Subproject commit 47564a51d7e5cbc9810db5eeac33ef52934d1721 diff --git a/libraries/sky130_fd_sc_hd/v0.0.1 b/libraries/sky130_fd_sc_hd/v0.0.1 new file mode 160000 index 0000000..ca9a95a --- /dev/null +++ b/libraries/sky130_fd_sc_hd/v0.0.1 @@ -0,0 +1 @@ +Subproject commit ca9a95a399ceaf9d4a66410873c68c2318e475ac diff --git a/libraries/sky130_fd_sc_hd/v0.0.2 b/libraries/sky130_fd_sc_hd/v0.0.2 new file mode 160000 index 0000000..47564a5 --- /dev/null +++ b/libraries/sky130_fd_sc_hd/v0.0.2 @@ -0,0 +1 @@ +Subproject commit 47564a51d7e5cbc9810db5eeac33ef52934d1721 diff --git a/libraries/sky130_fd_sc_hdll/latest b/libraries/sky130_fd_sc_hdll/latest new file mode 160000 index 0000000..066893b --- /dev/null +++ b/libraries/sky130_fd_sc_hdll/latest @@ -0,0 +1 @@ +Subproject commit 066893b8900b18848fd94956690eb15bcb7d0593 diff --git a/libraries/sky130_fd_sc_hdll/v0.1.0 b/libraries/sky130_fd_sc_hdll/v0.1.0 new file mode 160000 index 0000000..268eea7 --- /dev/null +++ b/libraries/sky130_fd_sc_hdll/v0.1.0 @@ -0,0 +1 @@ +Subproject commit 268eea7f7faa70bd2b2b9da3909001606a930f16 diff --git a/libraries/sky130_fd_sc_hdll/v0.1.1 b/libraries/sky130_fd_sc_hdll/v0.1.1 new file mode 160000 index 0000000..066893b --- /dev/null +++ b/libraries/sky130_fd_sc_hdll/v0.1.1 @@ -0,0 +1 @@ +Subproject commit 066893b8900b18848fd94956690eb15bcb7d0593 diff --git a/libraries/sky130_fd_sc_hs/latest b/libraries/sky130_fd_sc_hs/latest new file mode 160000 index 0000000..d554433 --- /dev/null +++ b/libraries/sky130_fd_sc_hs/latest @@ -0,0 +1 @@ +Subproject commit d554433175c16c865e7963b304ae94a8ad9bbc29 diff --git a/libraries/sky130_fd_sc_hs/v0.0.1 b/libraries/sky130_fd_sc_hs/v0.0.1 new file mode 160000 index 0000000..0b3babc --- /dev/null +++ b/libraries/sky130_fd_sc_hs/v0.0.1 @@ -0,0 +1 @@ +Subproject commit 0b3babcf1a13bd28325579908e618488d311a160 diff --git a/libraries/sky130_fd_sc_hs/v0.0.2 b/libraries/sky130_fd_sc_hs/v0.0.2 new file mode 160000 index 0000000..d554433 --- /dev/null +++ b/libraries/sky130_fd_sc_hs/v0.0.2 @@ -0,0 +1 @@ +Subproject commit d554433175c16c865e7963b304ae94a8ad9bbc29 diff --git a/libraries/sky130_fd_sc_ls/latest b/libraries/sky130_fd_sc_ls/latest new file mode 160000 index 0000000..596cbb9 --- /dev/null +++ b/libraries/sky130_fd_sc_ls/latest @@ -0,0 +1 @@ +Subproject commit 596cbb9bc833d239f526b7b17d130da89dc80478 diff --git a/libraries/sky130_fd_sc_ls/v0.1.0 b/libraries/sky130_fd_sc_ls/v0.1.0 new file mode 160000 index 0000000..d718a1c --- /dev/null +++ b/libraries/sky130_fd_sc_ls/v0.1.0 @@ -0,0 +1 @@ +Subproject commit d718a1c2b5ea5c0e2d3dd5192681f2a1e7e74c3f diff --git a/libraries/sky130_fd_sc_ls/v0.1.1 b/libraries/sky130_fd_sc_ls/v0.1.1 new file mode 160000 index 0000000..596cbb9 --- /dev/null +++ b/libraries/sky130_fd_sc_ls/v0.1.1 @@ -0,0 +1 @@ +Subproject commit 596cbb9bc833d239f526b7b17d130da89dc80478 diff --git a/libraries/sky130_fd_sc_ms/latest b/libraries/sky130_fd_sc_ms/latest deleted file mode 120000 index 5eb312c..0000000 --- a/libraries/sky130_fd_sc_ms/latest +++ /dev/null @@ -1 +0,0 @@ -v0.0.0 \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/latest b/libraries/sky130_fd_sc_ms/latest new file mode 160000 index 0000000..6ae61a0 --- /dev/null +++ b/libraries/sky130_fd_sc_ms/latest @@ -0,0 +1 @@ +Subproject commit 6ae61a0781d4c3205a5b9dd732d1c21c300c0fce diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/README.rst b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/README.rst deleted file mode 100644 index bd52d32..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/README.rst +++ /dev/null @@ -1,33 +0,0 @@ - -:cell_name:`sky130_fd_sc_ms__xor3` -================================== - -.. list-table:: - :header-rows: 1 - :widths: 50 50 - - * - Without Power Pins - - With Power Pins - - * - - .. no-license:: sky130_fd_sc_ms__xor3.blackbox.v - :language: verilog - :linenos: - - - - .. no-license:: sky130_fd_sc_ms__xor3.pp.blackbox.v - :language: verilog - :linenos: - - * - - .. image:: sky130_fd_sc_ms__xor3.symbol.svg - - - .. image:: sky130_fd_sc_ms__xor3.pp.symbol.svg - - * - .. image:: sky130_fd_sc_ms__xor3.schematic.svg - - .. image:: sky130_fd_sc_ms__xor3.pp.schematic.svg - - * - .. image:: sky130_fd_sc_ms__xor3.schematic.svg - - .. image:: sky130_fd_sc_ms__xor3.pp.schematic.svg - - diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.pp.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.pp.v deleted file mode 100644 index 6b47ebb..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.pp.v +++ /dev/null @@ -1,72 +0,0 @@ -/* - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 -*/ - - -`ifndef SKY130_FD_SC_MS__XOR3_BEHAVIORAL_PP_V -`define SKY130_FD_SC_MS__XOR3_BEHAVIORAL_PP_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog simulation functional model. - */ - -`timescale 1ns / 1ps -`default_nettype none - -// Import user defined primitives. -`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ms__udp_pwrgood_pp_pg.v" - -`celldefine -module sky130_fd_sc_ms__xor3 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - // Module ports - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - - // Local signals - wire xor0_out_X ; - wire pwrgood_pp0_out_X; - - // Name Output Other arguments - xor xor0 (xor0_out_X , A, B, C ); - sky130_fd_sc_ms__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, xor0_out_X, VPWR, VGND); - buf buf0 (X , pwrgood_pp0_out_X ); - -endmodule -`endcelldefine - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_BEHAVIORAL_PP_V \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.v deleted file mode 100644 index 92d45e1..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.behavioral.v +++ /dev/null @@ -1,65 +0,0 @@ -/* - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 -*/ - - -`ifndef SKY130_FD_SC_MS__XOR3_BEHAVIORAL_V -`define SKY130_FD_SC_MS__XOR3_BEHAVIORAL_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog simulation functional model. - */ - -`timescale 1ns / 1ps -`default_nettype none - -`celldefine -module sky130_fd_sc_ms__xor3 ( - X, - A, - B, - C -); - - // Module ports - output X; - input A; - input B; - input C; - - // Module supplies - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - - // Local signals - wire xor0_out_X; - - // Name Output Other arguments - xor xor0 (xor0_out_X, A, B, C ); - buf buf0 (X , xor0_out_X ); - -endmodule -`endcelldefine - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_BEHAVIORAL_V \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.blackbox.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.blackbox.v deleted file mode 100644 index b198396..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.blackbox.v +++ /dev/null @@ -1,57 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_BLACKBOX_V -`define SKY130_FD_SC_MS__XOR3_BLACKBOX_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog stub definition (black box without power pins). - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -(* blackbox *) -module sky130_fd_sc_ms__xor3 ( - X, - A, - B, - C -); - - output X; - input A; - input B; - input C; - - // Voltage supply signals - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - -endmodule - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_BLACKBOX_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.pp.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.pp.v deleted file mode 100644 index 4a2b9ef..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.pp.v +++ /dev/null @@ -1,72 +0,0 @@ -/* - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 -*/ - - -`ifndef SKY130_FD_SC_MS__XOR3_FUNCTIONAL_PP_V -`define SKY130_FD_SC_MS__XOR3_FUNCTIONAL_PP_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog simulation functional model. - */ - -`timescale 1ns / 1ps -`default_nettype none - -// Import user defined primitives. -`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ms__udp_pwrgood_pp_pg.v" - -`celldefine -module sky130_fd_sc_ms__xor3 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - // Module ports - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - - // Local signals - wire xor0_out_X ; - wire pwrgood_pp0_out_X; - - // Name Output Other arguments - xor xor0 (xor0_out_X , A, B, C ); - sky130_fd_sc_ms__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, xor0_out_X, VPWR, VGND); - buf buf0 (X , pwrgood_pp0_out_X ); - -endmodule -`endcelldefine - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_FUNCTIONAL_PP_V \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.v deleted file mode 100644 index 0f3372a..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.functional.v +++ /dev/null @@ -1,59 +0,0 @@ -/* - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 -*/ - - -`ifndef SKY130_FD_SC_MS__XOR3_FUNCTIONAL_V -`define SKY130_FD_SC_MS__XOR3_FUNCTIONAL_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog simulation functional model. - */ - -`timescale 1ns / 1ps -`default_nettype none - -`celldefine -module sky130_fd_sc_ms__xor3 ( - X, - A, - B, - C -); - - // Module ports - output X; - input A; - input B; - input C; - - // Local signals - wire xor0_out_X; - - // Name Output Other arguments - xor xor0 (xor0_out_X, A, B, C ); - buf buf0 (X , xor0_out_X ); - -endmodule -`endcelldefine - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_FUNCTIONAL_V \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.blackbox.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.blackbox.v deleted file mode 100644 index c964bb8..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.blackbox.v +++ /dev/null @@ -1,58 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_PP_BLACKBOX_V -`define SKY130_FD_SC_MS__XOR3_PP_BLACKBOX_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog stub definition (black box with power pins). - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -(* blackbox *) -module sky130_fd_sc_ms__xor3 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; -endmodule - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_PP_BLACKBOX_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.svg b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.svg deleted file mode 100644 index c69dc71..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.svg +++ /dev/null @@ -1,67 +0,0 @@ - - - - - - - - - -Data Signals - - -A - - - -B - - - -C - - - -X - - - - -Power - - -VPB - - - -VPWR - - - -VGND - - - -VNB - - - -xor3 -sky130_fd_sc_ms - \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.v deleted file mode 100644 index 1473fef..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.pp.symbol.v +++ /dev/null @@ -1,53 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_PP_SYMBOL_V -`define SKY130_FD_SC_MS__XOR3_PP_SYMBOL_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog stub (with power pins) for graphical symbol definition - * generation. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -(* blackbox *) -module sky130_fd_sc_ms__xor3 ( - //# {{data|Data Signals}} - input A , - input B , - input C , - output X , - - //# {{power|Power}} - input VPB , - input VPWR, - input VGND, - input VNB -); -endmodule - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_PP_SYMBOL_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.schematic.svg b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.schematic.svg deleted file mode 100644 index 73ff300..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.schematic.svg +++ /dev/null @@ -1,75 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - X - - - - - - A - - - - - - B - - - - - - C - - - - - - - - - - - - - - diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.specify.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.specify.v deleted file mode 100644 index 4d2849c..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.specify.v +++ /dev/null @@ -1,32 +0,0 @@ -/* - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 -*/ - -specify -if ((!B&!C)) (A +=> X) = (0:0:0,0:0:0); -if ((!B&C)) (A -=> X) = (0:0:0,0:0:0); -if ((B&!C)) (A -=> X) = (0:0:0,0:0:0); -if ((B&C)) (A +=> X) = (0:0:0,0:0:0); -if ((!A&!C)) (B +=> X) = (0:0:0,0:0:0); -if ((!A&C)) (B -=> X) = (0:0:0,0:0:0); -if ((A&!C)) (B -=> X) = (0:0:0,0:0:0); -if ((A&C)) (B +=> X) = (0:0:0,0:0:0); -if ((!A&!B)) (C +=> X) = (0:0:0,0:0:0); -if ((!A&B)) (C -=> X) = (0:0:0,0:0:0); -if ((A&!B)) (C -=> X) = (0:0:0,0:0:0); -if ((A&B)) (C +=> X) = (0:0:0,0:0:0); -endspecify \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.svg b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.svg deleted file mode 100644 index ec8a1bd..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.svg +++ /dev/null @@ -1,47 +0,0 @@ - - - - - - - - - -Data Signals - - -A - - - -B - - - -C - - - -X - - - -xor3 -sky130_fd_sc_ms - \ No newline at end of file diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.v deleted file mode 100644 index 7abe57e..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.symbol.v +++ /dev/null @@ -1,54 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_SYMBOL_V -`define SKY130_FD_SC_MS__XOR3_SYMBOL_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog stub (without power pins) for graphical symbol definition - * generation. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -(* blackbox *) -module sky130_fd_sc_ms__xor3 ( - //# {{data|Data Signals}} - input A, - input B, - input C, - output X -); - - // Voltage supply signals - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - -endmodule - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_SYMBOL_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.tb.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.tb.v deleted file mode 100644 index 645ffed..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.tb.v +++ /dev/null @@ -1,104 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_TB_V -`define SKY130_FD_SC_MS__XOR3_TB_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Autogenerated test bench. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -`include "sky130_fd_sc_ms__xor3.v" - -module top(); - - // Inputs are registered - reg A; - reg B; - reg C; - reg VPWR; - reg VGND; - reg VPB; - reg VNB; - - // Outputs are wires - wire X; - - initial - begin - // Initial state is x for all inputs. - A = 1'bX; - B = 1'bX; - C = 1'bX; - VGND = 1'bX; - VNB = 1'bX; - VPB = 1'bX; - VPWR = 1'bX; - - #20 A = 1'b0; - #40 B = 1'b0; - #60 C = 1'b0; - #80 VGND = 1'b0; - #100 VNB = 1'b0; - #120 VPB = 1'b0; - #140 VPWR = 1'b0; - #160 A = 1'b1; - #180 B = 1'b1; - #200 C = 1'b1; - #220 VGND = 1'b1; - #240 VNB = 1'b1; - #260 VPB = 1'b1; - #280 VPWR = 1'b1; - #300 A = 1'b0; - #320 B = 1'b0; - #340 C = 1'b0; - #360 VGND = 1'b0; - #380 VNB = 1'b0; - #400 VPB = 1'b0; - #420 VPWR = 1'b0; - #440 VPWR = 1'b1; - #460 VPB = 1'b1; - #480 VNB = 1'b1; - #500 VGND = 1'b1; - #520 C = 1'b1; - #540 B = 1'b1; - #560 A = 1'b1; - #580 VPWR = 1'bx; - #600 VPB = 1'bx; - #620 VNB = 1'bx; - #640 VGND = 1'bx; - #660 C = 1'bx; - #680 B = 1'bx; - #700 A = 1'bx; - end - - sky130_fd_sc_ms__xor3 dut (.A(A), .B(B), .C(C), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X)); - -endmodule - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_TB_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.v deleted file mode 100644 index 7383dd3..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3.v +++ /dev/null @@ -1,54 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_V -`define SKY130_FD_SC_MS__XOR3_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog top module. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -`ifdef USE_POWER_PINS - -`ifdef FUNCTIONAL -`include "sky130_fd_sc_ms__xor3.pp.functional.v" -`else // FUNCTIONAL -`include "sky130_fd_sc_ms__xor3.pp.behavioral.v" -`endif // FUNCTIONAL - -`else // USE_POWER_PINS - -`ifdef FUNCTIONAL -`include "sky130_fd_sc_ms__xor3.functional.v" -`else // FUNCTIONAL -`include "sky130_fd_sc_ms__xor3.behavioral.v" -`endif // FUNCTIONAL - -`endif // USE_POWER_PINS - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_1.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_1.v deleted file mode 100644 index 92c4a80..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_1.v +++ /dev/null @@ -1,119 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_1_V -`define SKY130_FD_SC_MS__XOR3_1_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog wrapper for xor3 with size of 1 units. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -`include "sky130_fd_sc_ms__xor3.v" - -`ifdef USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_1 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C), - .VPWR(VPWR), - .VGND(VGND), - .VPB(VPB), - .VNB(VNB) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`else // If not USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_1 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - - // Voltage supply signals - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`endif // USE_POWER_PINS - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_1_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_2.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_2.v deleted file mode 100644 index 675d438..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_2.v +++ /dev/null @@ -1,119 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_2_V -`define SKY130_FD_SC_MS__XOR3_2_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog wrapper for xor3 with size of 2 units. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -`include "sky130_fd_sc_ms__xor3.v" - -`ifdef USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_2 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C), - .VPWR(VPWR), - .VGND(VGND), - .VPB(VPB), - .VNB(VNB) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`else // If not USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_2 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - - // Voltage supply signals - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`endif // USE_POWER_PINS - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_2_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_4.v b/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_4.v deleted file mode 100644 index 0e9db33..0000000 --- a/libraries/sky130_fd_sc_ms/v0.0.0/cells/xor3/sky130_fd_sc_ms__xor3_4.v +++ /dev/null @@ -1,119 +0,0 @@ -/** - * Copyright 2020 The SkyWater PDK Authors - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * https://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * SPDX-License-Identifier: Apache-2.0 - */ - -`ifndef SKY130_FD_SC_MS__XOR3_4_V -`define SKY130_FD_SC_MS__XOR3_4_V - -/** - * xor3: 3-input exclusive OR. - * - * X = A ^ B ^ C - * - * Verilog wrapper for xor3 with size of 4 units. - * - * WARNING: This file is autogenerated, do not modify directly! - */ - -`timescale 1ns / 1ps -`default_nettype none - -`include "sky130_fd_sc_ms__xor3.v" - -`ifdef USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_4 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C), - .VPWR(VPWR), - .VGND(VGND), - .VPB(VPB), - .VNB(VNB) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`else // If not USE_POWER_PINS -/*********************************************************/ - -`celldefine -module sky130_fd_sc_ms__xor3_4 ( - X , - A , - B , - C , - VPWR, - VGND, - VPB , - VNB -); - - output X ; - input A ; - input B ; - input C ; - input VPWR; - input VGND; - input VPB ; - input VNB ; - - // Voltage supply signals - supply1 VPWR; - supply0 VGND; - supply1 VPB ; - supply0 VNB ; - - sky130_fd_sc_ms__xor3 cell ( - .X(X), - .A(A), - .B(B), - .C(C) - ); - -endmodule -`endcelldefine - -/*********************************************************/ -`endif // USE_POWER_PINS - -`default_nettype wire -`endif // SKY130_FD_SC_MS__XOR3_4_V diff --git a/libraries/sky130_fd_sc_ms/v0.0.1 b/libraries/sky130_fd_sc_ms/v0.0.1 new file mode 160000 index 0000000..e1014fa --- /dev/null +++ b/libraries/sky130_fd_sc_ms/v0.0.1 @@ -0,0 +1 @@ +Subproject commit e1014fa29b6909a324421a8e0a6ed7f671b0e721 diff --git a/libraries/sky130_fd_sc_ms/v0.0.2 b/libraries/sky130_fd_sc_ms/v0.0.2 new file mode 160000 index 0000000..6ae61a0 --- /dev/null +++ b/libraries/sky130_fd_sc_ms/v0.0.2 @@ -0,0 +1 @@ +Subproject commit 6ae61a0781d4c3205a5b9dd732d1c21c300c0fce