mirror of https://github.com/efabless/caravel.git
5485 lines
873 KiB
Plaintext
5485 lines
873 KiB
Plaintext
if {\
|
|
[catch {
|
|
##PT script
|
|
# Adding SCL and IO link libraries based on the process corner specified
|
|
if {$::env(PROC_CORNER) == "t"} {
|
|
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
|
|
"
|
|
} elseif {$::env(PROC_CORNER) == "f"} {
|
|
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
|
|
"
|
|
} elseif {$::env(PROC_CORNER) == "s"} {
|
|
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \
|
|
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_lowhv_1.65v_lv_1.6v_150C.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
|
|
"
|
|
}
|
|
|
|
# Reading design netlist
|
|
set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)"
|
|
puts "list of verilog files:"
|
|
foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" {
|
|
puts $verilog
|
|
read_verilog $verilog
|
|
}
|
|
|
|
current_design $::env(DESIGN)
|
|
link
|
|
|
|
# Reading constraints (signoff)
|
|
if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} {
|
|
read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
|
|
} else {
|
|
read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
|
|
}
|
|
# -filter is supported by PT but not in the read_sdc
|
|
# add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners
|
|
# apply the constraint to hd cells at the ss corner
|
|
if { $::env(PROC_CORNER) == "s" } {
|
|
set max_tran 1.5
|
|
puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran"
|
|
puts "For HD cells in the hierarchy of $::env(DESIGN)"
|
|
set_max_transition $max_tran [get_pins -of_objects [get_cells -filter {ref_name=~sky130_fd_sc_hd*}]]
|
|
set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]]
|
|
set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]]
|
|
}
|
|
|
|
|
|
# Reading parasitics based on the RC corner specified
|
|
proc read_spefs {design rc_corner} {
|
|
source ./spef_mapping.tcl
|
|
foreach key [array names spef_mapping] {
|
|
read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key)
|
|
}
|
|
# add -complete_with wlm to let PT complete incomplete RC networks at the top-level
|
|
if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} {
|
|
read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
|
|
} else {
|
|
read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
|
|
}
|
|
report_annotated_parasitics
|
|
}
|
|
|
|
proc report_results {design rc_corner proc_corner} {
|
|
report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt
|
|
report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt
|
|
|
|
report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt
|
|
|
|
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt
|
|
|
|
report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt
|
|
|
|
if {$design == "caravel" | $design == "caravan"} {
|
|
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt
|
|
|
|
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt
|
|
|
|
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt
|
|
|
|
report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt
|
|
report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt
|
|
|
|
report_timing -unique_pins -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt
|
|
|
|
report_timing -unique_pins -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
|
|
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt
|
|
}
|
|
|
|
write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf
|
|
|
|
# Extract timing model
|
|
set extract_model_clock_transition_limit 0.75
|
|
set extract_model_data_transition_limit 0.75
|
|
set_app_var extract_model_capacitance_limit 1.0
|
|
set extract_model_num_capacitance_points 7
|
|
set extract_model_num_clock_transition_points 7
|
|
set extract_model_num_data_transition_points 7
|
|
set extract_model_use_conservative_current_slew true
|
|
set extract_model_enable_report_delay_calculation true
|
|
set extract_model_with_clock_latency_arcs true
|
|
extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {lib}
|
|
}
|
|
# set timing_report_unconstrained_paths TRUE
|
|
set parasitics_log_file $::env(OUT_DIR)/logs/$::env(DESIGN)-$::env(RC_CORNER)-parasitics.log
|
|
set si_enable_analysis TRUE
|
|
# set si_enable_analysis FALSE
|
|
set sh_message_limit 1500
|
|
read_spefs $::env(DESIGN) $::env(RC_CORNER)
|
|
update_timing
|
|
report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER)
|
|
|
|
exit
|
|
} err]
|
|
} {
|
|
puts stderr $err
|
|
exit 1
|
|
}
|
|
list of verilog files:
|
|
/home/passant/caravel_final/verilog/gl/user_id_programming.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_control_block.v
|
|
/home/passant/caravel_final/verilog/gl/mprj_logic_high.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v
|
|
/home/passant/caravel_final/verilog/gl/housekeeping.v
|
|
/home/passant/caravel_final/verilog/gl/caravel_clocking.v
|
|
/home/passant/caravel_final/verilog/gl/chip_io_alt.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v
|
|
/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v
|
|
/home/passant/caravel_final/verilog/gl/caravel.v
|
|
/home/passant/caravel_final/verilog/gl/caravan-signoff.v
|
|
/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v
|
|
/home/passant/caravel_final/verilog/gl/caravan.v
|
|
/home/passant/caravel_final/verilog/gl/caravel-signoff.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v
|
|
/home/passant/caravel_final/verilog/gl/spare_logic_block.v
|
|
/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v
|
|
/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v
|
|
/home/passant/caravel_final/verilog/gl/gpio_logic_high.v
|
|
/home/passant/caravel_final/verilog/gl/constant_block.v
|
|
/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v
|
|
/home/passant/caravel_final/verilog/gl/mgmt_protect.v
|
|
/home/passant/caravel_final/verilog/gl/xres_buf.v
|
|
/home/passant/caravel_final/verilog/gl/chip_io.v
|
|
/home/passant/caravel_final/verilog/gl/digital_pll.v
|
|
/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v
|
|
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v
|
|
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v
|
|
/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v
|
|
/home/passant/caravel_user_project/verilog/gl/user_proj_example.v
|
|
/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v
|
|
Information: current_design won't return any data before link (DES-071)
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' ...
|
|
Warning: Line 6, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
|
|
Warning: Line 6, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
|
|
No default can be applied to this attribute. (LBDB-366)
|
|
Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004604, 0.004604) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004810, 0.004810) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004366, 0.004366) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007948, 0.007948) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008095, 0.008095) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008676, 0.008676) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008760, 0.008760) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004042, 0.004042) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003997, 0.003997) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004407, 0.004407) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003993, 0.003993) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009171, 0.009171) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008480, 0.008480) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009292, 0.009292) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008823, 0.008823) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001552, 0.001552) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001250, 0.001250) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001195, 0.001195) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004419, 0.004419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004799, 0.004799) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008039, 0.008039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009264, 0.009264) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008550, 0.008550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008561, 0.008561) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008558, 0.008558) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008752, 0.008752) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004280, 0.004280) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004016, 0.004016) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003921, 0.003921) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003998, 0.003998) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004439, 0.004439) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004858, 0.004858) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004391, 0.004391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008429, 0.008429) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009232, 0.009232) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008515, 0.008515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008458, 0.008458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009094, 0.009094) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008717, 0.008717) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007670, 0.007670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002106, 0.002106) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004827, 0.004827) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004457, 0.004457) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004389, 0.004389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003906, 0.003906) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008320, 0.008320) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007826, 0.007826) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008689, 0.008689) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007949, 0.007949) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007774, 0.007774) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008773, 0.008773) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007778, 0.007778) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001257, 0.001257) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004920, 0.004920) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004554, 0.004554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004797, 0.004797) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004450, 0.004450) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004526, 0.004526) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004080, 0.004080) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004312, 0.004312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008831, 0.008831) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008146, 0.008146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008511, 0.008511) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008500, 0.008500) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007986, 0.007986) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004055, 0.004055) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004031, 0.004031) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003988, 0.003988) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004447, 0.004447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007924, 0.007924) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008664, 0.008664) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008557, 0.008557) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007680, 0.007680) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008765, 0.008765) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007690, 0.007690) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004059, 0.004059) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004944, 0.004944) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008433, 0.008433) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008010, 0.008010) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007897, 0.007897) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008713, 0.008713) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004090, 0.004090) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004349, 0.004349) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004506, 0.004506) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004135, 0.004135) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004272, 0.004272) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003928, 0.003928) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008329, 0.008329) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007936, 0.007936) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008306, 0.008306) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007745, 0.007745) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008402, 0.008402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008771, 0.008771) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007742, 0.007742) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004005, 0.004005) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004443, 0.004443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004169, 0.004169) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004009, 0.004009) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004123, 0.004123) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008377, 0.008377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007919, 0.007919) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008352, 0.008352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007874, 0.007874) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001379, 0.001379) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001384, 0.001384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001378, 0.001378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001498, 0.001498) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001429, 0.001429) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001493, 0.001493) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001468, 0.001468) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001460, 0.001460) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001424, 0.001424) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001420, 0.001420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001464, 0.001464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002040, 0.002040) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008992, 0.008992) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013361, 0.013361) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012231, 0.012231) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006854, 0.006854) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006307, 0.006307) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006807, 0.006807) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006262, 0.006262) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002035, 0.002035) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001919, 0.001919) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007578, 0.007578) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006758, 0.006758) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002014, 0.002014) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002057, 0.002057) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002052, 0.002052) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002003, 0.002003) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002810, 0.002810) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039236, 0.039236) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.034593, 0.034593) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005301, 0.005301) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010420, 0.010420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020650, 0.020650) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018288, 0.018288) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004499, 0.004499) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003914, 0.003914) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008666, 0.008666) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007504, 0.007504) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 48147, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301)
|
|
Warning: Line 48167, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 48176, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301)
|
|
Information: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301)
|
|
Information: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301)
|
|
Information: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301)
|
|
Information: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301)
|
|
Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003274, 0.003274) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003059, 0.003059) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48452, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001507, 0.001507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001422, 0.001422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48523, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48581, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003185, 0.003185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49228, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49299, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49357, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003031, 0.003031) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50004, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50075, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50133, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001500, 0.001500) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003181, 0.003181) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003166, 0.003166) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50732, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50763, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003183, 0.003183) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51214, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51245, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001829, 0.001829) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51696, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51727, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003150, 0.003150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52051, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52082, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003169, 0.003169) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52406, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52437, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003187, 0.003187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003159, 0.003159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52761, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52792, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53090, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002118, 0.002118) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003060, 0.003060) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53465, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53572, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003089, 0.003089) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002933, 0.002933) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 53947, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54054, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54302, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54409, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002981, 0.002981) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54657, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54764, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003012, 0.003012) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002975, 0.002975) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55012, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55102, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55422, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55742, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 55979, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56216, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001441, 0.001441) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001020, 0.001020) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000970, 0.000970) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56485, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003872, 0.003872) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56588, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56721, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003853, 0.003853) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56824, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 56957, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004623, 0.004623) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57060, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57246, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57337, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57924, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58015, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58602, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001678, 0.001678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 58693, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59280, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001596, 0.001596) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59371, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59958, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60049, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60405, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60496, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60852, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 60943, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61299, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61390, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61746, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 61837, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62193, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001659, 0.001659) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62284, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 62657, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63113, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63569, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64025, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64324, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64623, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001663, 0.001663) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 64922, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053357' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053280' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.532146' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001759, 0.001759) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003206, 0.003206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002869, 0.002869) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.066298' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.756429' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003731, 0.003731) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.550320' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.534800' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007326, 0.007326) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006335, 0.006335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.110567' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.575594' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.550158' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.195659' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011551, 0.011551) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009770, 0.009770) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67263, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003109, 0.003109) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67592, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003038, 0.003038) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067206' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.384578' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383869' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383209' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603891' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603569' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.601450' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003081, 0.003081) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072782' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.069015' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.475774' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.472299' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.462907' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.408319' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.595829' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.594581' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.591913' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003640, 0.003640) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.048371' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.381203' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008356, 0.008356) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007117, 0.007117) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006111, 0.006111) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423699' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.623284' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.621385' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.620221' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597358' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016865, 0.016865) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015503, 0.015503) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011194, 0.011194) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009428, 0.009428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.446301' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.690382' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017862' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.508987' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.657669' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.653171' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.650483' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003462, 0.003462) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019849' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019799' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.768272' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008525, 0.008525) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005531, 0.005531) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005902, 0.005902) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.018540' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.123548' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.457073' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.454167' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.433003' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429673' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429469' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.630061' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.602579' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016772, 0.016772) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015476, 0.015476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008164, 0.008164) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009075, 0.009075) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.430031' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428935' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428588' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.427589' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006433, 0.006433) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006192, 0.006192) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005830, 0.005830) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005597, 0.005597) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004353, 0.004353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007553, 0.007553) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007161, 0.007161) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006893, 0.006893) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006524, 0.006524) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004979, 0.004979) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004865, 0.004865) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007566, 0.007566) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007172, 0.007172) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006927, 0.006927) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006552, 0.006552) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005006, 0.005006) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006760, 0.006760) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006438, 0.006438) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006136, 0.006136) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004742, 0.004742) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007973, 0.007973) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002868, 0.002868) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002678, 0.002678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003207, 0.003207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003153, 0.003153) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003147, 0.003147) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009380, 0.009380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008748, 0.008748) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007950, 0.007950) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.025860, 0.025860) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.023989, 0.023989) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.033453, 0.033453) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031064, 0.031064) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004413, 0.004413) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008899, 0.008899) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013140, 0.013140) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012210, 0.012210) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017473, 0.017473) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016230, 0.016230) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002034, 0.002034) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007572, 0.007572) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006777, 0.006777) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002004, 0.002004) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003587, 0.003587) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003058, 0.003058) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002752, 0.002752) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039514, 0.039514) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035028, 0.035028) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005315, 0.005315) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004722, 0.004722) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010442, 0.010442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009267, 0.009267) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020716, 0.020716) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018410, 0.018410) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301)
|
|
Information: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301)
|
|
Information: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301)
|
|
Information: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301)
|
|
Information: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301)
|
|
Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79460, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008847, 0.008847) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.033355, 0.033355) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.030009, 0.030009) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004322, 0.004322) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017115, 0.017115) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015411, 0.015411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
|
|
Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin
|
|
have same power rail value but different rail name. (LBDB-747)
|
|
Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008792, 0.008792) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008139, 0.008139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005621, 0.005621) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005706, 0.005706) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 81857, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301)
|
|
Warning: Line 81877, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002602, 0.002602) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002822, 0.002822) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003189, 0.003189) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002990, 0.002990) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003026, 0.003026) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002742, 0.002742) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003851, 0.003851) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004692, 0.004692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003082, 0.003082) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004917, 0.004917) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005267, 0.005267) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.007885, 0.007885) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007356, 0.007356) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002113, 0.002113) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004616, 0.004616) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003915, 0.003915) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006427, 0.006427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.005981, 0.005981) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008314, 0.008314) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007587, 0.007587) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008472, 0.008472) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011118, 0.011118) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010415, 0.010415) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001390, 0.001390) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.003799, 0.003799) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003539, 0.003539) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005359, 0.005359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004969, 0.004969) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002950, 0.002950) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001634, 0.001634) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005370, 0.005370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004971, 0.004971) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003100, 0.003100) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008467, 0.008467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008009, 0.008009) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008842, 0.008842) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008111, 0.008111) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016845, 0.016845) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015925, 0.015925) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017293, 0.017293) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015826, 0.015826) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001309, 0.001309) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001241, 0.001241) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001213, 0.001213) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001163, 0.001163) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008884, 0.008884) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004297, 0.004297) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008588, 0.008588) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008055, 0.008055) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008089, 0.008089) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001221, 0.001221) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004516, 0.004516) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008508, 0.008508) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007958, 0.007958) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008394, 0.008394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008021, 0.008021) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008554, 0.008554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004184, 0.004184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004160, 0.004160) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008509, 0.008509) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008806, 0.008806) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001367, 0.001367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004442, 0.004442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002355, 0.002355) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008384, 0.008384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007858, 0.007858) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008548, 0.008548) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007857, 0.007857) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008712, 0.008712) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008790, 0.008790) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017014, 0.017014) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015723, 0.015723) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017164, 0.017164) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015427, 0.015427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008686, 0.008686) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008022, 0.008022) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009177, 0.009177) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008608, 0.008608) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007673, 0.007673) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001145, 0.001145) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008774, 0.008774) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007763, 0.007763) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004424, 0.004424) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003894, 0.003894) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007992, 0.007992) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008761, 0.008761) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007664, 0.007664) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008818, 0.008818) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007727, 0.007727) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001298, 0.001298) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007814, 0.007814) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008654, 0.008654) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001350, 0.001350) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001242, 0.001242) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001285, 0.001285) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001225, 0.001225) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008665, 0.008665) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008011, 0.008011) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007868, 0.007868) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004397, 0.004397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004125, 0.004125) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004731, 0.004731) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004354, 0.004354) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002121, 0.002121) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003968, 0.003968) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004308, 0.004308) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008738, 0.008738) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008037, 0.008037) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007901, 0.007901) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008321, 0.008321) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008006, 0.008006) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004894, 0.004894) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004537, 0.004537) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004966, 0.004966) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004054, 0.004054) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004381, 0.004381) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008385, 0.008385) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007772, 0.007772) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008430, 0.008430) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007978, 0.007978) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004869, 0.004869) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004852, 0.004852) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004350, 0.004350) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004108, 0.004108) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009185, 0.009185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008464, 0.008464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008144, 0.008144) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001268, 0.001268) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001215, 0.001215) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001238, 0.001238) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004402, 0.004402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001200, 0.001200) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008900, 0.008900) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008208, 0.008208) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003948, 0.003948) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004758, 0.004758) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004477, 0.004477) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004368, 0.004368) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004300, 0.004300) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004416, 0.004416) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004886, 0.004886) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009191, 0.009191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008452, 0.008452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007748, 0.007748) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008979, 0.008979) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008344, 0.008344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007574, 0.007574) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007994, 0.007994) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002466, 0.002466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004837, 0.004837) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004853, 0.004853) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004290, 0.004290) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004382, 0.004382) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004013, 0.004013) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008443, 0.008443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008709, 0.008709) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007759, 0.007759) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001311, 0.001311) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001339, 0.001339) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004845, 0.004845) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002172, 0.002172) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004866, 0.004866) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004823, 0.004823) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003970, 0.003970) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008776, 0.008776) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008060, 0.008060) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008724, 0.008724) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008268, 0.008268) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008018, 0.008018) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007753, 0.007753) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002412, 0.002412) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004461, 0.004461) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004008, 0.004008) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002129, 0.002129) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002306, 0.002306) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004138, 0.004138) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004481, 0.004481) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004207, 0.004207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008685, 0.008685) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008005, 0.008005) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007775, 0.007775) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008886, 0.008886) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007827, 0.007827) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008415, 0.008415) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004438, 0.004438) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004012, 0.004012) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008688, 0.008688) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008672, 0.008672) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007786, 0.007786) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008999, 0.008999) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008073, 0.008073) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004067, 0.004067) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003930, 0.003930) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004478, 0.004478) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003989, 0.003989) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004018, 0.004018) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008076, 0.008076) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007630, 0.007630) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007767, 0.007767) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008455, 0.008455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007982, 0.007982) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002102, 0.002102) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003868, 0.003868) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003877, 0.003877) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004224, 0.004224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003882, 0.003882) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003858, 0.003858) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003824, 0.003824) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008698, 0.008698) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008029, 0.008029) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007765, 0.007765) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008652, 0.008652) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007710, 0.007710) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008606, 0.008606) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008229, 0.008229) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001325, 0.001325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001233, 0.001233) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002114, 0.002114) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001385, 0.001385) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001260, 0.001260) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001210, 0.001210) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001410, 0.001410) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001341, 0.001341) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001252, 0.001252) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001192, 0.001192) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002090, 0.002090) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001269, 0.001269) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001327, 0.001327) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001275, 0.001275) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001334, 0.001334) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001322, 0.001322) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001503, 0.001503) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001258, 0.001258) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001256, 0.001256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006894, 0.006894) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006344, 0.006344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006912, 0.006912) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006357, 0.006357) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003188, 0.003188) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003119, 0.003119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148513, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148584, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148642, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003178, 0.003178) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003023, 0.003023) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149689, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149760, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149818, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003114, 0.003114) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150865, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150936, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 150994, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003134, 0.003134) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151925, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151956, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003135, 0.003135) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152742, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152773, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001834, 0.001834) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003263, 0.003263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153549, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153580, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003144, 0.003144) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003117, 0.003117) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154229, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154260, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003803, 0.003803) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003136, 0.003136) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154909, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154940, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155589, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155620, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156219, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003629, 0.003629) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156717, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157002, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003776, 0.003776) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002968, 0.002968) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003029, 0.003029) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157500, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157780, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001812, 0.001812) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003691, 0.003691) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002931, 0.002931) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158151, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158431, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 158802, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159082, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002927, 0.002927) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159453, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159669, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003545, 0.003545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160238, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003548, 0.003548) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003405, 0.003405) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160802, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161283, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161764, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003463, 0.003463) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003323, 0.003323) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162132, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162235, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162455, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003070, 0.003070) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162558, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162778, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162881, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163516, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003411, 0.003411) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002955, 0.002955) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164273, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003107, 0.003107) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003057, 0.003057) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164942, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003331, 0.003331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165611, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003289, 0.003289) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002958, 0.002958) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166280, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003033, 0.003033) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016878, 0.016878) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015722, 0.015722) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016016, 0.016016) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015480, 0.015480) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005377, 0.005377) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005190, 0.005190) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003434, 0.003434) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003270, 0.003270) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005188, 0.005188) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003271, 0.003271) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004378, 0.004378) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009035, 0.009035) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008283, 0.008283) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007804, 0.007804) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017537, 0.017537) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016337, 0.016337) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015428, 0.015428) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014483, 0.014483) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003441, 0.003441) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003276, 0.003276) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005186, 0.005186) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003443, 0.003443) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003277, 0.003277) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005371, 0.005371) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003422, 0.003422) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10)
|
|
Warning: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10)
|
|
Warning: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10)
|
|
Warning: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10)
|
|
Warning: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10)
|
|
Warning: Line 56383, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10)
|
|
Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265)
|
|
Warning: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265)
|
|
Warning: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265)
|
|
Warning: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Warning: Line 66995, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 67407, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 76773, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10)
|
|
Warning: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10)
|
|
Warning: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10)
|
|
Warning: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10)
|
|
Warning: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10)
|
|
Warning: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10)
|
|
Warning: Line 148072, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 149248, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 150424, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
|
|
Warning: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265)
|
|
Warning: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265)
|
|
Warning: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Warning: Line 163023, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 163780, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 164537, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 165206, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Warning: Line 165875, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute
|
|
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
|
|
Technology library 'sky130_fd_sc_hd__ss_1.40v_100C' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' ...
|
|
Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
|
|
Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
|
|
No default can be applied to this attribute. (LBDB-366)
|
|
Warning: Line 4489, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 4698, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 4884, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 5106, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 5315, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 5524, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 5555, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301)
|
|
Warning: Line 5576, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 5584, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
|
|
Information: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301)
|
|
Information: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301)
|
|
Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007147, 0.007147) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007012, 0.007012) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5746, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007294, 0.007294) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007250, 0.007250) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6349, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004241, 0.004241) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7229, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003783, 0.003783) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7669, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054)
|
|
Information: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', No internal_power information for the 'sky130_fd_sc_hvl__diode_2' cell. (LBDB-301)
|
|
Warning: Line 8451, Cell 'sky130_fd_sc_hvl__diode_2', pin 'DIODE', The pin 'DIODE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 8534, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005259, 0.005259) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005005, 0.005005) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8886, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 9865, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.144430' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.273670' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.411600' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10234, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.008700' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.247330' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.390070' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.541400' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 10690, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 10876, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 11062, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 11248, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 11434, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 22486, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007700, 0.007700) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22748, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004629, 0.004629) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007177, 0.007177) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007025, 0.007025) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23667, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004766, 0.004766) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001970, 0.001970) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002021, 0.002021) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003873, 0.003873) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 24856, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004052, 0.004052) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25780, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
|
|
when the delay table refers to a template which uses the
|
|
output loading of the related_output_pin in one of its dimension. (LBDB-396)
|
|
Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002045, 0.002045) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003889, 0.003889) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002137, 0.002137) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003910, 0.003910) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27160, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005256, 0.005256) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004957, 0.004957) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002654, 0.002654) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004449, 0.004449) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010960, 0.010960) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010209, 0.010209) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010983, 0.010983) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010232, 0.010232) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007420, 0.007420) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007457, 0.007457) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002881, 0.002881) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005336, 0.005336) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004820, 0.004820) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10)
|
|
Warning: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10)
|
|
Warning: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10)
|
|
Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265)
|
|
Warning: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
|
|
Warning: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized
|
|
during functional optimization by Design Compiler. (LIBG-205)
|
|
Information: Line 27504, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip
|
|
sequential cell timing consistency check. (LIBG-275)
|
|
Technology library 'sky130_fd_sc_hvl__ss_3.00v_100C' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ss_3.00v_lowhv_1.65v_lv_1.6v_150C.lib' ...
|
|
Warning: Line 27, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
|
|
Warning: Line 27, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
|
|
No default can be applied to this attribute. (LBDB-366)
|
|
Warning: Line 441, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 652, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.150800' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.258570' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.087670' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.545230' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.362130' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.235330' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.025030' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.850200' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.656100' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.524100' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 824, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.308600' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 885, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 1095, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 1283, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1283, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001884, 0.001884) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1283, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 1465, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1465, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1465, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.165146' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.140747' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.088341' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.349316' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.324429' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.271480' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.162652' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.540956' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.516066' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.462730' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.351724' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1687, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.105948' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 1736, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002810, 0.002810) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1736, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1758, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020452, 0.020452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1758, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020545, 0.020545) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2032, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005135, 0.005135) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2032, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004845, 0.004845) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2054, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002782, 0.002782) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2054, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002664, 0.002664) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2326, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001766, 0.001766) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2326, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2349, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 2349, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054)
|
|
Technology library 'sky130_fd_sc_hvl__ss_3.00v_lowhv_1.65v_lv_1.6v_150C' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' ...
|
|
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
|
|
No default can be applied to this attribute. (LBDB-366)
|
|
Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611)
|
|
Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018530, 0.018530) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017760, 0.017760) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025026, 0.025026) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024734, 0.024734) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032815, 0.032815) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031560, 0.031560) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017514, 0.017514) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.029344, 0.029344) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028709, 0.028709) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009877, 0.009877) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009906, 0.009906) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212)
|
|
Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and
|
|
'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75)
|
|
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
|
|
that cannot be found in function attribute (PAD). (LIBG-41)
|
|
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.990185, 0.990185) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.991811, 0.991811) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.097971, 0.097971) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.128263, 0.128263) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.084276, 0.084276) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.165904, 0.165904) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.681037, 1.681037) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.280628, 2.280628) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050068, 0.050068) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050067, 0.050067) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045975, 0.045975) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045964, 0.045964) will be assigned to the attribute. (LBDB-1054)
|
|
Technology library 'sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' ...
|
|
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
|
|
No default can be applied to this attribute. (LBDB-366)
|
|
Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006406, 0.006406) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006101, 0.006101) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006303, 0.006303) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.005993, 0.005993) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028238, 0.028238) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028330, 0.028330) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.032997, 0.032997) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032246, 0.032246) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052331, 0.052331) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051232, 0.051232) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016839, 0.016839) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016640, 0.016640) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037125, 0.037125) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.035950, 0.035950) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012274, 0.012274) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.011943, 0.011943) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006697, 0.006697) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006389, 0.006389) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017754, 0.017754) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017546, 0.017546) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005264, 0.005264) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005643, 0.005643) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.024920, 0.024920) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.023840, 0.023840) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006603, 0.006603) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006311, 0.006311) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018759, 0.018759) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017072, 0.017072) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033160, 0.033160) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033120, 0.033120) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.006838, 0.006838) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006553, 0.006553) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.013998, 0.013998) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013853, 0.013853) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 1858, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.153863' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.139519' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.110147' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.048725' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.927259' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.674201' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.142458' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.553693' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.534817' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.509755' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.437821' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.316123' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.063051' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-13.542736' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.610325' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.586590' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.544838' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.496433' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.395439' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.121498' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.585594' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.241135' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154083' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154068' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.065567' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.014389' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.709442' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.172791' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2255, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.106228' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.093183' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.038794' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.927276' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.675565' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.090203' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.545608' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.495994' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.479626' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.439888' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.313647' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.076580' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-13.480126' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.933656' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553211' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553207' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.495136' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.363235' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.140987' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.536327' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.993378' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115570' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115555' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.054886' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.941315' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.723587' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.124252' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-163.561646' value,
|
|
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
|
|
Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
|
|
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
|
|
Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212)
|
|
Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212)
|
|
Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and
|
|
'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75)
|
|
Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
|
|
that cannot be found in three_state attribute (OE_N). (LIBG-41)
|
|
Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
|
|
that cannot be found in function attribute (OUT). (LIBG-41)
|
|
Warning: Line 4831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.155824, 0.155824) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.154452, 0.154452) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.148497, 0.148497) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.147747, 0.147747) will be assigned to the attribute. (LBDB-1054)
|
|
Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' ...
|
|
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w)
|
|
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' ...
|
|
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w)
|
|
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
|
|
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The pin 'VDDIO_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The 'VDDIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 168, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 176, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Beginning read_lib...
|
|
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
|
|
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ...
|
|
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
|
|
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
|
|
1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721)
|
|
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined
|
|
in 'operating_conditions'. (LBDB-750)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The pin 'VSSIO_PAD' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The 'VSSIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
|
|
Warning: Line 168, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
|
|
Warning: Line 176, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
|
|
Technology library 'sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully
|
|
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v'
|
|
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v'
|
|
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v'
|
|
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib'
|
|
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/housekeeping.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan-signoff.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel_clocking.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/digital_pll.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io_alt.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj_logic_high.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'
|
|
Error: Expected '(' but found '['
|
|
at line 1986 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'. (SVR-4)
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_control_block.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'
|
|
Error: Expected '(' but found '['
|
|
at line 1046 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'. (SVR-4)
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/spare_logic_block.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/user_id_programming.v'
|
|
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'
|
|
Error: Expected ',' or ')' but found 'U'
|
|
at line 29 in '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4)
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'
|
|
Error: Expected '(' but found '#'
|
|
at line 32 in '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'. (SVR-4)
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_logic_high.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/xres_buf.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/constant_block.v'
|
|
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v'
|
|
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v'
|
|
Loading db file '/tmp/_pt1rEhoEHV/1.db'
|
|
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib'
|
|
Loading db file '/tmp/_pt1rVOBvWz/1.db'
|
|
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ss_3.00v_lowhv_1.65v_lv_1.6v_150C.lib'
|
|
Loading db file '/tmp/_pt1rESZyOt/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib'
|
|
Loading db file '/tmp/_pt1rrXZA4s/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib'
|
|
Loading db file '/tmp/_pt1rwMHnzw/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rhhFYCE/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rgV0rYQ/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rp0dTf7/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib'
|
|
Loading db file '/tmp/_pt1rIOOasr/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rLuUYRP/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib'
|
|
Loading db file '/tmp/_pt1rEn7tli/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rzCxTLO/1.db'
|
|
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib'
|
|
Loading db file '/tmp/_pt1rubzG7o/1.db'
|
|
Warning: Ignoring caravan in verilog file /home/passant/caravel_final/verilog/gl/caravan.v, as another module with same name is read already. (LNK-067)
|
|
Warning: Ignoring caravel in verilog file /home/passant/caravel_final/verilog/gl/caravel-signoff.v, as another module with same name is read already. (LNK-067)
|
|
Linking design caravan...
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'gpio_signal_buffering_alt' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
|
|
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
|
|
Information: Creating black box for sigbuf/gpio_signal_buffering_alt... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043)
|
|
Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043)
|
|
Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
|
|
Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043)
|
|
Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043)
|
|
Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043)
|
|
Information: Creating black box for por/simple_por... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_125_670/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_120_445/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_89_651/sky130_ef_sc_hd__decap_12... (LNK-043)
|
|
Information: Creating black box for housekeeping/FILLER_125_673/sky130_fd_sc_hd__fill_2... (LNK-043)
|
|
Removed 242613 unconnected cells and blackboxes.
|
|
Information: Removing 29 unneeded designs..... (LNK-034)
|
|
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'caravan' in file '/home/passant/caravel_final/verilog/gl/caravan.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'gpio_defaults_block' in file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'chip_io' in file '/home/passant/caravel_final/verilog/gl/chip_io.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039)
|
|
Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v' is not used in the current design. (LNK-039)
|
|
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
|
|
Design 'caravan' was successfully linked.
|
|
Information: There are 140369 leaf cells, ports, hiers and 69161 nets in the design (LNK-047)
|
|
|
|
Reading SDC version 2.1...
|
|
Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130)
|
|
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137)
|
|
Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130)
|
|
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137)
|
|
Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130)
|
|
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137)
|
|
[INFO]: Setting output delay to: 4
|
|
[INFO]: Setting input delay to: 4
|
|
[INFO]: Cap load range: 5 : 10
|
|
[INFO]: Input transition range: 1 : 4
|
|
[INFO]: Setting derate factor to: 3.75 %
|
|
1
|
|
[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5
|
|
For HD cells in the hierarchy of caravan
|
|
Warning: No cell objects matched '*' (SEL-004)
|
|
Error: Nothing matched for cells (SEL-005)
|
|
Error: Nothing matched for -of_objects collection (SEL-005)
|
|
Error: Nothing matched for object_list (SEL-005)
|
|
[INFO]: Spef mapping done
|
|
Information: Checked out license 'PrimeTime-SI' (PT-019)
|
|
Information: Log for 'read_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-nom-parasitics.log'. (PARA-107)
|
|
Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'mprj/mprj' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004)
|
|
Error: Nothing matched for collection (SEL-005)
|
|
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
|
|
Information: Log for 'report_annotated_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-nom-parasitics.log'. (PARA-107)
|
|
Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015)
|
|
Warning: Default maximum operating conditions per library resulted in cells having different temperature, e.g., gpio_defaults_block_10/gpio_default_value[12] has 100 but mgmt_buffers/powergood_check/mprj_logic_high_lv has 150. (DEL-008)
|
|
Warning: Default minimum operating conditions per library resulted in cells having different temperature, e.g., gpio_defaults_block_10/gpio_default_value[12] has 100 but mgmt_buffers/powergood_check/mprj_logic_high_lv has 150. (DEL-008)
|
|
Information: Building multi voltage information for entire design. (MV-022)
|
|
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
|
|
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042)
|
|
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042)
|
|
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042)
|
|
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
|
|
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
|
|
Information: Inferring 1 clock-gating checks. (PTE-017)
|
|
Information: Starting crosstalk aware timing iteration 1. (XTALK-001)
|
|
Information: Starting crosstalk aware timing iteration 2. (XTALK-001)
|
|
Information: Number of nets evaluated in the previous iteration: 59162. (XTALK-105)
|
|
****************************************
|
|
Report : write_sdf /home/passant/caravel_final/signoff/caravan/primetime-signoff/sdf/nom/caravan.ss.sdf
|
|
Design : caravan
|
|
Version: T-2022.03-SP3
|
|
Date : Fri Nov 11 05:31:02 2022
|
|
****************************************
|
|
|
|
Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X
|
|
(MEXT-20)
|
|
Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X
|
|
(MEXT-20)
|
|
Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X
|
|
(MEXT-20)
|
|
Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80)
|
|
Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105)
|
|
Information: Elapsed time for model extraction: 4 seconds (MEXT-096)
|
|
Wrote the LIB file /home/passant/caravel_final/signoff/caravan/primetime-signoff/lib/nom/caravan.ss.lib
|
|
Information: Elapsed time for lib file writing: 0 seconds (MEXT-096)
|
|
Information: Defining new variable 'verilog'. (CMD-041)
|
|
Information: Defining new variable 'max_tran'. (CMD-041)
|
|
Suppressed Messages Summary:
|
|
Id Severity Occurrences Suppressed
|
|
-------------------------------------------------------------------------------
|
|
DB-1 Error 14 14
|
|
PARA-040 Warning 489914 484928
|
|
LNK-043 Information 181292 181192
|
|
SVR-2 Information 4 4
|
|
Total 4 types of messages are suppressed
|
|
Maximum memory usage for this session: 3078.91 MB
|
|
CPU usage for this session: 252 seconds
|
|
Elapsed time for this session: 84 seconds
|
|
Diagnostics summary: 72 errors, 146 warnings, 126 informationals
|
|
|
|
Thank you for using pt_shell!
|
|
STA run Failed!
|
|
Reading parasitics failed. check log: /home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-nom-parasitics.log |