caravel/signoff/caravan/primetime-signoff/logs/caravan-min-t-sta.log

5732 lines
899 KiB
Plaintext

if {\
[catch {
##PT script
# Adding SCL and IO link libraries based on the process corner specified
if {$::env(PROC_CORNER) == "t"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
"
} elseif {$::env(PROC_CORNER) == "f"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
"
} elseif {$::env(PROC_CORNER) == "s"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_lowhv_1.65v_lv_1.6v_150C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
"
}
# Reading design netlist
set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)"
puts "list of verilog files:"
foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" {
puts $verilog
read_verilog $verilog
}
current_design $::env(DESIGN)
link
# Reading constraints (signoff)
if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} {
read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
} else {
read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
}
# -filter is supported by PT but not in the read_sdc
# add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners
# apply the constraint to hd cells at the ss corner
if { $::env(PROC_CORNER) == "s" } {
set max_tran 1.5
puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran"
puts "For HD cells in the hierarchy of $::env(DESIGN)"
set_max_transition $max_tran [get_pins -of_objects [get_cells -filter {ref_name=~sky130_fd_sc_hd*}]]
set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]]
set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]]
}
# Reading parasitics based on the RC corner specified
proc read_spefs {design rc_corner} {
source ./spef_mapping.tcl
foreach key [array names spef_mapping] {
read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key)
}
# add -complete_with wlm to let PT complete incomplete RC networks at the top-level
if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} {
read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
} else {
read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
}
report_annotated_parasitics
}
proc report_results {design rc_corner proc_corner} {
report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt
report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt
report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt
report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt
if {$design == "caravel" | $design == "caravan"} {
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt
report_timing -unique_pins -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt
report_timing -unique_pins -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt
report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt
report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt
report_timing -unique_pins -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt
report_timing -unique_pins -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt
}
write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf
# Extract timing model
set extract_model_clock_transition_limit 0.75
set extract_model_data_transition_limit 0.75
set_app_var extract_model_capacitance_limit 1.0
set extract_model_num_capacitance_points 7
set extract_model_num_clock_transition_points 7
set extract_model_num_data_transition_points 7
set extract_model_use_conservative_current_slew true
set extract_model_enable_report_delay_calculation true
set extract_model_with_clock_latency_arcs true
extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {lib}
}
# set timing_report_unconstrained_paths TRUE
set parasitics_log_file $::env(OUT_DIR)/logs/$::env(DESIGN)-$::env(RC_CORNER)-parasitics.log
set si_enable_analysis TRUE
# set si_enable_analysis FALSE
set sh_message_limit 1500
read_spefs $::env(DESIGN) $::env(RC_CORNER)
update_timing
report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER)
exit
} err]
} {
puts stderr $err
exit 1
}
list of verilog files:
/home/passant/caravel_final/verilog/gl/user_id_programming.v
/home/passant/caravel_final/verilog/gl/gpio_control_block.v
/home/passant/caravel_final/verilog/gl/mprj_logic_high.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v
/home/passant/caravel_final/verilog/gl/housekeeping.v
/home/passant/caravel_final/verilog/gl/caravel_clocking.v
/home/passant/caravel_final/verilog/gl/chip_io_alt.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v
/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v
/home/passant/caravel_final/verilog/gl/caravel.v
/home/passant/caravel_final/verilog/gl/caravan-signoff.v
/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v
/home/passant/caravel_final/verilog/gl/caravan.v
/home/passant/caravel_final/verilog/gl/caravel-signoff.v
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v
/home/passant/caravel_final/verilog/gl/spare_logic_block.v
/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v
/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v
/home/passant/caravel_final/verilog/gl/gpio_logic_high.v
/home/passant/caravel_final/verilog/gl/constant_block.v
/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v
/home/passant/caravel_final/verilog/gl/mgmt_protect.v
/home/passant/caravel_final/verilog/gl/xres_buf.v
/home/passant/caravel_final/verilog/gl/chip_io.v
/home/passant/caravel_final/verilog/gl/digital_pll.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v
/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v
/home/passant/caravel_user_project/verilog/gl/user_proj_example.v
/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v
Information: current_design won't return any data before link (DES-071)
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' ...
Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002558, 0.002558) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002636, 0.002636) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004041, 0.004041) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004700, 0.004700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002456, 0.002456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015981' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008111' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004981, 0.004981) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004693, 0.004693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005098, 0.005098) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004768, 0.004768) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.031164' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024277' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.008511' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008747, 0.008747) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009158, 0.009158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009027, 0.009027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007879, 0.007879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009050, 0.009050) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007722, 0.007722) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007686, 0.007686) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005009, 0.005009) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004771, 0.004771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.022793' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.009354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004504, 0.004504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004635, 0.004635) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003990, 0.003990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.050150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.041715' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.022543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008120, 0.008120) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008669, 0.008669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009735, 0.009735) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008492, 0.008492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009248, 0.009248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007905, 0.007905) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001290, 0.001290) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001653, 0.001653) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008232, 0.008232) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009711, 0.009711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008759, 0.008759) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004584, 0.004584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004216, 0.004216) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004558, 0.004558) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.045583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.030379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005052, 0.005052) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.058600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.048449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.025076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008917, 0.008917) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009710, 0.009710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008767, 0.008767) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007966, 0.007966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002084, 0.002084) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002067, 0.002067) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004660, 0.004660) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004096, 0.004096) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003942, 0.003942) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003945, 0.003945) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.013339' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.001996' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004479, 0.004479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005000, 0.005000) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004532, 0.004532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005071, 0.005071) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.031301' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.023484' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.004918' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008779, 0.008779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008063, 0.008063) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009626, 0.009626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008769, 0.008769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007834, 0.007834) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009413, 0.009413) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009150, 0.009150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007685, 0.007685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005032, 0.005032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005223, 0.005223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004403, 0.004403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004585, 0.004585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.014818' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003589' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009081, 0.009081) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008153, 0.008153) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008795, 0.008795) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007882, 0.007882) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009204, 0.009204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001795, 0.001795) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005141, 0.005141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004658, 0.004658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004539, 0.004539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002647, 0.002647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004857, 0.004857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009229, 0.009229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008295, 0.008295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009424, 0.009424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008086, 0.008086) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009633, 0.009633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008728, 0.008728) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004489, 0.004489) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003929, 0.003929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003883, 0.003883) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004015, 0.004015) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003961, 0.003961) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.038276' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030533' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.012158' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008647, 0.008647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008215, 0.008215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008134, 0.008134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009046, 0.009046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008163, 0.008163) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009218, 0.009218) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002458, 0.002458) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004454, 0.004454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004941, 0.004941) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004543, 0.004543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004814, 0.004814) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000618' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.025260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.010609' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004519, 0.004519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.055740' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.045831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.022251' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008186, 0.008186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008725, 0.008725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008109, 0.008109) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009082, 0.009082) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009156, 0.009156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007865, 0.007865) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002656, 0.002656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004441, 0.004441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004739, 0.004739) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003949, 0.003949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.001095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004730, 0.004730) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003983, 0.003983) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.013524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.002596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008539, 0.008539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008536, 0.008536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007916, 0.007916) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008732, 0.008732) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004328, 0.004328) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004082, 0.004082) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004565, 0.004565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004228, 0.004228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004164, 0.004164) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004896, 0.004896) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.018457' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.001893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004250, 0.004250) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004201, 0.004201) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004821, 0.004821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.047026' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.037099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.013469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008512, 0.008512) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008127, 0.008127) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008126, 0.008126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008640, 0.008640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007928, 0.007928) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008986, 0.008986) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009175, 0.009175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007784, 0.007784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001403, 0.001403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001614, 0.001614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001511, 0.001511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001490, 0.001490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001508, 0.001508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001605, 0.001605) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001807, 0.001807) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004380, 0.004380) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007156, 0.007156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006413, 0.006413) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007894, 0.007894) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006900, 0.006900) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002055, 0.002055) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002048, 0.002048) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003251, 0.003251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002903, 0.002903) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040395, 0.040395) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035097, 0.035097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005516, 0.005516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010900, 0.010900) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009536, 0.009536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021581, 0.021581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018840, 0.018840) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004881, 0.004881) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009398, 0.009398) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007975, 0.007975) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301)
Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301)
Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301)
Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301)
Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301)
Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301)
Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003556, 0.003556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003378, 0.003378) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001866, 0.001866) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003349, 0.003349) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003619, 0.003619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003495, 0.003495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003609, 0.003609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003493, 0.003493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001871, 0.001871) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003612, 0.003612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003638, 0.003638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003484, 0.003484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003424, 0.003424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003437, 0.003437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003420, 0.003420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003305, 0.003305) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003419, 0.003419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003300, 0.003300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000900, 0.000900) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000857, 0.000857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001756, 0.001756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004680, 0.004680) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002598, 0.002598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001840, 0.001840) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001822, 0.001822) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006422' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006326' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003338, 0.003338) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.023197' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.103743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001893, 0.001893) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003755, 0.003755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.016831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.014335' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.005712' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.094351' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.088057' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070548' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007476, 0.007476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006259, 0.006259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011596, 0.011596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009482, 0.009482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083387' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003229, 0.003229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002778, 0.002778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088618' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004314, 0.004314) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.014655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008655, 0.008655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007284, 0.007284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006081, 0.006081) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.048815' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.034621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.000559' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.012612' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.010011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006450' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.005340' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.081167' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.076063' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017495, 0.017495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015744, 0.015744) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011257, 0.011257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009174, 0.009174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.055534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.045856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.020443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.001195' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017261' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.038353' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.015109' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005896, 0.005896) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006151, 0.006151) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.043313' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.028277' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.064556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.014094' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017385, 0.017385) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015686, 0.015686) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009420, 0.009420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.051780' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.041999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.015286' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.070858' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.061342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.035868' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006896, 0.006896) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006561, 0.006561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006181, 0.006181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005872, 0.005872) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007445, 0.007445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007176, 0.007176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006732, 0.006732) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005159, 0.005159) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005020, 0.005020) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007951, 0.007951) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007450, 0.007450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007199, 0.007199) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006756, 0.006756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005033, 0.005033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004923, 0.004923) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006956, 0.006956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006450, 0.006450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006689, 0.006689) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006176, 0.006176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004960, 0.004960) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008812, 0.008812) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008072, 0.008072) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004940, 0.004940) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004472, 0.004472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002832, 0.002832) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002844, 0.002844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003604, 0.003604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003355, 0.003355) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003282, 0.003282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003272, 0.003272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009705, 0.009705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008914, 0.008914) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008024, 0.008024) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.005217' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.000923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.081672' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.075048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.053420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027227, 0.027227) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024796, 0.024796) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.003564' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.080721' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.075057' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.056606' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.000914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.034999, 0.034999) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031884, 0.031884) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.027560' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005393' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.053742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.039839' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.003689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009408, 0.009408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.002576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.071734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.060923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.030405' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013888, 0.013888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012656, 0.012656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.002589' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.072666' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.063999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.037824' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018467, 0.018467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006955, 0.006955) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002842, 0.002842) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040723, 0.040723) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035810, 0.035810) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005526, 0.005526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004849, 0.004849) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010924, 0.010924) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009576, 0.009576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021645, 0.021645) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019033, 0.019033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301)
Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301)
Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301)
Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301)
Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301)
Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.066967' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.062734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.049776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.013577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009203, 0.009203) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034647, 0.034647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029999, 0.029999) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.071276' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.064590' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.046385' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017977, 0.017977) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015617, 0.015617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin
have same power rail value but different rail name. (LBDB-747)
Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008286, 0.008286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006037, 0.006037) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006058, 0.006058) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005975, 0.005975) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301)
Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002659, 0.002659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002959, 0.002959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002819, 0.002819) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003863, 0.003863) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003573, 0.003573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004404, 0.004404) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003870, 0.003870) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001805, 0.001805) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003285, 0.003285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003078, 0.003078) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002130, 0.002130) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005372, 0.005372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004915, 0.004915) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005045, 0.005045) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004490, 0.004490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005398, 0.005398) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004803, 0.004803) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007498, 0.007498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004829, 0.004829) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004098, 0.004098) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003937, 0.003937) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006716, 0.006716) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006107, 0.006107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008585, 0.008585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007616, 0.007616) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007809, 0.007809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011598, 0.011598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010652, 0.010652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004089, 0.004089) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002744, 0.002744) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005766, 0.005766) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003279, 0.003279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005774, 0.005774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005150, 0.005150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003283, 0.003283) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.002888' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004304, 0.004304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.025064' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.011335' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.007832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008796, 0.008796) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008279, 0.008279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.028073' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.018930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.015941' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.008018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017428, 0.017428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016439, 0.016439) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018096, 0.018096) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016314, 0.016314) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001317, 0.001317) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004346, 0.004346) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.007935' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009311, 0.009311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004281, 0.004281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008285, 0.008285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009225, 0.009225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008326, 0.008326) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001504, 0.001504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004665, 0.004665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004212, 0.004212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008778, 0.008778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008167, 0.008167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004274, 0.004274) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008696, 0.008696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008878, 0.008878) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008188, 0.008188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009202, 0.009202) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004292, 0.004292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004251, 0.004251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008736, 0.008736) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008273, 0.008273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008983, 0.008983) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008281, 0.008281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008277, 0.008277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001608, 0.001608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004284, 0.004284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004696, 0.004696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008258, 0.008258) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009201, 0.009201) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008284, 0.008284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037415' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.022069' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004611, 0.004611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.059367' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.023511' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009289, 0.009289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008085, 0.008085) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.071037' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.064388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.045444' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017855, 0.017855) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016099, 0.016099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018032, 0.018032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015685, 0.015685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000385' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004675, 0.004675) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.050968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.042379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021937' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009128, 0.009128) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008219, 0.008219) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009629, 0.009629) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009008, 0.009008) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001236, 0.001236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009197, 0.009197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007832, 0.007832) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009724' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004006, 0.004006) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004668, 0.004668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003926, 0.003926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.032950' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026249' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.010231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008152, 0.008152) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009170, 0.009170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007697, 0.007697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009298, 0.009298) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007788, 0.007788) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004681, 0.004681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001381, 0.001381) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009069, 0.009069) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009032, 0.009032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007725, 0.007725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001340, 0.001340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001314, 0.001314) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009102, 0.009102) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002581, 0.002581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002543, 0.002543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005034, 0.005034) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004528, 0.004528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004023, 0.004023) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004288, 0.004288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009109, 0.009109) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009053, 0.009053) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007812, 0.007812) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008815, 0.008815) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008488, 0.008488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008235, 0.008235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005106, 0.005106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005156, 0.005156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004687, 0.004687) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004448, 0.004448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009525, 0.009525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008587, 0.008587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009423, 0.009423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008708, 0.008708) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005077, 0.005077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004749, 0.004749) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004689, 0.004689) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001818, 0.001818) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001604, 0.001604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002018, 0.002018) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.003033' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005070, 0.005070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004720, 0.004720) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.018260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.007831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009611, 0.009611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008678, 0.008678) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008993, 0.008993) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004617, 0.004617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004595, 0.004595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004715, 0.004715) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.009966' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008437, 0.008437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007904, 0.007904) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004922, 0.004922) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005074, 0.005074) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009584, 0.009584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008631, 0.008631) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009093, 0.009093) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009239, 0.009239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008592, 0.008592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008576, 0.008576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005035, 0.005035) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004555, 0.004555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005024, 0.005024) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004574, 0.004574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008635, 0.008635) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009097, 0.009097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007898, 0.007898) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009252, 0.009252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008607, 0.008607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007887, 0.007887) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001358, 0.001358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001529, 0.001529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001432, 0.001432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005110, 0.005110) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005064, 0.005064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002593, 0.002593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.002321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005125, 0.005125) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004645, 0.004645) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007212' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008519, 0.008519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009079, 0.009079) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007889, 0.007889) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004208, 0.004208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004825, 0.004825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001981, 0.001981) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008200, 0.008200) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007845, 0.007845) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009251, 0.009251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007842, 0.007842) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008746, 0.008746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008282, 0.008282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005116, 0.005116) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004587, 0.004587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003918, 0.003918) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004734, 0.004734) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002672, 0.002672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002665, 0.002665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004662, 0.004662) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003960, 0.003960) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004540, 0.004540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000155' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008155, 0.008155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009033, 0.009033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009400, 0.009400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008789, 0.008789) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008335, 0.008335) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003936, 0.003936) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004029, 0.004029) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009062, 0.009062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008256, 0.008256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008802, 0.008802) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007701, 0.007701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009123, 0.009123) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007811, 0.007811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008092, 0.008092) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004248, 0.004248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003875, 0.003875) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003856, 0.003856) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004305, 0.004305) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004634, 0.004634) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009071, 0.009071) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008980, 0.008980) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007846, 0.007846) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007711, 0.007711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008824, 0.008824) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008476, 0.008476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001288, 0.001288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001446, 0.001446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001267, 0.001267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001436, 0.001436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001261, 0.001261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002625, 0.002625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001400, 0.001400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001347, 0.001347) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007235, 0.007235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006481, 0.006481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007255, 0.007255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003521, 0.003521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003347, 0.003347) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002755, 0.002755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003510, 0.003510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003339, 0.003339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001846, 0.001846) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001835, 0.001835) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001682, 0.001682) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002747, 0.002747) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003541, 0.003541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003482, 0.003482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003475, 0.003475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003514, 0.003514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004053, 0.004053) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003315, 0.003315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003528, 0.003528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003530, 0.003530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003533, 0.003533) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003516, 0.003516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002037, 0.002037) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001862, 0.001862) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003388, 0.003388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003371, 0.003371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002068, 0.002068) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003265, 0.003265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003264, 0.003264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003379, 0.003379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003261, 0.003261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003555, 0.003555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001914, 0.001914) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003192, 0.003192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001905, 0.001905) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003793, 0.003793) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003496, 0.003496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001750, 0.001750) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003800, 0.003800) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001910, 0.001910) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004486, 0.004486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001923, 0.001923) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001843, 0.001843) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003176, 0.003176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002982, 0.002982) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003173, 0.003173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009040, 0.009040) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008318, 0.008318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008077, 0.008077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017467, 0.017467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016065, 0.016065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016264, 0.016264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015593, 0.015593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003361, 0.003361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003597, 0.003597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005438, 0.005438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005122, 0.005122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009376, 0.009376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008584, 0.008584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008493, 0.008493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007837, 0.007837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018110, 0.018110) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016609, 0.016609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015755, 0.015755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014412, 0.014412) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003600, 0.003600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003370, 0.003370) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005123, 0.005123) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005432, 0.005432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005117, 0.005117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008751, 0.008751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.006150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.001544' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014308, 0.014308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012970, 0.012970) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007337, 0.007337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006676, 0.006676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006057, 0.006057) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005982, 0.005982) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10)
Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10)
Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10)
Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10)
Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10)
Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10)
Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265)
Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265)
Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265)
Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10)
Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10)
Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10)
Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10)
Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10)
Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10)
Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Technology library 'sky130_fd_sc_hd__tt_1.80v_25C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' ...
Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.039730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.079170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.120100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.142970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.198900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.041630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.138500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.025970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.038830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.136000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.030470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.073200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5204, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5401, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5575, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5784, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5981, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 6178, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 6187, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301)
Warning: Line 6207, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
Warning: Line 6215, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Information: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301)
Information: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301)
Warning: Line 6670, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.006170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.033700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.057400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.326430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.482470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.645700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.002000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7071, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.003770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.030600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.074660' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.182900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.528130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.118230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.062630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.001330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.721770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.253570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.190370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.120670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.043870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.921900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.399100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.328900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.251500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.166300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.072600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7551, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.051830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.035130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.027630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.018830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.008630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.116370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.083770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.070770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.062570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.053070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.156500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.127300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.121800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.115400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.107900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.099100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.063400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7725, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.003430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.022470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.042300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7899, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.034430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.092100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8073, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.063630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.003930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.098770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.043770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.034070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.009770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.134700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.053800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.039900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.023900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8247, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.076330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.124570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.174400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.134700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.004200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.131730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.203370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.277100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.036030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.083970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.141300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20712, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.030830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.082270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21423, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.148900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.058870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.154300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.038100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.001500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.199100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.082900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.046000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.023500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.022230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.071870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.124100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22900, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 22908, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 23393, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 23401, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007535, 0.007535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007584, 0.007584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23776, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007693, 0.007693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24379, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25259, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002578, 0.002578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25699, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26529, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002083, 0.002083) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26881, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002675, 0.002675) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008241, 0.008241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28463, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005167, 0.005167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007660, 0.007660) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007683, 0.007683) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29378, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004861, 0.004861) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002081, 0.002081) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003835, 0.003835) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004467, 0.004467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30762, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003805, 0.003805) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31515, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32888, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005286, 0.005286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002774, 0.002774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002698, 0.002698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011656, 0.011656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010777, 0.010777) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011678, 0.011678) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010801, 0.010801) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007679, 0.007679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007674, 0.007674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002967, 0.002967) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002708, 0.002708) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005448, 0.005448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004932, 0.004932) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10)
Warning: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10)
Warning: Line 6446, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10)
Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265)
Warning: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 33232, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Technology library 'sky130_fd_sc_hvl__tt_3.3v_25C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' ...
Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002638, 0.002638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003098, 0.003098) will be assigned to the attribute. (LBDB-1054)
Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002878, 0.002878) will be assigned to the attribute. (LBDB-1054)
Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054)
Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001995, 0.001995) will be assigned to the attribute. (LBDB-1054)
Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.225209' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.201525' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.146980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.026721' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.391704' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.365939' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.307410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.184832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.566240' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.539411' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.479823' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.352481' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.052758' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.784736' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.755736' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.691661' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.562689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.261020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.934375' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.904735' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.839428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.708181' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.404529' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.318747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.286158' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.216248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.078129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.773060' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.718476' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.683188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.607975' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.463622' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.156201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.341636' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054)
Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054)
Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.049593' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.033549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.387148' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.370600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.332328' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.243748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.017454' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.572442' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.555684' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.516856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.427263' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.201147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.762012' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.744896' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.705123' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.614912' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.388999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002758, 0.002758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020209, 0.020209) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020523, 0.020523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002860, 0.002860) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002719, 0.002719) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.086021' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.058984' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.001293' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.244441' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.216012' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.155736' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.037036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.412861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.383811' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.320781' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.200201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054)
Technology library 'sky130_fd_sc_hvl__tt_3.3v_lowhv_3.3v_lv_1.8v_25C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' ...
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611)
Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018729, 0.018729) will be assigned to the attribute. (LBDB-1054)
Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017917, 0.017917) will be assigned to the attribute. (LBDB-1054)
Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.024898, 0.024898) will be assigned to the attribute. (LBDB-1054)
Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024519, 0.024519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032961, 0.032961) will be assigned to the attribute. (LBDB-1054)
Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031456, 0.031456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017493, 0.017493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017367, 0.017367) will be assigned to the attribute. (LBDB-1054)
Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028834, 0.028834) will be assigned to the attribute. (LBDB-1054)
Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028032, 0.028032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009441, 0.009441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009463, 0.009463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212)
Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and
'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75)
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.930286, 0.930286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.934543, 0.934543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.109474, 0.109474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.136220, 0.136220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.091868, 0.091868) will be assigned to the attribute. (LBDB-1054)
Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.171782, 0.171782) will be assigned to the attribute. (LBDB-1054)
Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.781897, 1.781897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.416589, 2.416589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050119, 0.050119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050121, 0.050121) will be assigned to the attribute. (LBDB-1054)
Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045921, 0.045921) will be assigned to the attribute. (LBDB-1054)
Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045944, 0.045944) will be assigned to the attribute. (LBDB-1054)
Technology library 'sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' ...
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006624, 0.006624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006255, 0.006255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054)
Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006515, 0.006515) will be assigned to the attribute. (LBDB-1054)
Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006147, 0.006147) will be assigned to the attribute. (LBDB-1054)
Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607)
Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607)
Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607)
Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028245, 0.028245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028236, 0.028236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033150, 0.033150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032418, 0.032418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052525, 0.052525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051413, 0.051413) will be assigned to the attribute. (LBDB-1054)
Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054)
Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016681, 0.016681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037418, 0.037418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036167, 0.036167) will be assigned to the attribute. (LBDB-1054)
Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012603, 0.012603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012006, 0.012006) will be assigned to the attribute. (LBDB-1054)
Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006908, 0.006908) will be assigned to the attribute. (LBDB-1054)
Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006543, 0.006543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017763, 0.017763) will be assigned to the attribute. (LBDB-1054)
Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017592, 0.017592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005502, 0.005502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005179, 0.005179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005650, 0.005650) will be assigned to the attribute. (LBDB-1054)
Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005053, 0.005053) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025205, 0.025205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024019, 0.024019) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607)
Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006821, 0.006821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006458, 0.006458) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019112, 0.019112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033093, 0.033093) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032927, 0.032927) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007059, 0.007059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014241, 0.014241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013990, 0.013990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.042757' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.032270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.012360' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.439434' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.429079' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.404242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.355781' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.245215' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.043051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.030795' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.010942' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.959405' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.849240' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.598452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.029980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131545' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.101233' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.048019' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.948344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.686680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.128700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.333830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.249725' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.155670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.889290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.351507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778522' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778519' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.749252' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.644386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.354912' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-50.822262' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.218994' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.208313' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.185562' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.149628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.992172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.805222' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.237244' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.374786' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.361370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.325778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.236634' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.020012' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.978591' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.965514' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.929597' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.840463' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.627314' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.060942' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.066889' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.064111' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.017964' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.940091' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.722996' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.160610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.541795' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.219913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.131351' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.948118' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.368265' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-15.748919' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.775383' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.759960' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.701820' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.635288' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.408398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-50.855423' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-49.236000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.155136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.016846' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.835297' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.205658' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-182.585785' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212)
Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212)
Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and
'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75)
Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 4702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.149251, 0.149251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.147827, 0.147827) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4733, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.142339, 0.142339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.140989, 0.140989) will be assigned to the attribute. (LBDB-1054)
Technology library 'sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' ...
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' ...
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The pin 'VDDIO_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The 'VDDIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The pin 'VSSIO_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The 'VSSIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v'
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib'
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/housekeeping.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan-signoff.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel_clocking.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/digital_pll.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io_alt.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'
Error: Expected '(' but found '['
at line 1986 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_control_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'
Error: Expected '(' but found '['
at line 1046 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/spare_logic_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/user_id_programming.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'
Error: Expected ',' or ')' but found 'U'
at line 29 in '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'
Error: Expected '(' but found '#'
at line 32 in '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/xres_buf.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/constant_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v'
Loading db file '/tmp/_pt1rD3kNIK/1.db'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib'
Loading db file '/tmp/_pt1rTUxmlT/1.db'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib'
Loading db file '/tmp/_pt1rpHT2Ah/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib'
Loading db file '/tmp/_pt1r7QNADL/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib'
Loading db file '/tmp/_pt1rx2dDKj/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1rfHZmgW/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1rveLmTC/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1r7iFHsn/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib'
Loading db file '/tmp/_pt1rPwGkVb/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1rff7Fh4/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib'
Loading db file '/tmp/_pt1rNqUTw0/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1rX3t6F0/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib'
Loading db file '/tmp/_pt1rHPrQJ4/1.db'
Warning: Ignoring caravan in verilog file /home/passant/caravel_final/verilog/gl/caravan.v, as another module with same name is read already. (LNK-067)
Warning: Ignoring caravel in verilog file /home/passant/caravel_final/verilog/gl/caravel-signoff.v, as another module with same name is read already. (LNK-067)
Linking design caravan...
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'gpio_signal_buffering_alt' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Information: Creating black box for sigbuf/gpio_signal_buffering_alt... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for por/simple_por... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_125_670/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_120_445/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_89_651/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_125_673/sky130_fd_sc_hd__fill_2... (LNK-043)
Removed 242613 unconnected cells and blackboxes.
Information: Removing 29 unneeded designs..... (LNK-034)
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel.v' is not used in the current design. (LNK-039)
Information: Module 'caravan' in file '/home/passant/caravel_final/verilog/gl/caravan.v' is not used in the current design. (LNK-039)
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v' is not used in the current design. (LNK-039)
Information: Module 'gpio_defaults_block' in file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039)
Information: Module 'chip_io' in file '/home/passant/caravel_final/verilog/gl/chip_io.v' is not used in the current design. (LNK-039)
Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039)
Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v' is not used in the current design. (LNK-039)
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
Design 'caravan' was successfully linked.
Information: There are 140369 leaf cells, ports, hiers and 69161 nets in the design (LNK-047)
Reading SDC version 2.1...
Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137)
Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137)
Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137)
[INFO]: Setting output delay to: 4
[INFO]: Setting input delay to: 4
[INFO]: Cap load range: 5 : 10
[INFO]: Input transition range: 1 : 4
[INFO]: Setting derate factor to: 3.75 %
1
[INFO]: Spef mapping done
Information: Checked out license 'PrimeTime-SI' (PT-019)
Information: Log for 'read_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log'. (PARA-107)
Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'mprj/mprj' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Information: Log for 'report_annotated_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log'. (PARA-107)
Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015)
Information: Building multi voltage information for entire design. (MV-022)
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
Information: Inferring 1 clock-gating checks. (PTE-017)
Information: Starting crosstalk aware timing iteration 1. (XTALK-001)
Information: Starting crosstalk aware timing iteration 2. (XTALK-001)
Information: Number of nets evaluated in the previous iteration: 59195. (XTALK-105)
****************************************
Report : write_sdf /home/passant/caravel_final/signoff/caravan/primetime-signoff/sdf/min/caravan.tt.sdf
Design : caravan
Version: T-2022.03-SP3
Date : Fri Nov 11 05:29:36 2022
****************************************
Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X
(MEXT-20)
Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X
(MEXT-20)
Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X
(MEXT-20)
Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80)
Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105)
Information: Elapsed time for model extraction: 4 seconds (MEXT-096)
Wrote the LIB file /home/passant/caravel_final/signoff/caravan/primetime-signoff/lib/min/caravan.tt.lib
Information: Elapsed time for lib file writing: 0 seconds (MEXT-096)
Information: Defining new variable 'verilog'. (CMD-041)
Suppressed Messages Summary:
Id Severity Occurrences Suppressed
-------------------------------------------------------------------------------
DB-1 Error 14 14
PARA-040 Warning 482374 477388
LNK-043 Information 181292 181192
SVR-2 Information 4 4
Total 4 types of messages are suppressed
Maximum memory usage for this session: 3044.23 MB
CPU usage for this session: 242 seconds
Elapsed time for this session: 81 seconds
Diagnostics summary: 69 errors, 143 warnings, 125 informationals
Thank you for using pt_shell!
STA run Failed!
Reading parasitics failed. check log: /home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log