caravel/signoff/caravan/primetime-signoff/logs/caravan-min-f-sta.log

16062 lines
1.9 MiB

if {\
[catch {
##PT script
# Adding SCL and IO link libraries based on the process corner specified
if {$::env(PROC_CORNER) == "t"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \
"
} elseif {$::env(PROC_CORNER) == "f"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \
"
} elseif {$::env(PROC_CORNER) == "s"} {
set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \
$::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_lowhv_1.65v_lv_1.6v_150C.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
$::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \
"
}
# Reading design netlist
set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)"
puts "list of verilog files:"
foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" {
puts $verilog
read_verilog $verilog
}
current_design $::env(DESIGN)
link
# Reading constraints (signoff)
if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} {
read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
} else {
read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc
}
# -filter is supported by PT but not in the read_sdc
# add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners
# apply the constraint to hd cells at the ss corner
if { $::env(PROC_CORNER) == "s" } {
set max_tran 1.5
puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran"
puts "For HD cells in the hierarchy of $::env(DESIGN)"
set_max_transition $max_tran [get_pins -of_objects [get_cells -filter {ref_name=~sky130_fd_sc_hd*}]]
set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]]
set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]]
}
# Reading parasitics based on the RC corner specified
proc read_spefs {design rc_corner} {
source ./spef_mapping.tcl
foreach key [array names spef_mapping] {
read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key)
}
# add -complete_with wlm to let PT complete incomplete RC networks at the top-level
if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} {
read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
} else {
read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -complete_with wlm -pin_cap_included
}
report_annotated_parasitics
}
proc report_results {design rc_corner proc_corner} {
report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt
report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt
report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt
report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt
if {$design == "caravel" | $design == "caravan"} {
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt
report_timing -unique_pins -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt
report_timing -unique_pins -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \
-max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt
report_timing -unique_pins -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt
report_timing -unique_pins -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt
report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt
report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt
report_timing -unique_pins -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt
report_timing -unique_pins -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \
-max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt
}
write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf
# Extract timing model
set extract_model_clock_transition_limit 0.75
set extract_model_data_transition_limit 0.75
set_app_var extract_model_capacitance_limit 1.0
set extract_model_num_capacitance_points 7
set extract_model_num_clock_transition_points 7
set extract_model_num_data_transition_points 7
set extract_model_use_conservative_current_slew true
set extract_model_enable_report_delay_calculation true
set extract_model_with_clock_latency_arcs true
extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {lib}
}
# set timing_report_unconstrained_paths TRUE
set parasitics_log_file $::env(OUT_DIR)/logs/$::env(DESIGN)-$::env(RC_CORNER)-parasitics.log
set si_enable_analysis TRUE
# set si_enable_analysis FALSE
set sh_message_limit 1500
read_spefs $::env(DESIGN) $::env(RC_CORNER)
update_timing
report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER)
exit
} err]
} {
puts stderr $err
exit 1
}
list of verilog files:
/home/passant/caravel_final/verilog/gl/user_id_programming.v
/home/passant/caravel_final/verilog/gl/gpio_control_block.v
/home/passant/caravel_final/verilog/gl/mprj_logic_high.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v
/home/passant/caravel_final/verilog/gl/housekeeping.v
/home/passant/caravel_final/verilog/gl/caravel_clocking.v
/home/passant/caravel_final/verilog/gl/chip_io_alt.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v
/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v
/home/passant/caravel_final/verilog/gl/caravel.v
/home/passant/caravel_final/verilog/gl/caravan-signoff.v
/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v
/home/passant/caravel_final/verilog/gl/caravan.v
/home/passant/caravel_final/verilog/gl/caravel-signoff.v
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v
/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v
/home/passant/caravel_final/verilog/gl/spare_logic_block.v
/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v
/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v
/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v
/home/passant/caravel_final/verilog/gl/gpio_logic_high.v
/home/passant/caravel_final/verilog/gl/constant_block.v
/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v
/home/passant/caravel_final/verilog/gl/mgmt_protect.v
/home/passant/caravel_final/verilog/gl/xres_buf.v
/home/passant/caravel_final/verilog/gl/chip_io.v
/home/passant/caravel_final/verilog/gl/digital_pll.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v
/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v
/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v
/home/passant/caravel_user_project/verilog/gl/user_proj_example.v
/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v
Information: current_design won't return any data before link (DES-071)
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' ...
Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 417, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.000179' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.060159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.051175' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.031716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.084222' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.075121' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.055444' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.017249' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.108668' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.099050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.078264' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.038411' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054)
Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054)
Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.033804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.027071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.010279' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.056008' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.049277' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.032268' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.079028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.072095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.054573' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.017229' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002674, 0.002674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.016729' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.030709' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.026041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.043980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.039199' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.025349' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004204, 0.004204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004819, 0.004819) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003959, 0.003959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2329, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.008221' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.070234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.057848' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.036087' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.092349' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.078726' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.053799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010881' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010009' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.110180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.094058' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.065256' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.018690' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001982, 0.001982) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.077089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.067611' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.047525' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.011456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.045306' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.035857' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.016945' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010794' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004450' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.130554' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.121601' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.103817' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.070070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.023751' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.017202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004454' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.153989' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.144824' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.125453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.089353' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.026225' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.041196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.033581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.018835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.181381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.169739' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.147456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.107370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.038981' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002597, 0.002597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002688, 0.002688) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052061' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072902' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022220' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.012670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.133068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.127258' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.113517' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084648' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029687' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.025188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.161464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.154905' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.140432' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.110291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.053216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.001428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.056271' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.050936' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.039429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.016743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.207562' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.199303' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.181841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.147691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005015, 0.005015) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005145, 0.005145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004804, 0.004804) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.066813' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.062224' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.050822' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024274' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.071985' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.067860' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.057269' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.032486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.009900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.006998' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.000613' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.127068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.122922' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.112650' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.089018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.035146' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.033068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.030449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.023218' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.005834' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.171264' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166766' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.156357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.130338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.074048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.004775' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.002379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.061838' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.058234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.049598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.029280' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.215883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.210229' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.196479' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166087' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.105356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008763, 0.008763) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009153, 0.009153) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008278, 0.008278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008065, 0.008065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009083, 0.009083) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009310, 0.009310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007790, 0.007790) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.024758' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.015799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.010118' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.001078' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.071703' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.062629' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.043261' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.005979' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.074840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.065323' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.044440' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.004101' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.043724' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.036857' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.019761' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.042052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.034998' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.017118' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5682, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.001083' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.057654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.053352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.040762' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.010420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.071610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.067175' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.054114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.022216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005026, 0.005026) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005285, 0.005285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054)
Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.094734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.083315' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.059461' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013693' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.068640' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.057421' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.034730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.027523' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.019651' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.003854' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.149713' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.137944' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.114920' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.069484' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.041401' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.031856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013445' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.165251' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.151075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.122877' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.071556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.081036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073082' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.054582' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.015509' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073259' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.065260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.047733' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.007958' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.029299' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.024062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.012453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.149015' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.141338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.123471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.086220' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.011809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.006777' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.002254' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.059329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.052440' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.037798' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.008036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.198443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.188295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.165747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.120820' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.039068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004716, 0.004716) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006479' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.002948' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.123141' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.118203' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.105841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.075550' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.005501' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.107175' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.102790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090874' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.061682' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.040685' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.037774' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.008925' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.176801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.172196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.159424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.129857' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.066586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.009545' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006592' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.068784' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.064324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.053432' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.225223' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.218624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.202098' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.165544' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090910' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008122, 0.008122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009588, 0.009588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008673, 0.008673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009839, 0.009839) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009331, 0.009331) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.047005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.038300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.019446' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.032763' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.023929' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.004783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001768, 0.001768) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.022488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.017866' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.004916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8254, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.047861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.029577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.018204' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8649, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000194' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001900, 0.001900) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.013259' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.003663' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.119859' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.105987' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.076834' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.017002' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.088304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.074714' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.045216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.028703' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.022276' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.007062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.149342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.140245' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.118150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.070227' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.014798' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.008690' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.124376' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.115387' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.093848' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.045871' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005025, 0.005025) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001707, 0.001707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.028617' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.024607' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013597' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.153924' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.148756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133651' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.093102' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.005807' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.017146' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013373' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.003078' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133559' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.128077' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.113197' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.075786' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008964, 0.008964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008237, 0.008237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009733, 0.009733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008768, 0.008768) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.039667' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.030891' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.012151' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9984, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.008553' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.100260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.090993' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.070771' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.031931' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.007456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.000625' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.064427' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.057506' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.040020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.003286' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002616, 0.002616) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.024072' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.019733' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.007065' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.005456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.001051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.081604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.077153' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.064193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.032863' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004630, 0.004630) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005041, 0.005041) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004209, 0.004209) will be assigned to the attribute. (LBDB-1054)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.010447' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.114821' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.100707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070985' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.009837' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.084040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.040676' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.002835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013539' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.165573' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.148787' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.116116' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.054284' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.027854' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.021492' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.006163' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.147995' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.138868' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.116799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.069294' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007535' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.122347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.113714' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.044856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013098' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.067742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.060071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.042924' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.008685' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.207900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.197224' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.171735' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.120099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.024586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005062, 0.005062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004764, 0.004764) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.027633' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.023573' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012261' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.152282' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.146363' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.131368' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.089964' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.000596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.016395' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.002180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.132467' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.126904' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.111714' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.015640' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012254' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.003653' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073378' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.068252' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.055606' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.026659' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.220523' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.212972' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.194033' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.151462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.063293' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008945, 0.008945) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009726, 0.009726) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008775, 0.008775) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054)
Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12304, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.001918' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.055772' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.045654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.024155' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054)
Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.024777' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.017376' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.047330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.042620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.028901' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004079, 0.004079) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004010, 0.004010) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004751, 0.004751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045589' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.034610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.013137' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.038250' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.027252' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.003864' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.078832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.067073' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.042922' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.082462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.070394' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.029416' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.020605' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.002270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.144388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.131216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.104006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.053799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.003396' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.113376' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.106000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.088659' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.050393' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.089035' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.082355' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.065089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.026911' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.025067' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.019458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.153166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.144935' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.125790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.085194' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.012819' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.007388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.131023' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.122999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.104654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.063928' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006792' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002574' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.058472' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.052114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.037931' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.009508' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.195499' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.185909' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.164775' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.121522' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.042776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004998, 0.004998) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005095, 0.005095) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004511, 0.004511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.000076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.113132' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.108770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.062885' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.090976' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.079048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.048451' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.016665' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.012835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002664' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.143359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.137797' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.123156' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.087517' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006520' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002971' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.126381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.121106' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.107579' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.073854' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.008498' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.005707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.063940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.059732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.049128' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.025268' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.210292' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.203994' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.188039' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.151636' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.078475' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008827, 0.008827) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008038, 0.008038) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008834, 0.008834) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007933, 0.007933) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009451, 0.009451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009271, 0.009271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007946, 0.007946) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.013090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.001351' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.050022' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.038201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.029533' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.016867' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.069198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053472' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.068172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020919' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.018090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.008040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.010222' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.000198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054)
Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.014742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.010357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.056114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.051641' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.038384' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.006113' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.039566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.035017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.021593' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005044, 0.005044) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004568, 0.004568) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005281, 0.005281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064535' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.051338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021784' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056287' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.043948' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.009425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.105353' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.089628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055243' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.000290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.076612' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.042679' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.089875' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.082447' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.057657' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.001163' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.081332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.072167' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.048851' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.034263' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.026241' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.005946' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.149979' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.137507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.107771' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.042553' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.025624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.017541' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.139977' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.128110' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.099106' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.036016' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004525, 0.004525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003325' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.113509' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.107107' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.089295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040662' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.106596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.100778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040467' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.046696' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.024552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.177614' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.168770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.144965' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.088621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.038773' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.033366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.018699' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.167633' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.159543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.137821' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008637, 0.008637) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008016, 0.008016) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008101, 0.008101) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009237, 0.009237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001618, 0.001618) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001907, 0.001907) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001800, 0.001800) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.031983' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.029568' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.022852' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.006889' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.044742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.042274' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.035488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.019412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.020756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.016119' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.003066' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005153, 0.005153) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004750, 0.004750) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005016, 0.005016) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004589, 0.004589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054)
Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.032669' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.024443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.007720' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.075971' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.067071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.049054' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015057' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.091979' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.078748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.050292' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015490' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.006113' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.125625' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.112247' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.084250' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.026956' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002682, 0.002682) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.078814' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.072870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.059489' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.031812' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.098790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092318' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.077725' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.047597' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.013692' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007506' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.123075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.114127' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.044404' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.028818' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.022441' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.149939' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.140762' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.118713' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.070591' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004798, 0.004798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004531, 0.004531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.072524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.068589' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.058538' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.035329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.102737' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.098490' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.087502' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.062019' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.003572' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.015603' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011662' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.001003' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.130268' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.124643' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.109304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.070798' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.027679' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.023152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011690' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.152138' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.146011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.129675' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.089603' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009290, 0.009290) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009527, 0.009527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008147, 0.008147) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009648, 0.009648) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008733, 0.008733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008885, 0.008885) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008181, 0.008181) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065617' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.056068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.036574' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.054771' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.033386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.038792' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.031577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.014050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.034544' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.027167' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.008778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.047359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042509' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.029174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.061091' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.056128' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042280' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.010355' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004501, 0.004501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.081867' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.069912' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.047068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.064334' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.052354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.027593' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.051140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.040091' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.017754' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.029834' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.022050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.006744' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.154380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.142924' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.120496' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.076918' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.000668' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.043144' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.033765' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.015534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.167869' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.153776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.126528' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.077792' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.024359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.040209' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032014' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.047023' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.039784' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.022814' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.028103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.023026' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.011340' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.151283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.143744' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.126339' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.089757' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002468' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.052779' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.046186' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032010' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.188546' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.178867' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.156675' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.112716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.031283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004347, 0.004347) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004569, 0.004569) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004153, 0.004153) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004643, 0.004643) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.031270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.025718' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.011452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.048850' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.043619' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030117' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.070120' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.065534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.053680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.024972' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.033215' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.029672' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.021590' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.001454' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.165662' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.160702' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.148471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.119687' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.055375' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.007346' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.004563' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.064201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.059987' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.049548' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.026797' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.214893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.208469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.192879' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.157788' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.088521' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008687, 0.008687) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008137, 0.008137) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008935, 0.008935) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009307, 0.009307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.013219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003836' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26193, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003989' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26242, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.009632' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.077780' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.067941' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.047225' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.008434' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.040176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.032890' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.015321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002557, 0.002557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.075898' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.071265' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.057957' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.026462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004980, 0.004980) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005183, 0.005183) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004909, 0.004909) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000909' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.102306' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087976' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.058140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087969' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073917' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.043088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.060095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.031950' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.002645' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.047050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.036366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.165803' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.149975' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.118879' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.061654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.057602' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.033283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.075563' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.065543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.041417' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.077605' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068567' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.046929' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.006701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.001768' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.055712' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.048233' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.031549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.183857' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.172693' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.148639' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.098730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.004686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004759, 0.004759) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.075292' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.068452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.048591' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.000377' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.082653' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076265' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.058771' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.014738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.097728' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.092125' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076779' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.038209' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.013627' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.010180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.001523' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.069791' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.064663' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.051755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.023006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.213425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.205997' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.187285' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.144323' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.056441' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008628, 0.008628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008196, 0.008196) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008173, 0.008173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009282, 0.009282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008198, 0.008198) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29065, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003031' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.068191' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.058525' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.037657' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.034778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.024880' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003971' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.043549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.036576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.019042' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.027658' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.020622' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.003295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002705, 0.002705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.011675' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.006995' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004503, 0.004503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004116, 0.004116) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004746, 0.004746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.097689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.082471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050279' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.080119' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.064986' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.031914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.063687' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.019817' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.043388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.030837' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.004832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.167501' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.149962' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.111941' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.039891' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.024374' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.012301' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.131087' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.114233' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.077007' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.006316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.071964' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.061392' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034694' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.056809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.046337' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.020165' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.069215' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.060373' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.037365' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043554' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.013346' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.168970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.155518' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.125266' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.059405' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.030438' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.021972' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.002190' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.147483' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.135248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.105917' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043134' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.059792' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.052856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.033478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.056106' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.049546' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.030879' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.078457' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.072848' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.057347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.017344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.046490' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.025699' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.178911' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.170451' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.147556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.093942' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040047' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.034755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.021053' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.171524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.163677' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.142892' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.094099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008524, 0.008524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008125, 0.008125) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008811, 0.008811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009212, 0.009212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008001, 0.008001) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.092748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.083278' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.062736' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.023786' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002664, 0.002664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.036152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.028999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.011082' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.058369' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.053926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.040865' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.009512' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004577, 0.004577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004637, 0.004637) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.045845' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.032694' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.000171' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.048198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.033702' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.002030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.057550' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.043782' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.014159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.064559' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.051573' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.024017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.040322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.030272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.009420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.158558' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.143476' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.113260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.056014' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.044170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.032748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.004662' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.028309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.016835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.052362' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.042436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.017756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056082' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.046935' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.024344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.008248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.002987' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056474' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.048658' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.031329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.182309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.170590' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.145241' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.093019' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004278, 0.004278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004371, 0.004371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.039198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.031920' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.030351' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.023272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.004332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.058230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.051963' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.035003' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.075842' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.070246' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.055124' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.018055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012961' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.009616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.001204' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.068076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.063045' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.050447' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.022680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.210037' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.202694' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.183801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.141488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.059327' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008141, 0.008141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008115, 0.008115) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007911, 0.007911) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008058, 0.008058) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009308, 0.009308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008206, 0.008206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001501, 0.001501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001786, 0.001786) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054)
Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054)
Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002552, 0.002552) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054)
Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054)
Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001524, 0.001524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.060343' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.050036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.028899' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002070, 0.002070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001878, 0.001878) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001719, 0.001719) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.028276' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.024774' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.013631' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001908, 0.001908) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007232, 0.007232) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006473, 0.006473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.060446' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.050161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.029068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007963, 0.007963) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007000, 0.007000) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003724, 0.003724) will be assigned to the attribute. (LBDB-1054)
Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054)
Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47007, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'Y', The 'values' attribute has a '-0.014200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003243, 0.003243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002902, 0.002902) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.017540' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.014291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.001615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.100294' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.095804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.078287' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.019714' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040148, 0.040148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035026, 0.035026) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.005464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.063639' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.047316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.004249' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005467, 0.005467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.019938' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.012188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.095654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.084678' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.053071' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010835, 0.010835) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009535, 0.009535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.023409' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.018585' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.001722' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.104471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.097920' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.074596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.006116' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021483, 0.021483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018825, 0.018825) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009724, 0.009724) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008233, 0.008233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301)
Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301)
Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301)
Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301)
Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301)
Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301)
Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003746, 0.003746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003487, 0.003487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001793, 0.001793) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001736, 0.001736) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003710, 0.003710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003447, 0.003447) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001802, 0.001802) will be assigned to the attribute. (LBDB-1054)
Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003718, 0.003718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003498, 0.003498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054)
Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003690, 0.003690) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003645, 0.003645) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003687, 0.003687) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001955, 0.001955) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054)
Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003633, 0.003633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003621, 0.003621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003603, 0.003603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003618, 0.003618) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003574, 0.003574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003536, 0.003536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003450, 0.003450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003467, 0.003467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003470, 0.003470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001950, 0.001950) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000805, 0.000805) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000772, 0.000772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005212, 0.005212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002630, 0.002630) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054)
Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002681, 0.002681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.030247' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.020055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001927, 0.001927) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001898, 0.001898) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001992, 0.001992) will be assigned to the attribute. (LBDB-1054)
Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.023131' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.012968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001895, 0.001895) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001901, 0.001901) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001912, 0.001912) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054)
Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001946, 0.001946) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.039429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044578' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003350, 0.003350) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054)
Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003444' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039308' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003752, 0.003752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023795' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.022940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.011934' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.009403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.062139' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.057051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007338, 0.007338) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006161, 0.006161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004725, 0.004725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011398, 0.011398) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001932, 0.001932) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001971, 0.001971) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002743, 0.002743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.002468' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083613' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.059408' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.013799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.053618' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.052727' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051578' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001394' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054807' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002807, 0.002807) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.038831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.026329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000824' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.155586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.136664' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.099620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.031040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004556, 0.004556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004043, 0.004043) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.002816' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.050289' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.040473' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.018674' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.175237' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.160735' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.128893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.065801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010268' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010044' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008695, 0.008695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007159, 0.007159) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002101' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.059344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.052060' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.033831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.193557' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.183144' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.156598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.097354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002498' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002349' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039987' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039906' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039813' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017534, 0.017534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015756, 0.015756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011060, 0.011060) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009017, 0.009017) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.004582' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.001242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057602' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.052535' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.038028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.002884' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.194906' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.187566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.166728' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.113691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.032352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002692, 0.002692) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036346' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.023268' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.149716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.130909' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.092670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.020486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69023, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.005952' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.051302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036594' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.007677' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.218503' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.193623' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.144670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.060041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003728, 0.003728) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003798, 0.003798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.003095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.048448' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.037388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.012625' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.167609' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.151090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.115623' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.045270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.005150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.067755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.055854' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.030681' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.246956' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.226581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.183693' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.104109' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008910, 0.008910) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008027, 0.008027) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006103, 0.006103) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006296, 0.006296) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.006153' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.001130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.057062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.030539' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.188716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.177760' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.150319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.089530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.007756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.003338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.075317' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.067951' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049399' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.009199' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.266928' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.254185' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.221826' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.153225' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.029191' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017371, 0.017371) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015709, 0.015709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009663, 0.009663) will be assigned to the attribute. (LBDB-1054)
Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.002833' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054656' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.049373' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.034657' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.190043' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.182410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.160866' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.106078' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.003274' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.000399' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.072856' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.068129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.021129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.270202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.261584' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.238780' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.181893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.065360' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007168, 0.007168) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006808, 0.006808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006284, 0.006284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006249, 0.006249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004760, 0.004760) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008112, 0.008112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007598, 0.007598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007141, 0.007141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006947, 0.006947) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005307, 0.005307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054)
Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008102, 0.008102) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007591, 0.007591) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007158, 0.007158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006961, 0.006961) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005313, 0.005313) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005059, 0.005059) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004974, 0.004974) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006964, 0.006964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006532, 0.006532) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006608, 0.006608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006301, 0.006301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005028, 0.005028) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008857, 0.008857) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004990, 0.004990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003086, 0.003086) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054)
Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003334, 0.003334) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75173, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.003311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.014357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.009231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.020986' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.016003' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.001689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009704, 0.009704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007942, 0.007942) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.032848' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.019359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.121410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.102895' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.059552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.018230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.016087' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.008623' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.074726' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.071824' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.060944' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.028707' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.215486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.210871' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.195913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.149886' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.035170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027383, 0.027383) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024925, 0.024925) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.015725' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.013947' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.007430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.071919' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.069389' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.060052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.030868' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.211755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.208350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.195163' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.153750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.045148' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.035280, 0.035280) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.032042, 0.032042) will be assigned to the attribute. (LBDB-1054)
Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.012480' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005641' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.056918' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047025' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.023161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.167861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.154279' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.120196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.016414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.011830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.066831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.060334' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.042226' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.192041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.182929' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.158011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.095790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009449, 0.009449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008624, 0.008624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.018897' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.015471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.005186' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.073436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.068341' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.053245' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.014473' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.208032' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.201152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.180189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.124538' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013951, 0.013951) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012703, 0.012703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.018615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.015910' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.006945' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.073332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.069147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.056076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.020129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.208631' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.203039' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.184291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.133028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.011042' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018565, 0.018565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016914, 0.016914) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.058807' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.048644' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.027445' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007047, 0.007047) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002093, 0.002093) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002025, 0.002025) will be assigned to the attribute. (LBDB-1054)
Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003727, 0.003727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77201, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'Y', The 'values' attribute has a '-0.014424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002838, 0.002838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.014566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.011327' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.092319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.088059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.071163' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.013609' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040461, 0.040461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035826, 0.035826) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.004097' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.061305' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.045478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.002599' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005474, 0.005474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.018621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.011125' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.093617' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.083242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.051415' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010854, 0.010854) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009578, 0.009578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.021526' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.016604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.100951' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.094309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.070823' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.001994' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021525, 0.021525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019035, 0.019035) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301)
Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301)
Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301)
Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301)
Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301)
Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.022272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.012861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.016311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.006667' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.010108' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.000913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001716, 0.001716) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.027769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.018549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.137238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.124701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.095166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.038332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.005306' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.003811' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.065621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.063400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.056176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.035643' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.224695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.221356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.210486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.179333' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.103097' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009284, 0.009284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008373, 0.008373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034864, 0.034864) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029979, 0.029979) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.036176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.030210' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.016320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.154437' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.146007' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.124605' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.078583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054)
Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.041883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.038084' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.027575' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.001655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.169740' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.164193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.148501' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.110855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.025256' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.013664' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.011412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.004809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.074110' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.070734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.060853' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.035396' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.229916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.224896' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.209924' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.171318' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.084426' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004874, 0.004874) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004433, 0.004433) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015665, 0.015665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913)
Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin
have same power rail value but different rail name. (LBDB-747)
Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009217, 0.009217) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008337, 0.008337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006178, 0.006178) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006325, 0.006325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006346, 0.006346) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006196, 0.006196) will be assigned to the attribute. (LBDB-1054)
Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006180, 0.006180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301)
Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002966, 0.002966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002792, 0.002792) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002855, 0.002855) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003043, 0.003043) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003436, 0.003436) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003193, 0.003193) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003284, 0.003284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002910, 0.002910) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004003, 0.004003) will be assigned to the attribute. (LBDB-1054)
Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003892, 0.003892) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003686, 0.003686) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003440, 0.003440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003453, 0.003453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005400, 0.005400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004931, 0.004931) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005055, 0.005055) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005437, 0.005437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007529, 0.007529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.019696' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.007738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.112246' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.096573' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062815' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.022056' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.009790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.119834' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.104176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.068853' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.075238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062214' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.032361' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004870, 0.004870) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.012564' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.005515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.115413' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.105491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.081993' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.030131' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.020859' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.013787' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.133131' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.123397' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.100385' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.048305' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.002342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.112017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.103923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.084463' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.040322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006747, 0.006747) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006143, 0.006143) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.027702' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.023054' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.010608' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.144964' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.138439' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121632' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.078835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.018655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.013742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.001281' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.127917' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121277' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.103906' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.059809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.004190' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.000458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.114129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.108734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.094241' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.058001' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007594, 0.007594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007797, 0.007797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011603, 0.011603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010679, 0.010679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002880, 0.002880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001766, 0.001766) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006063, 0.006063) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005827, 0.005827) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054)
Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006077, 0.006077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005829, 0.005829) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003399, 0.003399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.029969' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.015276' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.125102' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.103862' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.059040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.022592' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.008283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.114686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.095395' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.049751' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.040201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.030369' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.004829' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.148075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.133354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.096732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.015924' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.032696' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.022825' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.139321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.125289' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.089221' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.009358' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004320, 0.004320) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004223, 0.004223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.002109' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.046885' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.040054' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.020631' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163786' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154887' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.127105' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.057110' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.043540' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.037111' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.018949' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163105' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154454' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.128085' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.061545' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008817, 0.008817) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008301, 0.008301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008405, 0.008405) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.041902' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.037390' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.022378' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.158795' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.152604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.132475' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.070056' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.044088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.040081' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.027184' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.169646' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.164156' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.145878' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.092088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017411, 0.017411) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016506, 0.016506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016332, 0.016332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.025307' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.011286' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.125932' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.106393' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.061152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.032151' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.022059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.141063' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.126628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.090937' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.011530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.043353' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.037104' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.018523' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.166429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.157447' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.130762' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.064278' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009324, 0.009324) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.007084' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.092521' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.073569' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028697' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.006776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.102958' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.083271' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.038143' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.088525' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.070584' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.014195' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.003603' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.103541' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.089433' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.051111' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.005321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.093656' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.079017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.041133' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.008021' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.100599' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.088106' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.055291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004342, 0.004342) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004738, 0.004738) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007368' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.000586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.091876' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081875' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.054147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.014491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.116619' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.107733' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.017996' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.131633' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.123021' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.098825' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.037336' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008820, 0.008820) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008507, 0.008507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008336, 0.008336) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.010989' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.111718' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.091801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.007552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.107294' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.089051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046892' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001413, 0.001413) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.006748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.099257' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.084834' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.048060' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.012469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.003902' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.120213' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.107216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.074649' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.000443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004218, 0.004218) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.010388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.004187' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.111146' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.101879' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.074432' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.002226' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.014126' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.008620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.120622' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.112936' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.089352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.026621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008766, 0.008766) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008171, 0.008171) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009363, 0.009363) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008396, 0.008396) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.000602' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.084654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.064832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.019408' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.094010' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.073867' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.027194' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.098676' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.079169' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.034910' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.081712' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.063677' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.023432' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.083068' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.069514' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.030436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076541' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.061657' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.022314' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.094174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.080522' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.088831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076844' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004348, 0.004348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004287, 0.004287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.075382' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066085' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.039552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.079838' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.070239' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.043479' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.091311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066185' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.000565' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.107454' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099571' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.078321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.020381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008547, 0.008547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008338, 0.008338) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008646, 0.008646) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008265, 0.008265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008207, 0.008207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009224, 0.009224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094715' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.074556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.027704' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.100599' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.080322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.035513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094813' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.076913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.036410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.073088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.058429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.019237' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.094601' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.045626' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.092218' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.048042' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004343, 0.004343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004257, 0.004257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.081112' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.072614' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.044701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.100527' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.091837' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.066946' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.105465' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.098165' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.076048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.017678' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009005, 0.009005) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008303, 0.008303) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009205, 0.009205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008289, 0.008289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.101155' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.081394' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.036760' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.097544' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.077922' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.037723' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.092495' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.079116' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043887' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.087317' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.074152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043473' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.100500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.091950' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.066826' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.000576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.106215' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.098380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.076168' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.016903' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008941, 0.008941) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009220, 0.009220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008296, 0.008296) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.011599' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.120723' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.107769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.078105' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020281' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.002202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.045540' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.033840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.010031' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.161458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.144440' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.109528' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.043615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.035386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.029412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.015338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.153565' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.145163' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.124857' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.078449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.010893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.005455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.063712' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.055587' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037374' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.000126' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.200280' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.188417' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.161158' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.105275' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.003506' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004622, 0.004622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004193, 0.004193) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.041461' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.037618' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.027161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.168809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.163316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.148894' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.110551' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.025556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.014143' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.010698' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001396' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.071595' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.066290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.052721' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.021162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.219147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.211295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.190686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.143451' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048479' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009190, 0.009190) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008322, 0.008322) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009347, 0.009347) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008093, 0.008093) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.039768' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.037625' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.030297' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.008905' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170611' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.167076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.156245' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.125695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.050162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.013745' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.011511' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.004828' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.073963' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.070572' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.060554' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.034628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.229135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.223999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.209420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.082380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017944, 0.017944) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016156, 0.016156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018153, 0.018153) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015700, 0.015700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.027769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.018549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.137238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.124701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.095166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.038332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.036176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.030210' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.016320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.154437' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.146007' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.124605' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.078583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.041883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.038084' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.027575' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.001655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.169740' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.164193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.148501' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.110855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.025256' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.002674' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.099290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.088629' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.022669' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.024504' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.016456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.143260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.131303' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.107153' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.059136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.039701' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.030075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.010533' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.163305' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.148549' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.119006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016107' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.011352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000303' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.126464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.119185' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.104886' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.069196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.028907' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.024234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.012242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.150718' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.142926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.124801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.086197' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.010829' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.001602' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.049489' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.042587' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.027464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.178916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.168601' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.145778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.099867' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.024559' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021474' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.013463' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.145667' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.141230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.131389' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.103222' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.039347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.038922' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.035482' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.026596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.005470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.175117' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.170035' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.157502' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.125412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.058054' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.006854' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.003912' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.065161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.061114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.049469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.023890' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.221006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.214205' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.197174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.158191' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.079598' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009694, 0.009694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008410, 0.008410) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009037, 0.009037) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007758, 0.007758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.014127' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.006654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.123023' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.112604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.091328' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.048868' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.028000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.019968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.003613' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.151497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.139586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.116048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.068500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.016050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.000105' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.126699' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.119613' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.103302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.069309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.028652' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.023488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.149986' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.142200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.124045' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.084754' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.009000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004180, 0.004180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004188, 0.004188) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.025052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.022031' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.014225' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.147816' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.143465' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.132190' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.106391' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.045000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.039135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.035753' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.027149' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.006550' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.178335' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.173292' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.159895' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.129322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.064188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009223, 0.009223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007817, 0.007817) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.091615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082218' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.063339' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027589' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.012737' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131177' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.119562' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.100440' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.062238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.020140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.156960' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.144742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.123554' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.011091' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.040469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.031766' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.014596' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.170889' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.157516' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131550' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.085832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.008401' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.006774' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.002513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.112870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.106936' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.093620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.065321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.011521' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.007244' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.126897' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.120262' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.105770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.076044' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.016799' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.025478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.020826' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.010643' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.152073' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.145031' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129684' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.096893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034917' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.000780' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.052105' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.046360' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034194' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009108' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.195581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.186705' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.167558' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129411' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.061234' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004141, 0.004141) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004667, 0.004667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004697, 0.004697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003922, 0.003922) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.011682' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008995' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.002260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.126591' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.122880' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.113179' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.090404' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.039119' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.018603' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.015775' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008634' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.146624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.142469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.108120' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.054102' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.033818' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.030755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.023281' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.005891' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.174919' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.170322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.158825' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132301' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.075985' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.003776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.001166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.060142' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.056433' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.047499' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026945' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.215121' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.209450' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.195530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.164052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.101680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009140, 0.009140) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008185, 0.008185) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007985, 0.007985) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009052, 0.009052) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007667, 0.007667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009348, 0.009348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007769, 0.007769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005729' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.000357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.112994' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.103893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085735' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.051512' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.013615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.006992' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.133647' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.123861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.105143' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.068254' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.002047' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.026694' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.019597' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005368' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.157734' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.146794' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.125231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085340' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.016510' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.005379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.001145' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.110103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.104224' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.091010' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.062952' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006826' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.011033' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006204' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.122732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.117485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.102934' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.073309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.024376' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.019486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.008960' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.149099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.141956' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.125958' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.092943' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.030412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004666, 0.004666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.010818' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.002485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.125620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.121776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.112129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.090710' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.038852' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.018126' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.015186' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008945' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.145261' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.141165' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.130908' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.107483' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.052858' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.033380' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.029688' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.021915' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.005129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.172919' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.168324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.157464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.129923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.071762' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009092, 0.009092) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009047, 0.009047) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007698, 0.007698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.000892' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.098362' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.089309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.070835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.035156' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.013983' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.007058' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.130886' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.120796' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.101706' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.063310' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001425, 0.001425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.007941' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.003808' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.114216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.108396' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.095429' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.068308' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.014342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.012674' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.008270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.127329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.120965' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.107132' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.078744' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.021595' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004152, 0.004152) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001494, 0.001494) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001392, 0.001392) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.011654' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.010202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.003112' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.126292' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.122500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.112999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.092159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.040771' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.018231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.016485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.009285' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.144833' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.140763' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.130580' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.107419' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.053205' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009132, 0.009132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008194, 0.008194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009157, 0.009157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007974, 0.007974) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104074, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.008805' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.013750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.002705' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 104172, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.005189' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 104221, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.004588' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005084, 0.005084) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004239, 0.004239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004956, 0.004956) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004252, 0.004252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.043182' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.029566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.109816' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.093241' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.058988' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.004991' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.113536' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.097502' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.062945' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.002357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.101022' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.083890' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.047507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.001278' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.086500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.069993' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.034947' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.055675' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.046777' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.024931' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.110849' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.100075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073806' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.016722' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.000291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.098381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.087398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.062226' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.005743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.083491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.071092' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.041828' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.002396' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.044138' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004021, 0.004021) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004225, 0.004225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004408, 0.004408) will be assigned to the attribute. (LBDB-1054)
Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004262, 0.004262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.060938' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.055723' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.041219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.115939' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.109518' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.091168' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.046088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.000145' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.111177' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.104244' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085503' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.039551' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.077738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.056747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004659' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.079342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.071004' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.051566' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008221, 0.008221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009061, 0.009061) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007794, 0.007794) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008959, 0.008959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008674, 0.008674) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008160, 0.008160) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008294, 0.008294) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.033325' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022802' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.000121' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.032681' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.001352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.018468' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.008664' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054)
Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.005200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.000116' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.014801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.009923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005119, 0.005119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004800, 0.004800) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004165, 0.004165) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005274, 0.005274) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004806, 0.004806) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004717, 0.004717) will be assigned to the attribute. (LBDB-1054)
Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004318, 0.004318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.055245' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.042170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.012804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.118686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.102561' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.068611' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003253' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.013159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.002295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.121075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.105435' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.071785' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.005172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.019317' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.008530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.126636' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.111381' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.077657' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.011231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.085513' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.076656' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.054658' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.004769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.015422' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.007721' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.134402' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.122198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.094841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.036177' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013809' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.005455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.109120' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.097354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.069645' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.009587' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.022209' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.120054' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.107537' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.079730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.017379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004704, 0.004704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004513, 0.004513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.107491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.102145' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.087330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.049231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.024666' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.020052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.007372' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.163238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.156386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.136398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.089433' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.031048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.025943' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.012475' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.151762' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.144586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.124537' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.078691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.039329' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.033916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.019646' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.162423' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.154135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.133614' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.084570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009531, 0.009531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007877, 0.007877) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009557, 0.009557) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008788, 0.008788) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008211, 0.008211) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110491, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.002865' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.057818' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.047449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.025239' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.063254' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.053485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.033260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.024086' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.030303' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.022864' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.004758' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033736' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.028813' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.014784' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.037896' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033156' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.019612' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005092, 0.005092) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004763, 0.004763) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.024571' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.004976' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.087676' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.063172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.016963' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.006247' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.081295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.058788' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.018330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.085090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.070424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.037953' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.030820' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.148583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.129756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.091305' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.018921' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.041137' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028588' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.002963' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.153207' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134713' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.097796' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002629, 0.002629) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002019, 0.002019) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.012095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005144' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.121643' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.111944' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.088731' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.036795' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.046406' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.037442' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.017962' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.181832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.169079' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.139272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.078443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005757' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.051471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.043080' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.023887' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.170350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.158570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.130649' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.074724' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004721, 0.004721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004128, 0.004128) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016519' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.012568' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001723' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.133889' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.128089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.112311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.072811' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.049926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.044570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.030779' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.194831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.187348' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.165991' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.116835' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016026' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.005117' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.052849' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.047434' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.033473' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.000858' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.177478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.169866' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.149281' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.103471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.004632' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009625, 0.009625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008681, 0.008681) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009070, 0.009070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007875, 0.007875) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009155, 0.009155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008477, 0.008477) will be assigned to the attribute. (LBDB-1054)
Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.048003' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.037714' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.015604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001471, 0.001471) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.016982' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.009216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.014176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.009002' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004727, 0.004727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.086759' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.072037' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.039749' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.030007' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.017271' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.151166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.131717' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.093816' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.021980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001872, 0.001872) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.001181' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.103716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.093983' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.070490' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.017568' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.038390' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.029516' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.009536' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.167790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.154206' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.124046' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.062055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004724, 0.004724) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.008045' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.003978' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.120332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.114011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.097090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.054699' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.044510' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.038630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.023608' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.182304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.173827' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.151490' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.099288' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009322, 0.009322) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007902, 0.007902) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115331, Cell 'sky130_fd_sc_hd__o221a_1', pin 'X', The 'values' attribute has a '-0.003101' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002569, 0.002569) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005180, 0.005180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004631, 0.004631) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005001, 0.005001) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054)
Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.049453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.035916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.007108' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.110716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.094648' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.061368' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.059557' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.044676' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.012217' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.010996' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.125541' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.107291' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.072487' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.003016' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.077290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.060283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.026925' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.090025' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.081112' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.058869' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.010004' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013035' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.005906' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.142435' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.131264' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.104664' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.047242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.101916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.092158' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.068192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014148' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022322' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.147149' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.134029' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.103825' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.039937' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022495' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014082' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.119221' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.106923' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.079524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.021861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005008, 0.005008) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004551, 0.004551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005160, 0.005160) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054)
Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004197, 0.004197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.093419' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.087903' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.072843' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.034572' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.012390' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.007686' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.147649' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.139608' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.074786' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091864' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.085442' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067897' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.023497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.021508' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.015959' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000925' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.151217' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.142906' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067626' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.020586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.014950' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000146' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120951' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.113196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.040561' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009575, 0.009575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007880, 0.007880) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009339, 0.009339) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007694, 0.007694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008376, 0.008376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.049195' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.038194' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.015219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.028462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.018991' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.083269' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.073197' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.052211' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.012753' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.018304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.010386' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.050267' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.042546' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.024062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.023695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.018695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.004422' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.011940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.035632' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.030821' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016864' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004672, 0.004672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005144, 0.005144) will be assigned to the attribute. (LBDB-1054)
Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.083011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.069817' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.040848' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.024416' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013288' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.145453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.094729' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.029617' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.006088' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.102648' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088117' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.058404' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.046556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.034944' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.176144' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.159390' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.124232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.057959' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.095789' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.087049' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.065587' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.015997' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.030419' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.022750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.004963' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.156627' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.145768' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.119293' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.061629' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.012383' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.005943' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.116941' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.107472' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.085605' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.034529' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.003604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.054327' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.046259' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.028085' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.189017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.177305' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.149849' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.091730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054)
Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.003929' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.122695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.117481' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.102918' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.067059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.037907' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.033047' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.021090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.176593' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.169798' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.151717' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.107319' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.013503' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.022125' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.018039' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.140816' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.135089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.119308' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.079006' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.048925' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.043311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.028733' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.186750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.178394' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.156807' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.106462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.001191' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009583, 0.009583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009080, 0.009080) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007912, 0.007912) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009399, 0.009399) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008853, 0.008853) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054)
Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002071, 0.002071) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.010343' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.007988' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.001732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.034416' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.032115' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.030614' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025677' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011611' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005118, 0.005118) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004685, 0.004685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054)
Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004087, 0.004087) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.024377' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.015862' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.048535' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.040174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.023875' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.090937' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.075939' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.043582' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.032940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.019773' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.156812' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.137524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.098578' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.026295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.039534' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.025884' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.079042' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.073129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.059992' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.033691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.012052' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.005442' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.123302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.113491' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.090247' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.038320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045553' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.036592' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.017142' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.181927' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.169093' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.139284' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.078624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005177, 0.005177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005056, 0.005056) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.048301' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.044405' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034242' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.011062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.038040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034266' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.024403' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.003346' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.119624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.113143' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.096366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.053813' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.042394' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.036472' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.021369' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.178737' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.170167' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.147556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.094837' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009242, 0.009242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008367, 0.008367) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009221, 0.009221) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009111, 0.009111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008236, 0.008236) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007888, 0.007888) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124628, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.005935' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.035331' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.024574' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.001320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.032101' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.021874' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.000337' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.013642' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.003410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125307, Cell 'sky130_fd_sc_hd__o311a_2', pin 'X', The 'values' attribute has a '-0.001587' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054)
Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126540, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.011969' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.055002' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.036586' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.001905' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.049738' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.032031' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.038178' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.020877' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001860, 0.001860) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054)
Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.045938' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.033521' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.011350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086457' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.074161' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.048523' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.130805' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.115980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.031159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.015846' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006093' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.123301' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.109235' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.080760' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.027190' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.014642' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.005150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.111151' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.096943' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.069747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.016205' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002632, 0.002632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.044086' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.036688' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.019345' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.077825' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.058861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018294' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.009173' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.002621' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.138999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.129049' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.107135' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.061157' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018904' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.012149' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.127399' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.118177' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.097162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.052543' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.005198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.093320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.083270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.059776' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.011985' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004233, 0.004233) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004791, 0.004791) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004037, 0.004037) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004792, 0.004792) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.074095' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.069639' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.057988' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.029337' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.104232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.099255' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.085725' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.052770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.009828' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.005428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.142062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.135232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118517' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.078366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.019757' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.015039' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.002891' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.131432' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.124934' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.107769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.067742' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.021655' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.016725' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.004335' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.125150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118236' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.100700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.059096' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009096, 0.009096) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009055, 0.009055) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007831, 0.007831) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009281, 0.009281) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007843, 0.007843) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054)
Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.011464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.002045' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.030888' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.021646' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.000874' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.059395' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.049164' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.027318' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.053313' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.043576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.022930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129421, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.001005' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.024517' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.017170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.020402' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.013246' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054)
Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.025326' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.020428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.006366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.033588' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.028939' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.015515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004592, 0.004592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003908, 0.003908) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004933, 0.004933) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.067458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.055893' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.032324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.112294' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.099427' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.072604' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.021125' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.026131' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.015649' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.157378' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.141302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.109465' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.051436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.036936' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.027410' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.008507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.146359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.133185' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.106272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.056326' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002668, 0.002668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002680, 0.002680) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.080716' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.072987' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.055671' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.017464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.008751' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003571' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.129717' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.121478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.102796' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.063027' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.034159' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.027053' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.011211' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.174256' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.162999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.139273' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.090209' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.001658' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.048136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.041508' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.026952' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.167509' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.158074' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.136755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.095556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.019187' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054)
Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003939, 0.003939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.096894' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.092160' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.079718' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.048777' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007236' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.131908' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.126355' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.078136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.004507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041431' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.036674' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.024841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.189616' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.182326' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.164311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.123455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003545' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000273' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.050103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.045399' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.033914' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007912' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.172340' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.165723' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.149198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.035059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009089, 0.009089) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008149, 0.008149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009029, 0.009029) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009444, 0.009444) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007941, 0.007941) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008439, 0.008439) will be assigned to the attribute. (LBDB-1054)
Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021980' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.011617' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054240' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.043745' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021314' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021662' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.012455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.085659' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.075805' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.015505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132500, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.003146' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.028139' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.020577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.001633' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132598, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.006231' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.059688' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.052400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.034300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.010930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.006335' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003944, 0.003944) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.077241' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.066354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.042909' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001560' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117463' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.105440' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.080347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.032615' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.028053' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.018745' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.161453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.147493' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117578' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.064027' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.010517' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.002377' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.111051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.097691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.073369' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.025833' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.000793' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.050099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.040311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.021079' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.183343' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.169119' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.140486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.088210' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.068457' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.061436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.044700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007505' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.111836' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.103540' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.085162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.043894' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.018735' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003711' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.158841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.148855' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.125756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.077532' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025503' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.020097' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007873' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.143692' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.135793' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.118570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.079469' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.001168' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.047043' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.039743' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.023585' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.175722' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.165474' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.141246' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.093084' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004277, 0.004277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054)
Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.080695' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.076298' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.064372' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.035409' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.114585' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.109425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.095976' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.062765' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.026556' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.022188' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.011051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.165003' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.158136' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.141486' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.101107' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017557' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.020947' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.007789' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.140789' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.135499' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.121173' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.088477' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.014481' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.043630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.038541' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.025864' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.175109' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.167474' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.149289' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.106018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017357' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009048, 0.009048) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007677, 0.007677) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007808, 0.007808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008953, 0.008953) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008142, 0.008142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008981, 0.008981) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007980, 0.007980) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135650, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.002870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.028064' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.018339' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.055916' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.045511' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.023303' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.065704' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.056458' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.037084' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136329, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.004114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.029935' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.022070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.002581' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.046164' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.038700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.020520' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.020034' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015111' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.000730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.033040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.028464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004694, 0.004694) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004783, 0.004783) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003854, 0.003854) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003831, 0.003831) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004398, 0.004398) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.057201' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.045801' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.024582' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.095012' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.083164' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.061390' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.020283' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.003388' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125355' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.087576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.043710' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.031032' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.021097' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.001412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.170409' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.154915' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125098' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.073338' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.000947' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.042036' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.032831' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.015333' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.151645' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.138103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113890' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.070158' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054)
Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.056592' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049358' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.033425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000074' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.072802' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.066627' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049693' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.014610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.111689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.103455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.085048' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.047698' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.028257' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.021493' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.006756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.163152' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.152147' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.129094' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.084667' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.005216' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000311' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.037907' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.031324' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.017656' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.137195' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.127475' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.108162' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.070851' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.002219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004566, 0.004566) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003954, 0.003954) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.071359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.066563' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.055176' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.029900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.080966' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.076219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.064414' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.036205' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.110320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.105470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.092073' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.060240' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.027944' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023379' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.012427' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.168755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.162315' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.144684' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.106895' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.032013' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.039531' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.034988' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.024210' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.000747' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.145288' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.139661' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.124264' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.091449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023343' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054)
Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008214, 0.008214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007717, 0.007717) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007684, 0.007684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009215, 0.009215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008626, 0.008626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.022232' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.011227' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.030026' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.018610' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.010109' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.000913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.016235' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.006666' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054)
Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.022274' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.012861' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001724, 0.001724) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.013172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.003425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.015174' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.005020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001571, 0.001571) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001794, 0.001794) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054)
Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.011114' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.001328' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054)
Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143069, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.000221' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014072' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.004186' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014122' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.003926' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001902, 0.001902) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001519, 0.001519) will be assigned to the attribute. (LBDB-1054)
Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001308, 0.001308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.013400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.003401' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002001, 0.002001) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054)
Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054)
Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054)
Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.033155' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.030350' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.020884' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007311, 0.007311) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006538, 0.006538) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.030426' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.027683' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.018436' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007331, 0.007331) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006545, 0.006545) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003709, 0.003709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003502, 0.003502) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054)
Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003259, 0.003259) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003052, 0.003052) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003224, 0.003224) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003019, 0.003019) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003704, 0.003704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003478, 0.003478) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001813, 0.001813) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003245, 0.003245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003025, 0.003025) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003653, 0.003653) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003598, 0.003598) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003652, 0.003652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054)
Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004061, 0.004061) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003636, 0.003636) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002615, 0.002615) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001933, 0.001933) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003472, 0.003472) will be assigned to the attribute. (LBDB-1054)
Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002017, 0.002017) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003523, 0.003523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003427, 0.003427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001943, 0.001943) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003460, 0.003460) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002031, 0.002031) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054)
Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003518, 0.003518) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003459, 0.003459) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003456, 0.003456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001960, 0.001960) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054)
Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003679, 0.003679) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001961, 0.001961) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001978, 0.001978) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054)
Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001962, 0.001962) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003971, 0.003971) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003706, 0.003706) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003947, 0.003947) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003950, 0.003950) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003540, 0.003540) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001806, 0.001806) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004591, 0.004591) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001987, 0.001987) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054)
Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054)
Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000259' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.103270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.088272' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.056499' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.041515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.033224' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.016547' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.023001' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.010660' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.139424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.120342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.081640' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012039' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.030297' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.021942' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.005420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.002270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.106016' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.096940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.075198' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031425' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026293' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.014314' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031684' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.023398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.005122' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.156295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.144299' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.116019' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.058627' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.036947' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031883' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.020515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008395, 0.008395) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.001009' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.110769' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.104841' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.088797' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.048913' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.036773' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.033282' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.024266' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.003651' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.031804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.026413' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.012882' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.165249' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.157132' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.136940' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.087614' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.032316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.028948' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.020229' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.000347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017314, 0.017314) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015971, 0.015971) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016149, 0.016149) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015465, 0.015465) will be assigned to the attribute. (LBDB-1054)
Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005143, 0.005143) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003666, 0.003666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002611, 0.002611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005138, 0.005138) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003668, 0.003668) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003402, 0.003402) will be assigned to the attribute. (LBDB-1054)
Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005456, 0.005456) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005132, 0.005132) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003671, 0.003671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003390, 0.003390) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.052090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.043238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.024592' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.002129' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.107103' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.093849' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.065260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.006055' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.053968' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.044755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.025684' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.008583' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.112330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.099275' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.071202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.012304' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.052166' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.045548' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.030462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.091398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.082090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.059760' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.009306' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.076456' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.069478' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.053217' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.018120' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.003958' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.102882' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.093753' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.070562' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.020485' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009349, 0.009349) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008562, 0.008562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054)
Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.052956' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.048624' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.037352' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.009325' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.099265' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.093552' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.077840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.038041' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.070606' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.066117' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.054295' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.025804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.001777' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.104423' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.098248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.082462' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.039434' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018101, 0.018101) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016568, 0.016568) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015573, 0.015573) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014215, 0.014215) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003669, 0.003669) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003415, 0.003415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002608, 0.002608) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005441, 0.005441) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054)
Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002592, 0.002592) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003650, 0.003650) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003375, 0.003375) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.064973' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.062220' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.050512' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.011535' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.488398' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.485585' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.472778' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.422846' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.282066' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009671, 0.009671) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.068467' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.066196' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.056359' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.022047' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.501878' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.499507' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.489590' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.447928' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.321248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014391, 0.014391) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.013047, 0.013047) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.068318' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.064407' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.048391' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.000123' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.485219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.481018' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.463197' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.397992' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.223623' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007376, 0.007376) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006709, 0.006709) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006324, 0.006324) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054)
Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006345, 0.006345) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006195, 0.006195) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006179, 0.006179) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10)
Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10)
Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10)
Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10)
Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10)
Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10)
Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265)
Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265)
Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265)
Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10)
Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10)
Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10)
Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10)
Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10)
Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10)
Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute
for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Technology library 'sky130_fd_sc_hd__ff_1.95v_-40C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' ...
Warning: Line 35, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 35, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.051800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.071230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.004830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.130770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.059770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.050070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.039270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.013670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.192700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.117700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.107400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.095900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.083100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.068900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.053100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.035300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.015000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.061330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.123770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.048970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.038770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.000670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.188900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.109900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.099100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.087100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.073700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.058800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.042300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.023800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.002900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1433, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.011900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.006710' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.126530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.175670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.225600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.037030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.083900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.222830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.033530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.005230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.297270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.060270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.025370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.372700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.151800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.118600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.081100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.038900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.016680' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.061900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.202130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.030930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.003830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.278970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.028570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.357300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.155800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.124100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.087900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2716, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.024600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2782, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.044870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.093200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.012400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.001300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.092230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.019730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.009830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.163470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.086070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.075470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.063570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.050370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.035470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.018870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.237500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.155800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.144600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.132000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.118100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.102400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.085000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.134370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.054270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.031070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.002070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.206600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.122100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.110500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.097600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.083100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.067100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.049100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.029000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.006200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 3945, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.033170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.019300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.104730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.151770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.199900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.078730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.127370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.177700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.020800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.109230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.158270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.023370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.069300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.032130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.004430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.284170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.027870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.361000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.155700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.123300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.044900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.171530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.244470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.068970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.007570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.319300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.130600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.099900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.024700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.046770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.102900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.021800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.010400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.145330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.073030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.063030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.039330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.025330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.009530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.235870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.159070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.148470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.123170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.108370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.091770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.072970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.026670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.329800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.249100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.237800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.225300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.211200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.195600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.178200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.158600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.111100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.081300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.045900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.003200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.036370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.096700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.011500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.108830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.033030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.010330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.195970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.115470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.104070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.091370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.077170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.061170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.043170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.286700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.202100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.190200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.176800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.161900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.145200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.126400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.105300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.081300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.053800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.021800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5409, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5606, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5780, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.030200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.026400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.022700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.015300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.001400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5989, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 6186, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 6383, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Information: Line 6392, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301)
Warning: Line 6412, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607)
Warning: Line 6420, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607)
Information: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301)
Information: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301)
Warning: Line 6875, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607)
Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.012800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.080930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.118470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.064500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.222180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.018900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.395770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.141140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.106200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.067600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.024800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.917230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.546430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.496130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.440630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.379430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.311930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.237930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.066930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.200170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.777870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.720670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.657770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.588370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.512070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.428270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.336270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.235370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.124770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.003570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.488500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.018300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.954900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.885000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.808100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.723500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.630700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.529000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.417600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.295600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.162000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.015700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7276, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607)
Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.010900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.076730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.112970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.102030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.171170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.005170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.243200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.059000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.037000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.029620' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.115600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.310050' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.106840' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.078830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.047650' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517650' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.265830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.231260' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.192810' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.103180' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.051300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.126560' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.767930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.719030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.664730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.604730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.538430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.465430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.385130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.296530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.199330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.092430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.452470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.047370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.992270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.931170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.863570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.788970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.706870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.616570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.407970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.287970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.156570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.012270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.782500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.334700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.274000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.206500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.132100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.049900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.959500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.860100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.750700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.630600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.498700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.354300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.195900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.022200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7756, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.005660' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.026400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.012500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.003400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.089230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.068830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.065030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.060630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.055430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.049430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.034330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.025130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.123070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.101270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.097270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.092470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.086870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.080370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.064170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.054170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.157400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.138100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.134400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.130200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.125100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.119300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.112400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.095100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.084400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.058100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.023600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 7930, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.008030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.020570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.033400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8104, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.001300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.040530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.084200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.011800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8278, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005210' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.024200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.081130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.050130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.044530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.038130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.030730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.111770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.078770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.072770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.057870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.048670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.025770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.011770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.142700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.108100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.101800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.094500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.086000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.064900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.051900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.020100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8452, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.080330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.064330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.191170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.174170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.133870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.109670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.081270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.047470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.007070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.363900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.307300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.289200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.269100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.246900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.222000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.193200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.159200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.118800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.070100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.011400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.326470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.190670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.173170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.106770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.077770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.043570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.364000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.306800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.288400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.267800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.114300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.065300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.045930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.025630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.205070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.172270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.361100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.349000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.304100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.285900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.265700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.156300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.116300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.068100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.009900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.062530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.204570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.188770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.171170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.129570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.104770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.001770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.360900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.348800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.303400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.284900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.264200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.241200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.215500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.186100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.111500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.024200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.238930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.160830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.150130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.138230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.124930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.110330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.054930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.030730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.358470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.274870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.263270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.250470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.236270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.203270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.183870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.162070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.137370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.074370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.481000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.392600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.380400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.366800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.296200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.273500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.248000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.184300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.143400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.034400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.113170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.052070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.031570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.018970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.202300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.141100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.120600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.076500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.056600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.005900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.099970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.086870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.006430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.107270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.004270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.315500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.050000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.017800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.064470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.153500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.134200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.112700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.032900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.081670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.066470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.049570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.181000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.163400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.070900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.008900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.169000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.150100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.129000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.010070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.027800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.051530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.087970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.126000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.010070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.030700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.031710' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.073500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.197630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.023430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.264270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.074670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.046270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.014170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.331700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.128600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.098200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.063700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.024800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.072830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.162100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.003900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.016630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.220330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.058930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.032230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.001630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.303270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.129370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.100570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.029670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.387500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.202700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.172100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.136900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.096700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.050700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.005770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.071450' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.141920' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.347830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.147530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.082230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.043030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.457470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.239570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.206370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.168570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.125970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.568100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.334800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.299300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.258800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.213100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.161500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.103200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.037400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.038930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.097870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.021470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.010770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.159600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.079000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.067700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.055100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.041000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.025200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.007600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.010020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.050400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.175130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.243570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.048570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.313400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.104800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.071600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.034100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 18569, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.012500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.019730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.075570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.134400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.041500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.028800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.014600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.071600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.007930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.063070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.121500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.026700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.013800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.043300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.038030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.095470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.005570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.155800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.072400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.061100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.048500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.034500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.018700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.010150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.052900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.185030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.014230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.072370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.042470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.008370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.331900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.101800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.002700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.121230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.188370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.050900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.016500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.036500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.163930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.235070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.004670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.308200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.126600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.096400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.061500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.021900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.093530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.138570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.184800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.045300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.158730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.219270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.280800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.054300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.020100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.048000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.260930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.175530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.164330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.151830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.138130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.122830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.105630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.086130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.036330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.004030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.378470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.298070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.287070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.274970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.261570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.246770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.230470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.212270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.191970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.168670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.141470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.109170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.023070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.498600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.413700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.359200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.341900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.322700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.301500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.277600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.249900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.217500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.178700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.131800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.074800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.005400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.011850' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.095550' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.027300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.007700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.357330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.285330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.275330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.264230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.251830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.238130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.222730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.205330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.162030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.134430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.101330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.061330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.012930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.501570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.424970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.414270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.358170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.339870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.319270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.295370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.267470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.234370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.194470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.146270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.087670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.016870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.649100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.568500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.557200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.544700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.530700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.515200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.497900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.478700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.457200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.432800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.404500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.371100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.331300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.283200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.224900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.154100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.068300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.088970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.286730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.275230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.248630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.233330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.216330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.197630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.176730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.153030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.125330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.092830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.053830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.434570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.422070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.408370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.393270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.358170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.337970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.315570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.290670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.229370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.190270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.143370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.086470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.017270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.682100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.572900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.558200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.541900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.504300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.482700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.458900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.432600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.403300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.369600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.330200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.283400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.226900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.158100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.074600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.014420' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112640' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.040000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.030400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.019700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.418630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.340030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.317430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.304330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.273730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.255830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.235530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.212030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.184630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.152030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112730' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.502070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.490470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.477670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.463570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.447970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.430670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.411570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.390170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.366070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.338070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.305170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.266070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.218770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.161370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.091770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.757300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.668100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.655800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.642200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.627100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.610500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.592100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.571900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.549400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.495700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.462400' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.423100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.318900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.249700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.064000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.033710' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.139290' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.077500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.068900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.059500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.036700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.022800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.466430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.391930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.381630' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.357330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.343130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.327330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.309530' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.265830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.238230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.205430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.118030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.060130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.645570' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.566170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.555170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.542870' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.529170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.514070' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.497170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.478370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.457270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.433170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.405170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.372170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.332770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.285170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.227470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.157470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.072670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.828300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.744800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.733100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.720100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.705600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.689600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.671800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.652000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.630000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.605100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.576500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.543100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.503600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.456200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.398800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.244500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.142500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.018800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 22509, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.079500' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.009950' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.053600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.188430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026930' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.262270' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.086970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.058670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.337800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.150300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.119900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.085200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23233, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 23241, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.030130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.064970' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.101200' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.031330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.089170' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.016370' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.005670' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.149900' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.073000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.061800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.049000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.034300' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.017100' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 23726, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 23734, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607)
Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007892, 0.007892) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24109, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008184, 0.008184) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008070, 0.008070) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24712, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054)
Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002714, 0.002714) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004972, 0.004972) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25592, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002722, 0.002722) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054)
Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004363, 0.004363) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26032, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002777, 0.002777) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26862, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005672, 0.005672) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054)
Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002717, 0.002717) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27214, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002666, 0.002666) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002727, 0.002727) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002746, 0.002746) will be assigned to the attribute. (LBDB-1054)
Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008522, 0.008522) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28796, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006088, 0.006088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005895, 0.005895) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29711, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054)
Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002655, 0.002655) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005851, 0.005851) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005574, 0.005574) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002651, 0.002651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054)
Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004850, 0.004850) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004847, 0.004847) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004755, 0.004755) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31095, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002802, 0.002802) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054)
Warning: Line 31847, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed
when the delay table refers to a template which uses the
output loading of the related_output_pin in one of its dimension. (LBDB-396)
Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004952, 0.004952) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002663, 0.002663) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054)
Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005383, 0.005383) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33220, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607)
Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005797, 0.005797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054)
Warning: Line 33865, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.074075' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34023, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.046548' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002883, 0.002883) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002912, 0.002912) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002781, 0.002781) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005415, 0.005415) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004293, 0.004293) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002997, 0.002997) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002733, 0.002733) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011964, 0.011964) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011099, 0.011099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011988, 0.011988) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011123, 0.011123) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008267, 0.008267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008053, 0.008053) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002697, 0.002697) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002837, 0.002837) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002711, 0.002711) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005638, 0.005638) will be assigned to the attribute. (LBDB-1054)
Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054)
Warning: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10)
Warning: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10)
Warning: Line 6651, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10)
Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265)
Warning: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265)
Warning: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized
during functional optimization by Design Compiler. (LIBG-205)
Information: Line 33564, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip
sequential cell timing consistency check. (LIBG-275)
Technology library 'sky130_fd_sc_hvl__ff_5.5v_-40C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' ...
Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788)
Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002889, 0.002889) will be assigned to the attribute. (LBDB-1054)
Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054)
Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054)
Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003095, 0.003095) will be assigned to the attribute. (LBDB-1054)
Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.074302' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.065348' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.040406' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.133354' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.124391' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.098804' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.027321' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.285464' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.276332' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.251150' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.176459' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.444347' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.435002' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.408466' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.331557' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.113717' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054)
Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054)
Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.029751' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.016263' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.213476' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.198932' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.162862' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.067868' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.411539' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.396471' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.358659' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.262794' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.998363' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.980824' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.939342' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.842748' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.569878' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.314113' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.295497' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.251365' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.154334' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.881691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.078966' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.634790' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.615108' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.569337' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.469344' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.199428' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.393975' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.024099' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.003168' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.954691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.854022' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.583580' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.781446' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.285375' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.263894' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.214453' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.112447' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.843412' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.047715' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.944732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.921560' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.870368' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.763516' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.492755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.701248' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.609755' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.585124' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.530921' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.419202' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.151727' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.358487' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.006863' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054)
Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054)
Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.168172' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.156977' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.130850' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.065411' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.368976' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.357889' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.331973' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.266145' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.070407' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.943443' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.931708' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.904785' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.838845' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.643627' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.041193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.245383' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.233253' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.206076' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.139832' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.945326' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.342278' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.548844' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.536577' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.508483' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.442246' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.250230' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.645999' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020222, 0.020222) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020466, 0.020466) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.037316' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.034675' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.025681' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.000551' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004985, 0.004985) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002971, 0.002971) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.161363' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.143259' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.104732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.016348' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.354115' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.337089' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.298800' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.210256' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.931700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.912579' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.869812' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.779239' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.555064' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.243449' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.223207' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.178356' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.086565' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.864000' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.242030' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.559691' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.538524' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.491752' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.399059' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.174775' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.560179' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001990, 0.001990) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001945, 0.001945) will be assigned to the attribute. (LBDB-1054)
Technology library 'sky130_fd_sc_hvl__ff_5.5v_lowhv_5.5v_lv_1.95v_-40C' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' ...
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611)
Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019286, 0.019286) will be assigned to the attribute. (LBDB-1054)
Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018507, 0.018507) will be assigned to the attribute. (LBDB-1054)
Warning: Line 217, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025097, 0.025097) will be assigned to the attribute. (LBDB-1054)
Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024644, 0.024644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 408, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033833, 0.033833) will be assigned to the attribute. (LBDB-1054)
Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032644, 0.032644) will be assigned to the attribute. (LBDB-1054)
Warning: Line 600, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017427, 0.017427) will be assigned to the attribute. (LBDB-1054)
Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017381, 0.017381) will be assigned to the attribute. (LBDB-1054)
Warning: Line 792, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028876, 0.028876) will be assigned to the attribute. (LBDB-1054)
Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.027996, 0.027996) will be assigned to the attribute. (LBDB-1054)
Warning: Line 990, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.008897, 0.008897) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.008912, 0.008912) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1188, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212)
Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and
'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75)
Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins
that cannot be found in function attribute (PAD). (LIBG-41)
Warning: Line 1756, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 1952, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.850180, 0.850180) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.856414, 0.856414) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 2169, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.120581, 0.120581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.143906, 0.143906) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.098192, 0.098192) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.176862, 0.176862) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2397, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 2409, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.866088, 1.866088) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.531797, 2.531797) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045986, 0.045986) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045989, 0.045989) will be assigned to the attribute. (LBDB-1054)
Technology library 'sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' ...
Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library.
No default can be applied to this attribute. (LBDB-366)
Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006731, 0.006731) will be assigned to the attribute. (LBDB-1054)
Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006416, 0.006416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004949, 0.004949) will be assigned to the attribute. (LBDB-1054)
Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054)
Warning: Line 592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006623, 0.006623) will be assigned to the attribute. (LBDB-1054)
Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006308, 0.006308) will be assigned to the attribute. (LBDB-1054)
Warning: Line 851, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734)
Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607)
Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734)
Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607)
Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734)
Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607)
Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028484, 0.028484) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028373, 0.028373) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1102, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033119, 0.033119) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032530, 0.032530) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1293, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052544, 0.052544) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051707, 0.051707) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1485, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016757, 0.016757) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016651, 0.016651) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1683, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037416, 0.037416) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036384, 0.036384) will be assigned to the attribute. (LBDB-1054)
Warning: Line 1942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.013330, 0.013330) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012664, 0.012664) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2134, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.007022, 0.007022) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2328, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017673, 0.017673) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017565, 0.017565) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2519, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005610, 0.005610) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005320, 0.005320) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2778, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005769, 0.005769) will be assigned to the attribute. (LBDB-1054)
Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005310, 0.005310) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3338, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025261, 0.025261) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024267, 0.024267) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607)
Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006928, 0.006928) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006611, 0.006611) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3781, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.020202, 0.020202) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018312, 0.018312) will be assigned to the attribute. (LBDB-1054)
Warning: Line 3973, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033266, 0.033266) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033142, 0.033142) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4173, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007164, 0.007164) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006867, 0.006867) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4432, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014331, 0.014331) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.014156, 0.014156) will be assigned to the attribute. (LBDB-1054)
Warning: Line 4691, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 4979, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.790866' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.783013' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.764218' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.721609' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.620193' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.377830' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.007402' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.999293' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.980763' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.937421' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.836704' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.593219' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.001907' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.404455' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.396601' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.377865' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.335492' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.233732' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.989733' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.399238' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.656028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.654774' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.629442' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.589192' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.486642' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.241921' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.651035' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.649811' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.642391' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.622903' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.581726' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.477600' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.234470' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.643233' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.096807' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.088613' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.070288' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.028235' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.926466' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.683990' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.089942' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413401' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413399' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.399565' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.351770' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.240139' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.997404' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.436911' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740028' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740025' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.711765' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.669102' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.564377' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.337051' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-42.729706' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314903' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314896' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.294090' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.247391' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.150139' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.901314' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.311928' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.089020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065460' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065430' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.021637' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.909515' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.658936' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.089020' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5239, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 5435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 5747, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.760140' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.752320' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.726753' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.665465' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.494526' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.976628' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.967667' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.943239' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.881958' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.711017' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.182527' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.373495' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.364943' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.340112' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.278814' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.107878' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.579330' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.625081' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.618309' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.592849' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.530367' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.359473' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.830878' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.140634' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.619306' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.610521' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.585040' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.523108' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.352532' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.823952' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.133460' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.066992' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.056700' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.030780' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.971837' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.800261' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.271756' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.588689' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380104' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380102' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.357597' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.288794' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.129681' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-27.601118' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-25.899910' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.704998' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.695965' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.687366' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.638424' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.437828' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-42.912441' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.223488' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292130' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292122' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.250931' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.188744' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.018341' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-112.496468' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-110.804062' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.061371' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034576' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034546' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.957520' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.855011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.274750' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-383.605011' value,
which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272)
Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins
that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41)
Warning: Line 6007, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 6203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939)
Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212)
Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212)
Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and
'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75)
Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins
that cannot be found in three_state attribute (OE_N). (LIBG-41)
Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins
that cannot be found in function attribute (OUT). (LIBG-41)
Warning: Line 8592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939)
Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.140543, 0.140543) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.140242, 0.140242) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734)
Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607)
Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.133581, 0.133581) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.133241, 0.133241) will be assigned to the attribute. (LBDB-1054)
Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734)
Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an output/inout pin should either specify at least one non-static
'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712)
Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' ...
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' ...
Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054)
Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The pin 'VDDIO_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'VDDIO_PAD', The 'VDDIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vddio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully
Beginning read_lib...
Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec
Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ...
Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29)
Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value
1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721)
Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined
in 'operating_conditions'. (LBDB-750)
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The pin 'VSSIO_PAD' does not have a internal_power group. (LBDB-607)
Warning: Line 159, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'VSSIO_PAD', The 'VSSIO_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205)
Warning: Line 168, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607)
Warning: Line 176, Cell 'sky130_ef_io__vssio_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607)
Technology library 'sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib'
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v'
Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/housekeeping.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan-signoff.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravan.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/caravel_clocking.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/digital_pll.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/chip_io_alt.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'
Error: Expected '(' but found '['
at line 1986 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_control_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'
Error: Expected '(' but found '['
at line 1046 in '/home/passant/caravel_final/verilog/gl/gpio_signal_buffering_alt.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/spare_logic_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/user_id_programming.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/buff_flash_clkrst.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_1803.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0403.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block_0801.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mprj2_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'
Error: Expected ',' or ')' but found 'U'
at line 29 in '/home/passant/caravel_final/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'
Error: Expected '(' but found '#'
at line 32 in '/home/passant/caravel_final/verilog/gl/__user_project_wrapper.v'. (SVR-4)
Loading verilog file '/home/passant/caravel_final/verilog/gl/gpio_logic_high.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/xres_buf.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/constant_block.v'
Loading verilog file '/home/passant/caravel_final/verilog/gl/mgmt_protect_hv.v'
Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_analog_project_wrapper.v'
Loading db file '/tmp/_pt1rYdWOFo/1.db'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib'
Loading db file '/tmp/_pt1rSG0KNe/1.db'
Loading db file '/home/passant/caravel_final/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib'
Loading db file '/tmp/_pt1rw8OYTn/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib'
Loading db file '/tmp/_pt1r07PNKD/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib'
Loading db file '/tmp/_pt1rKWUVws/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rWX3yOI/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rS22t66/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rCoTgmz/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib'
Loading db file '/tmp/_pt1rWhMeC5/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rIAHsOF/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib'
Loading db file '/tmp/_pt1r4GsS1j/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rIQX291/1.db'
Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib'
Loading db file '/tmp/_pt1rigZWeO/1.db'
Warning: Ignoring caravan in verilog file /home/passant/caravel_final/verilog/gl/caravan.v, as another module with same name is read already. (LNK-067)
Warning: Ignoring caravel in verilog file /home/passant/caravel_final/verilog/gl/caravel-signoff.v, as another module with same name is read already. (LNK-067)
Linking design caravan...
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'gpio_signal_buffering_alt' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005)
Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005)
Information: Creating black box for sigbuf/gpio_signal_buffering_alt... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043)
Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043)
Information: Creating black box for por/simple_por... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043)
Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_125_670/sky130_fd_sc_hd__fill_2... (LNK-043)
Information: Creating black box for housekeeping/FILLER_120_445/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_89_651/sky130_ef_sc_hd__decap_12... (LNK-043)
Information: Creating black box for housekeeping/FILLER_125_673/sky130_fd_sc_hd__fill_2... (LNK-043)
Removed 242613 unconnected cells and blackboxes.
Information: Removing 29 unneeded designs..... (LNK-034)
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel.v' is not used in the current design. (LNK-039)
Information: Module 'caravan' in file '/home/passant/caravel_final/verilog/gl/caravan.v' is not used in the current design. (LNK-039)
Information: Module 'caravel' in file '/home/passant/caravel_final/verilog/gl/caravel-signoff.v' is not used in the current design. (LNK-039)
Information: Module 'gpio_defaults_block' in file '/home/passant/caravel_final/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039)
Information: Module 'chip_io' in file '/home/passant/caravel_final/verilog/gl/chip_io.v' is not used in the current design. (LNK-039)
Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039)
Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_proj_example.v' is not used in the current design. (LNK-039)
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
Design 'caravan' was successfully linked.
Information: There are 140369 leaf cells, ports, hiers and 69161 nets in the design (LNK-047)
Reading SDC version 2.1...
Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137)
Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137)
Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130)
Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137)
[INFO]: Setting output delay to: 4
[INFO]: Setting input delay to: 4
[INFO]: Cap load range: 5 : 10
[INFO]: Input transition range: 1 : 4
[INFO]: Setting derate factor to: 3.75 %
1
[INFO]: Spef mapping done
Information: Checked out license 'PrimeTime-SI' (PT-019)
Information: Log for 'read_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log'. (PARA-107)
Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'mprj/mprj' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004)
Error: Nothing matched for collection (SEL-005)
Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097)
Information: Log for 'report_annotated_parasitics command' will be generated in '/home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log'. (PARA-107)
Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015)
Information: Building multi voltage information for entire design. (MV-022)
Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042)
Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060)
Information: Inferring 1 clock-gating checks. (PTE-017)
Information: Starting crosstalk aware timing iteration 1. (XTALK-001)
Information: Starting crosstalk aware timing iteration 2. (XTALK-001)
Information: Number of nets evaluated in the previous iteration: 59263. (XTALK-105)
****************************************
Report : write_sdf /home/passant/caravel_final/signoff/caravan/primetime-signoff/sdf/min/caravan.ff.sdf
Design : caravan
Version: T-2022.03-SP3
Date : Fri Nov 11 05:38:19 2022
****************************************
Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X
(MEXT-20)
Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X
(MEXT-20)
Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X
(MEXT-20)
Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80)
Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105)
Information: Elapsed time for model extraction: 4 seconds (MEXT-096)
Wrote the LIB file /home/passant/caravel_final/signoff/caravan/primetime-signoff/lib/min/caravan.ff.lib
Information: Elapsed time for lib file writing: 0 seconds (MEXT-096)
Information: Defining new variable 'verilog'. (CMD-041)
Suppressed Messages Summary:
Id Severity Occurrences Suppressed
-------------------------------------------------------------------------------
DB-1 Error 14 14
PARA-040 Warning 482374 477388
LNK-043 Information 181292 181192
SVR-2 Information 4 4
Total 4 types of messages are suppressed
Maximum memory usage for this session: 3068.84 MB
CPU usage for this session: 240 seconds
Elapsed time for this session: 87 seconds
Diagnostics summary: 69 errors, 142 warnings, 125 informationals
Thank you for using pt_shell!
STA run Failed!
Reading parasitics failed. check log: /home/passant/caravel_final/signoff/caravan/primetime-signoff/logs/caravan-min-parasitics.log