mirror of https://github.com/efabless/caravel.git
Merge remote-tracking branch 'origin/main' into main
This commit is contained in:
commit
f5f62923ff
22
Makefile
22
Makefile
|
@ -69,6 +69,9 @@ CARAVEL_ROOT ?= $(shell pwd)
|
|||
# User project root
|
||||
UPRJ_ROOT ?= $(shell pwd)
|
||||
|
||||
# MANAGEMENT AREA ROOT
|
||||
MGMT_AREA_ROOT ?= $(shell pwd)/mgmt_core_wrapper
|
||||
|
||||
# Build tasks such as make ship, make generate_fill, make set_user_id, make final run in the foreground (1) or background (0)
|
||||
FOREGROUND ?= 1
|
||||
|
||||
|
@ -464,7 +467,7 @@ help:
|
|||
# RCX Extraction
|
||||
BLOCKS = $(shell cd openlane && find * -maxdepth 0 -type d)
|
||||
RCX_BLOCKS = $(foreach block, $(BLOCKS), rcx-$(block))
|
||||
OPENLANE_IMAGE_NAME=efabless/openlane:2021.09.16_03.28.21
|
||||
OPENLANE_IMAGE_NAME=efabless/openlane:2021.11.23_01.42.34
|
||||
$(RCX_BLOCKS): rcx-% : ./def/%.def
|
||||
echo "Running RC Extraction on $*"
|
||||
mkdir -p ./def/tmp
|
||||
|
@ -474,6 +477,7 @@ $(RCX_BLOCKS): rcx-% : ./def/%.def
|
|||
read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(STD_CELL_LIBRARY)/lib/$(STD_CELL_LIBRARY)__tt_025C_1v80.lib;\
|
||||
read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(SPECIAL_VOLTAGE_LIBRARY)/lib/$(SPECIAL_VOLTAGE_LIBRARY)__tt_025C_3v30.lib;\
|
||||
set std_cell_lef ./def/tmp/merged.lef;\
|
||||
set mgmt_area_lef $(MGMT_AREA_ROOT)/lef/mgmt_core_wrapper.lef;\
|
||||
if {[catch {read_lef \$$std_cell_lef} errmsg]} {\
|
||||
puts stderr \$$errmsg;\
|
||||
exit 1;\
|
||||
|
@ -484,6 +488,10 @@ $(RCX_BLOCKS): rcx-% : ./def/%.def
|
|||
exit 1;\
|
||||
}\
|
||||
};\
|
||||
if {[catch {read_lef \$$mgmt_area_lef} errmsg]} {\
|
||||
puts stderr \$$errmsg;\
|
||||
exit 1;\
|
||||
};\
|
||||
if {[catch {read_def -order_wires ./def/$*.def} errmsg]} {\
|
||||
puts stderr \$$errmsg;\
|
||||
exit 1;\
|
||||
|
@ -503,11 +511,12 @@ $(RCX_BLOCKS): rcx-% : ./def/%.def
|
|||
extract_parasitics -ext_model_file ${PDK_ROOT}/sky130A/libs.tech/openlane/rcx_rules.info -corner_cnt 1 -max_res 50 -coupling_threshold 0.1 -cc_model 10 -context_depth 5;\
|
||||
write_spef ./def/tmp/$*.spef" > ./def/tmp/or_rcx_$*.tcl
|
||||
## Generate Spef file
|
||||
docker run -it -v $(OPENLANE_ROOT):/openLANE_flow -v $(PDK_ROOT):$(PDK_ROOT) -v $(PWD):/caravel -e PDK_ROOT=$(PDK_ROOT) -u $(shell id -u $(USER)):$(shell id -g $(USER)) $(OPENLANE_IMAGE_NAME) \
|
||||
docker run -it -v $(OPENLANE_ROOT):/openLANE_flow -v $(PDK_ROOT):$(PDK_ROOT) -v $(PWD):/caravel -v $(MGMT_AREA_ROOT):$(MGMT_AREA_ROOT) -e PDK_ROOT=$(PDK_ROOT) -u $(shell id -u $(USER)):$(shell id -g $(USER)) $(OPENLANE_IMAGE_NAME) \
|
||||
sh -c " cd /caravel; openroad -exit ./def/tmp/or_rcx_$*.tcl |& tee ./def/tmp/or_rcx_$*.log"
|
||||
## Run OpenSTA
|
||||
echo "\
|
||||
set std_cell_lef ./def/tmp/merged.lef;\
|
||||
set mgmt_area_lef $(MGMT_AREA_ROOT)/lef/mgmt_core_wrapper.lef;\
|
||||
if {[catch {read_lef \$$std_cell_lef} errmsg]} {\
|
||||
puts stderr \$$errmsg;\
|
||||
exit 1;\
|
||||
|
@ -518,17 +527,20 @@ $(RCX_BLOCKS): rcx-% : ./def/%.def
|
|||
exit 1;\
|
||||
}\
|
||||
};\
|
||||
if {[catch {read_lef \$$mgmt_area_lef} errmsg]} {\
|
||||
puts stderr \$$errmsg;\
|
||||
exit 1;\
|
||||
};\
|
||||
set_cmd_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm -distance um;\
|
||||
read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(STD_CELL_LIBRARY)/lib/$(STD_CELL_LIBRARY)__tt_025C_1v80.lib;\
|
||||
read_verilog ./verilog/gl/$*.v;\
|
||||
link_design $*;\
|
||||
read_def ./def/$*.def;\
|
||||
read_spef ./def/tmp/$*.spef;\
|
||||
read_sdc -echo ./openlane/$*/base.sdc;\
|
||||
write_sdf $*.sdf;\
|
||||
report_checks -fields {capacitance slew input_pins nets fanout} -path_delay min_max -group_count 1000;\
|
||||
report_check_types -max_slew -max_capacitance -max_fanout -violators;\
|
||||
" > ./def/tmp/or_sta_$*.tcl
|
||||
docker run -it -v $(OPENLANE_ROOT):/openLANE_flow -v $(PDK_ROOT):$(PDK_ROOT) -v $(PWD):/caravel -e PDK_ROOT=$(PDK_ROOT) -u $(shell id -u $(USER)):$(shell id -g $(USER)) $(OPENLANE_IMAGE_NAME) \
|
||||
docker run -it -v $(OPENLANE_ROOT):/openLANE_flow -v $(PDK_ROOT):$(PDK_ROOT) -v $(PWD):/caravel -v $(MGMT_AREA_ROOT):$(MGMT_AREA_ROOT) -e PDK_ROOT=$(PDK_ROOT) -u $(shell id -u $(USER)):$(shell id -g $(USER)) $(OPENLANE_IMAGE_NAME) \
|
||||
sh -c "cd /caravel; openroad -exit ./def/tmp/or_sta_$*.tcl |& tee ./def/tmp/or_sta_$*.log"
|
||||
|
||||
###########################################################################
|
||||
|
|
File diff suppressed because it is too large
Load Diff
318530
def/housekeeping.def
318530
def/housekeeping.def
File diff suppressed because it is too large
Load Diff
Binary file not shown.
Binary file not shown.
|
@ -232,8 +232,8 @@ MACRO caravel_clocking
|
|||
RECT 80.645 -0.085 80.815 0.085 ;
|
||||
RECT 88.005 -0.085 88.175 0.085 ;
|
||||
RECT 89.840 -0.055 89.960 0.055 ;
|
||||
RECT 90.765 -0.085 90.935 0.085 ;
|
||||
RECT 92.600 -0.055 92.720 0.055 ;
|
||||
RECT 90.775 -0.050 90.935 0.060 ;
|
||||
RECT 92.605 -0.085 92.775 0.085 ;
|
||||
RECT 93.985 -0.085 94.155 0.085 ;
|
||||
LAYER li1 ;
|
||||
RECT 0.000 0.085 94.300 54.485 ;
|
||||
|
|
|
@ -3215,7 +3215,7 @@ MACRO housekeeping
|
|||
LAYER li1 ;
|
||||
RECT 5.520 10.795 294.400 538.645 ;
|
||||
LAYER met1 ;
|
||||
RECT 0.070 10.640 300.220 539.880 ;
|
||||
RECT 0.070 10.240 300.220 539.540 ;
|
||||
LAYER met2 ;
|
||||
RECT 0.100 546.670 0.730 547.130 ;
|
||||
RECT 1.570 546.670 3.030 547.130 ;
|
||||
|
@ -3348,435 +3348,435 @@ MACRO housekeeping
|
|||
RECT 296.890 546.670 298.350 547.130 ;
|
||||
RECT 299.190 546.670 300.220 547.130 ;
|
||||
RECT 0.100 4.280 300.220 546.670 ;
|
||||
RECT 0.100 4.000 1.190 4.280 ;
|
||||
RECT 2.030 4.000 4.410 4.280 ;
|
||||
RECT 5.250 4.000 7.630 4.280 ;
|
||||
RECT 8.470 4.000 10.850 4.280 ;
|
||||
RECT 11.690 4.000 14.070 4.280 ;
|
||||
RECT 14.910 4.000 17.290 4.280 ;
|
||||
RECT 18.130 4.000 20.510 4.280 ;
|
||||
RECT 21.350 4.000 23.730 4.280 ;
|
||||
RECT 24.570 4.000 26.950 4.280 ;
|
||||
RECT 27.790 4.000 30.170 4.280 ;
|
||||
RECT 31.010 4.000 33.390 4.280 ;
|
||||
RECT 34.230 4.000 37.070 4.280 ;
|
||||
RECT 37.910 4.000 40.290 4.280 ;
|
||||
RECT 41.130 4.000 43.510 4.280 ;
|
||||
RECT 44.350 4.000 46.730 4.280 ;
|
||||
RECT 47.570 4.000 49.950 4.280 ;
|
||||
RECT 50.790 4.000 53.170 4.280 ;
|
||||
RECT 54.010 4.000 56.390 4.280 ;
|
||||
RECT 57.230 4.000 59.610 4.280 ;
|
||||
RECT 60.450 4.000 62.830 4.280 ;
|
||||
RECT 63.670 4.000 66.050 4.280 ;
|
||||
RECT 66.890 4.000 69.730 4.280 ;
|
||||
RECT 70.570 4.000 72.950 4.280 ;
|
||||
RECT 73.790 4.000 76.170 4.280 ;
|
||||
RECT 77.010 4.000 79.390 4.280 ;
|
||||
RECT 80.230 4.000 82.610 4.280 ;
|
||||
RECT 83.450 4.000 85.830 4.280 ;
|
||||
RECT 86.670 4.000 89.050 4.280 ;
|
||||
RECT 89.890 4.000 92.270 4.280 ;
|
||||
RECT 93.110 4.000 95.490 4.280 ;
|
||||
RECT 96.330 4.000 98.710 4.280 ;
|
||||
RECT 99.550 4.000 102.390 4.280 ;
|
||||
RECT 103.230 4.000 105.610 4.280 ;
|
||||
RECT 106.450 4.000 108.830 4.280 ;
|
||||
RECT 109.670 4.000 112.050 4.280 ;
|
||||
RECT 112.890 4.000 115.270 4.280 ;
|
||||
RECT 116.110 4.000 118.490 4.280 ;
|
||||
RECT 119.330 4.000 121.710 4.280 ;
|
||||
RECT 122.550 4.000 124.930 4.280 ;
|
||||
RECT 125.770 4.000 128.150 4.280 ;
|
||||
RECT 128.990 4.000 131.370 4.280 ;
|
||||
RECT 132.210 4.000 135.050 4.280 ;
|
||||
RECT 135.890 4.000 138.270 4.280 ;
|
||||
RECT 139.110 4.000 141.490 4.280 ;
|
||||
RECT 142.330 4.000 144.710 4.280 ;
|
||||
RECT 145.550 4.000 147.930 4.280 ;
|
||||
RECT 148.770 4.000 151.150 4.280 ;
|
||||
RECT 151.990 4.000 154.370 4.280 ;
|
||||
RECT 155.210 4.000 157.590 4.280 ;
|
||||
RECT 158.430 4.000 160.810 4.280 ;
|
||||
RECT 161.650 4.000 164.030 4.280 ;
|
||||
RECT 164.870 4.000 167.250 4.280 ;
|
||||
RECT 168.090 4.000 170.930 4.280 ;
|
||||
RECT 171.770 4.000 174.150 4.280 ;
|
||||
RECT 174.990 4.000 177.370 4.280 ;
|
||||
RECT 178.210 4.000 180.590 4.280 ;
|
||||
RECT 181.430 4.000 183.810 4.280 ;
|
||||
RECT 184.650 4.000 187.030 4.280 ;
|
||||
RECT 187.870 4.000 190.250 4.280 ;
|
||||
RECT 191.090 4.000 193.470 4.280 ;
|
||||
RECT 194.310 4.000 196.690 4.280 ;
|
||||
RECT 197.530 4.000 199.910 4.280 ;
|
||||
RECT 200.750 4.000 203.590 4.280 ;
|
||||
RECT 204.430 4.000 206.810 4.280 ;
|
||||
RECT 207.650 4.000 210.030 4.280 ;
|
||||
RECT 210.870 4.000 213.250 4.280 ;
|
||||
RECT 214.090 4.000 216.470 4.280 ;
|
||||
RECT 217.310 4.000 219.690 4.280 ;
|
||||
RECT 220.530 4.000 222.910 4.280 ;
|
||||
RECT 223.750 4.000 226.130 4.280 ;
|
||||
RECT 226.970 4.000 229.350 4.280 ;
|
||||
RECT 230.190 4.000 232.570 4.280 ;
|
||||
RECT 233.410 4.000 236.250 4.280 ;
|
||||
RECT 237.090 4.000 239.470 4.280 ;
|
||||
RECT 240.310 4.000 242.690 4.280 ;
|
||||
RECT 243.530 4.000 245.910 4.280 ;
|
||||
RECT 246.750 4.000 249.130 4.280 ;
|
||||
RECT 249.970 4.000 252.350 4.280 ;
|
||||
RECT 253.190 4.000 255.570 4.280 ;
|
||||
RECT 256.410 4.000 258.790 4.280 ;
|
||||
RECT 259.630 4.000 262.010 4.280 ;
|
||||
RECT 262.850 4.000 265.230 4.280 ;
|
||||
RECT 266.070 4.000 268.910 4.280 ;
|
||||
RECT 269.750 4.000 272.130 4.280 ;
|
||||
RECT 272.970 4.000 275.350 4.280 ;
|
||||
RECT 276.190 4.000 278.570 4.280 ;
|
||||
RECT 279.410 4.000 281.790 4.280 ;
|
||||
RECT 282.630 4.000 285.010 4.280 ;
|
||||
RECT 285.850 4.000 288.230 4.280 ;
|
||||
RECT 289.070 4.000 291.450 4.280 ;
|
||||
RECT 292.290 4.000 294.670 4.280 ;
|
||||
RECT 295.510 4.000 297.890 4.280 ;
|
||||
RECT 298.730 4.000 300.220 4.280 ;
|
||||
RECT 0.100 2.195 1.190 4.280 ;
|
||||
RECT 2.030 2.195 4.410 4.280 ;
|
||||
RECT 5.250 2.195 7.630 4.280 ;
|
||||
RECT 8.470 2.195 10.850 4.280 ;
|
||||
RECT 11.690 2.195 14.070 4.280 ;
|
||||
RECT 14.910 2.195 17.290 4.280 ;
|
||||
RECT 18.130 2.195 20.510 4.280 ;
|
||||
RECT 21.350 2.195 23.730 4.280 ;
|
||||
RECT 24.570 2.195 26.950 4.280 ;
|
||||
RECT 27.790 2.195 30.170 4.280 ;
|
||||
RECT 31.010 2.195 33.390 4.280 ;
|
||||
RECT 34.230 2.195 37.070 4.280 ;
|
||||
RECT 37.910 2.195 40.290 4.280 ;
|
||||
RECT 41.130 2.195 43.510 4.280 ;
|
||||
RECT 44.350 2.195 46.730 4.280 ;
|
||||
RECT 47.570 2.195 49.950 4.280 ;
|
||||
RECT 50.790 2.195 53.170 4.280 ;
|
||||
RECT 54.010 2.195 56.390 4.280 ;
|
||||
RECT 57.230 2.195 59.610 4.280 ;
|
||||
RECT 60.450 2.195 62.830 4.280 ;
|
||||
RECT 63.670 2.195 66.050 4.280 ;
|
||||
RECT 66.890 2.195 69.730 4.280 ;
|
||||
RECT 70.570 2.195 72.950 4.280 ;
|
||||
RECT 73.790 2.195 76.170 4.280 ;
|
||||
RECT 77.010 2.195 79.390 4.280 ;
|
||||
RECT 80.230 2.195 82.610 4.280 ;
|
||||
RECT 83.450 2.195 85.830 4.280 ;
|
||||
RECT 86.670 2.195 89.050 4.280 ;
|
||||
RECT 89.890 2.195 92.270 4.280 ;
|
||||
RECT 93.110 2.195 95.490 4.280 ;
|
||||
RECT 96.330 2.195 98.710 4.280 ;
|
||||
RECT 99.550 2.195 102.390 4.280 ;
|
||||
RECT 103.230 2.195 105.610 4.280 ;
|
||||
RECT 106.450 2.195 108.830 4.280 ;
|
||||
RECT 109.670 2.195 112.050 4.280 ;
|
||||
RECT 112.890 2.195 115.270 4.280 ;
|
||||
RECT 116.110 2.195 118.490 4.280 ;
|
||||
RECT 119.330 2.195 121.710 4.280 ;
|
||||
RECT 122.550 2.195 124.930 4.280 ;
|
||||
RECT 125.770 2.195 128.150 4.280 ;
|
||||
RECT 128.990 2.195 131.370 4.280 ;
|
||||
RECT 132.210 2.195 135.050 4.280 ;
|
||||
RECT 135.890 2.195 138.270 4.280 ;
|
||||
RECT 139.110 2.195 141.490 4.280 ;
|
||||
RECT 142.330 2.195 144.710 4.280 ;
|
||||
RECT 145.550 2.195 147.930 4.280 ;
|
||||
RECT 148.770 2.195 151.150 4.280 ;
|
||||
RECT 151.990 2.195 154.370 4.280 ;
|
||||
RECT 155.210 2.195 157.590 4.280 ;
|
||||
RECT 158.430 2.195 160.810 4.280 ;
|
||||
RECT 161.650 2.195 164.030 4.280 ;
|
||||
RECT 164.870 2.195 167.250 4.280 ;
|
||||
RECT 168.090 2.195 170.930 4.280 ;
|
||||
RECT 171.770 2.195 174.150 4.280 ;
|
||||
RECT 174.990 2.195 177.370 4.280 ;
|
||||
RECT 178.210 2.195 180.590 4.280 ;
|
||||
RECT 181.430 2.195 183.810 4.280 ;
|
||||
RECT 184.650 2.195 187.030 4.280 ;
|
||||
RECT 187.870 2.195 190.250 4.280 ;
|
||||
RECT 191.090 2.195 193.470 4.280 ;
|
||||
RECT 194.310 2.195 196.690 4.280 ;
|
||||
RECT 197.530 2.195 199.910 4.280 ;
|
||||
RECT 200.750 2.195 203.590 4.280 ;
|
||||
RECT 204.430 2.195 206.810 4.280 ;
|
||||
RECT 207.650 2.195 210.030 4.280 ;
|
||||
RECT 210.870 2.195 213.250 4.280 ;
|
||||
RECT 214.090 2.195 216.470 4.280 ;
|
||||
RECT 217.310 2.195 219.690 4.280 ;
|
||||
RECT 220.530 2.195 222.910 4.280 ;
|
||||
RECT 223.750 2.195 226.130 4.280 ;
|
||||
RECT 226.970 2.195 229.350 4.280 ;
|
||||
RECT 230.190 2.195 232.570 4.280 ;
|
||||
RECT 233.410 2.195 236.250 4.280 ;
|
||||
RECT 237.090 2.195 239.470 4.280 ;
|
||||
RECT 240.310 2.195 242.690 4.280 ;
|
||||
RECT 243.530 2.195 245.910 4.280 ;
|
||||
RECT 246.750 2.195 249.130 4.280 ;
|
||||
RECT 249.970 2.195 252.350 4.280 ;
|
||||
RECT 253.190 2.195 255.570 4.280 ;
|
||||
RECT 256.410 2.195 258.790 4.280 ;
|
||||
RECT 259.630 2.195 262.010 4.280 ;
|
||||
RECT 262.850 2.195 265.230 4.280 ;
|
||||
RECT 266.070 2.195 268.910 4.280 ;
|
||||
RECT 269.750 2.195 272.130 4.280 ;
|
||||
RECT 272.970 2.195 275.350 4.280 ;
|
||||
RECT 276.190 2.195 278.570 4.280 ;
|
||||
RECT 279.410 2.195 281.790 4.280 ;
|
||||
RECT 282.630 2.195 285.010 4.280 ;
|
||||
RECT 285.850 2.195 288.230 4.280 ;
|
||||
RECT 289.070 2.195 291.450 4.280 ;
|
||||
RECT 292.290 2.195 294.670 4.280 ;
|
||||
RECT 295.510 2.195 297.890 4.280 ;
|
||||
RECT 298.730 2.195 300.220 4.280 ;
|
||||
LAYER met3 ;
|
||||
RECT 4.400 547.080 300.080 547.890 ;
|
||||
RECT 4.400 547.040 295.830 547.080 ;
|
||||
RECT 1.905 545.680 295.830 547.040 ;
|
||||
RECT 1.905 543.680 300.080 545.680 ;
|
||||
RECT 1.445 545.680 295.830 547.040 ;
|
||||
RECT 1.445 543.680 300.080 545.680 ;
|
||||
RECT 4.400 542.280 300.080 543.680 ;
|
||||
RECT 1.905 538.920 300.080 542.280 ;
|
||||
RECT 1.905 538.240 295.830 538.920 ;
|
||||
RECT 1.445 538.920 300.080 542.280 ;
|
||||
RECT 1.445 538.240 295.830 538.920 ;
|
||||
RECT 4.400 537.520 295.830 538.240 ;
|
||||
RECT 4.400 536.840 300.080 537.520 ;
|
||||
RECT 1.905 533.480 300.080 536.840 ;
|
||||
RECT 1.445 533.480 300.080 536.840 ;
|
||||
RECT 4.400 532.080 300.080 533.480 ;
|
||||
RECT 1.905 530.080 300.080 532.080 ;
|
||||
RECT 1.905 528.680 295.830 530.080 ;
|
||||
RECT 1.905 528.040 300.080 528.680 ;
|
||||
RECT 1.445 530.080 300.080 532.080 ;
|
||||
RECT 1.445 528.680 295.830 530.080 ;
|
||||
RECT 1.445 528.040 300.080 528.680 ;
|
||||
RECT 4.400 526.640 300.080 528.040 ;
|
||||
RECT 1.905 523.280 300.080 526.640 ;
|
||||
RECT 1.445 523.280 300.080 526.640 ;
|
||||
RECT 4.400 521.920 300.080 523.280 ;
|
||||
RECT 4.400 521.880 295.830 521.920 ;
|
||||
RECT 1.905 520.520 295.830 521.880 ;
|
||||
RECT 1.905 517.840 300.080 520.520 ;
|
||||
RECT 1.445 520.520 295.830 521.880 ;
|
||||
RECT 1.445 517.840 300.080 520.520 ;
|
||||
RECT 4.400 516.440 300.080 517.840 ;
|
||||
RECT 1.905 513.080 300.080 516.440 ;
|
||||
RECT 1.445 513.080 300.080 516.440 ;
|
||||
RECT 4.400 511.680 295.830 513.080 ;
|
||||
RECT 1.905 507.640 300.080 511.680 ;
|
||||
RECT 1.445 507.640 300.080 511.680 ;
|
||||
RECT 4.400 506.240 300.080 507.640 ;
|
||||
RECT 1.905 504.920 300.080 506.240 ;
|
||||
RECT 1.905 503.520 295.830 504.920 ;
|
||||
RECT 1.905 502.880 300.080 503.520 ;
|
||||
RECT 1.445 504.920 300.080 506.240 ;
|
||||
RECT 1.445 503.520 295.830 504.920 ;
|
||||
RECT 1.445 502.880 300.080 503.520 ;
|
||||
RECT 4.400 501.480 300.080 502.880 ;
|
||||
RECT 1.905 497.440 300.080 501.480 ;
|
||||
RECT 1.445 497.440 300.080 501.480 ;
|
||||
RECT 4.400 496.080 300.080 497.440 ;
|
||||
RECT 4.400 496.040 295.830 496.080 ;
|
||||
RECT 1.905 494.680 295.830 496.040 ;
|
||||
RECT 1.905 492.680 300.080 494.680 ;
|
||||
RECT 1.445 494.680 295.830 496.040 ;
|
||||
RECT 1.445 492.680 300.080 494.680 ;
|
||||
RECT 4.400 491.280 300.080 492.680 ;
|
||||
RECT 1.905 487.920 300.080 491.280 ;
|
||||
RECT 1.905 487.240 295.830 487.920 ;
|
||||
RECT 1.445 487.920 300.080 491.280 ;
|
||||
RECT 1.445 487.240 295.830 487.920 ;
|
||||
RECT 4.400 486.520 295.830 487.240 ;
|
||||
RECT 4.400 485.840 300.080 486.520 ;
|
||||
RECT 1.905 482.480 300.080 485.840 ;
|
||||
RECT 1.445 482.480 300.080 485.840 ;
|
||||
RECT 4.400 481.080 300.080 482.480 ;
|
||||
RECT 1.905 479.080 300.080 481.080 ;
|
||||
RECT 1.905 477.680 295.830 479.080 ;
|
||||
RECT 1.905 477.040 300.080 477.680 ;
|
||||
RECT 1.445 479.080 300.080 481.080 ;
|
||||
RECT 1.445 477.680 295.830 479.080 ;
|
||||
RECT 1.445 477.040 300.080 477.680 ;
|
||||
RECT 4.400 475.640 300.080 477.040 ;
|
||||
RECT 1.905 472.280 300.080 475.640 ;
|
||||
RECT 1.445 472.280 300.080 475.640 ;
|
||||
RECT 4.400 470.920 300.080 472.280 ;
|
||||
RECT 4.400 470.880 295.830 470.920 ;
|
||||
RECT 1.905 469.520 295.830 470.880 ;
|
||||
RECT 1.905 466.840 300.080 469.520 ;
|
||||
RECT 1.445 469.520 295.830 470.880 ;
|
||||
RECT 1.445 466.840 300.080 469.520 ;
|
||||
RECT 4.400 465.440 300.080 466.840 ;
|
||||
RECT 1.905 462.080 300.080 465.440 ;
|
||||
RECT 1.445 462.080 300.080 465.440 ;
|
||||
RECT 4.400 460.680 295.830 462.080 ;
|
||||
RECT 1.905 456.640 300.080 460.680 ;
|
||||
RECT 1.445 456.640 300.080 460.680 ;
|
||||
RECT 4.400 455.240 300.080 456.640 ;
|
||||
RECT 1.905 453.920 300.080 455.240 ;
|
||||
RECT 1.905 452.520 295.830 453.920 ;
|
||||
RECT 1.905 451.880 300.080 452.520 ;
|
||||
RECT 1.445 453.920 300.080 455.240 ;
|
||||
RECT 1.445 452.520 295.830 453.920 ;
|
||||
RECT 1.445 451.880 300.080 452.520 ;
|
||||
RECT 4.400 450.480 300.080 451.880 ;
|
||||
RECT 1.905 446.440 300.080 450.480 ;
|
||||
RECT 1.445 446.440 300.080 450.480 ;
|
||||
RECT 4.400 445.760 300.080 446.440 ;
|
||||
RECT 4.400 445.040 295.830 445.760 ;
|
||||
RECT 1.905 444.360 295.830 445.040 ;
|
||||
RECT 1.905 441.680 300.080 444.360 ;
|
||||
RECT 1.445 444.360 295.830 445.040 ;
|
||||
RECT 1.445 441.680 300.080 444.360 ;
|
||||
RECT 4.400 440.280 300.080 441.680 ;
|
||||
RECT 1.905 436.920 300.080 440.280 ;
|
||||
RECT 1.905 436.240 295.830 436.920 ;
|
||||
RECT 1.445 436.920 300.080 440.280 ;
|
||||
RECT 1.445 436.240 295.830 436.920 ;
|
||||
RECT 4.400 435.520 295.830 436.240 ;
|
||||
RECT 4.400 434.840 300.080 435.520 ;
|
||||
RECT 1.905 431.480 300.080 434.840 ;
|
||||
RECT 1.445 431.480 300.080 434.840 ;
|
||||
RECT 4.400 430.080 300.080 431.480 ;
|
||||
RECT 1.905 428.760 300.080 430.080 ;
|
||||
RECT 1.905 427.360 295.830 428.760 ;
|
||||
RECT 1.905 426.040 300.080 427.360 ;
|
||||
RECT 1.445 428.760 300.080 430.080 ;
|
||||
RECT 1.445 427.360 295.830 428.760 ;
|
||||
RECT 1.445 426.040 300.080 427.360 ;
|
||||
RECT 4.400 424.640 300.080 426.040 ;
|
||||
RECT 1.905 421.280 300.080 424.640 ;
|
||||
RECT 1.445 421.280 300.080 424.640 ;
|
||||
RECT 4.400 419.920 300.080 421.280 ;
|
||||
RECT 4.400 419.880 295.830 419.920 ;
|
||||
RECT 1.905 418.520 295.830 419.880 ;
|
||||
RECT 1.905 415.840 300.080 418.520 ;
|
||||
RECT 1.445 418.520 295.830 419.880 ;
|
||||
RECT 1.445 415.840 300.080 418.520 ;
|
||||
RECT 4.400 414.440 300.080 415.840 ;
|
||||
RECT 1.905 411.760 300.080 414.440 ;
|
||||
RECT 1.905 411.080 295.830 411.760 ;
|
||||
RECT 1.445 411.760 300.080 414.440 ;
|
||||
RECT 1.445 411.080 295.830 411.760 ;
|
||||
RECT 4.400 410.360 295.830 411.080 ;
|
||||
RECT 4.400 409.680 300.080 410.360 ;
|
||||
RECT 1.905 405.640 300.080 409.680 ;
|
||||
RECT 1.445 405.640 300.080 409.680 ;
|
||||
RECT 4.400 404.240 300.080 405.640 ;
|
||||
RECT 1.905 402.920 300.080 404.240 ;
|
||||
RECT 1.905 401.520 295.830 402.920 ;
|
||||
RECT 1.905 400.880 300.080 401.520 ;
|
||||
RECT 1.445 402.920 300.080 404.240 ;
|
||||
RECT 1.445 401.520 295.830 402.920 ;
|
||||
RECT 1.445 400.880 300.080 401.520 ;
|
||||
RECT 4.400 399.480 300.080 400.880 ;
|
||||
RECT 1.905 395.440 300.080 399.480 ;
|
||||
RECT 1.445 395.440 300.080 399.480 ;
|
||||
RECT 4.400 394.760 300.080 395.440 ;
|
||||
RECT 4.400 394.040 295.830 394.760 ;
|
||||
RECT 1.905 393.360 295.830 394.040 ;
|
||||
RECT 1.905 390.680 300.080 393.360 ;
|
||||
RECT 1.445 393.360 295.830 394.040 ;
|
||||
RECT 1.445 390.680 300.080 393.360 ;
|
||||
RECT 4.400 389.280 300.080 390.680 ;
|
||||
RECT 1.905 385.920 300.080 389.280 ;
|
||||
RECT 1.905 385.240 295.830 385.920 ;
|
||||
RECT 1.445 385.920 300.080 389.280 ;
|
||||
RECT 1.445 385.240 295.830 385.920 ;
|
||||
RECT 4.400 384.520 295.830 385.240 ;
|
||||
RECT 4.400 383.840 300.080 384.520 ;
|
||||
RECT 1.905 380.480 300.080 383.840 ;
|
||||
RECT 1.445 380.480 300.080 383.840 ;
|
||||
RECT 4.400 379.080 300.080 380.480 ;
|
||||
RECT 1.905 377.760 300.080 379.080 ;
|
||||
RECT 1.905 376.360 295.830 377.760 ;
|
||||
RECT 1.905 375.040 300.080 376.360 ;
|
||||
RECT 1.445 377.760 300.080 379.080 ;
|
||||
RECT 1.445 376.360 295.830 377.760 ;
|
||||
RECT 1.445 375.040 300.080 376.360 ;
|
||||
RECT 4.400 373.640 300.080 375.040 ;
|
||||
RECT 1.905 370.280 300.080 373.640 ;
|
||||
RECT 1.445 370.280 300.080 373.640 ;
|
||||
RECT 4.400 368.920 300.080 370.280 ;
|
||||
RECT 4.400 368.880 295.830 368.920 ;
|
||||
RECT 1.905 367.520 295.830 368.880 ;
|
||||
RECT 1.905 364.840 300.080 367.520 ;
|
||||
RECT 1.445 367.520 295.830 368.880 ;
|
||||
RECT 1.445 364.840 300.080 367.520 ;
|
||||
RECT 4.400 363.440 300.080 364.840 ;
|
||||
RECT 1.905 360.760 300.080 363.440 ;
|
||||
RECT 1.905 360.080 295.830 360.760 ;
|
||||
RECT 1.445 360.760 300.080 363.440 ;
|
||||
RECT 1.445 360.080 295.830 360.760 ;
|
||||
RECT 4.400 359.360 295.830 360.080 ;
|
||||
RECT 4.400 358.680 300.080 359.360 ;
|
||||
RECT 1.905 354.640 300.080 358.680 ;
|
||||
RECT 1.445 354.640 300.080 358.680 ;
|
||||
RECT 4.400 353.240 300.080 354.640 ;
|
||||
RECT 1.905 351.920 300.080 353.240 ;
|
||||
RECT 1.905 350.520 295.830 351.920 ;
|
||||
RECT 1.905 349.880 300.080 350.520 ;
|
||||
RECT 1.445 351.920 300.080 353.240 ;
|
||||
RECT 1.445 350.520 295.830 351.920 ;
|
||||
RECT 1.445 349.880 300.080 350.520 ;
|
||||
RECT 4.400 348.480 300.080 349.880 ;
|
||||
RECT 1.905 344.440 300.080 348.480 ;
|
||||
RECT 1.445 344.440 300.080 348.480 ;
|
||||
RECT 4.400 343.760 300.080 344.440 ;
|
||||
RECT 4.400 343.040 295.830 343.760 ;
|
||||
RECT 1.905 342.360 295.830 343.040 ;
|
||||
RECT 1.905 339.680 300.080 342.360 ;
|
||||
RECT 1.445 342.360 295.830 343.040 ;
|
||||
RECT 1.445 339.680 300.080 342.360 ;
|
||||
RECT 4.400 338.280 300.080 339.680 ;
|
||||
RECT 1.905 335.600 300.080 338.280 ;
|
||||
RECT 1.905 334.240 295.830 335.600 ;
|
||||
RECT 1.445 335.600 300.080 338.280 ;
|
||||
RECT 1.445 334.240 295.830 335.600 ;
|
||||
RECT 4.400 334.200 295.830 334.240 ;
|
||||
RECT 4.400 332.840 300.080 334.200 ;
|
||||
RECT 1.905 329.480 300.080 332.840 ;
|
||||
RECT 1.445 329.480 300.080 332.840 ;
|
||||
RECT 4.400 328.080 300.080 329.480 ;
|
||||
RECT 1.905 326.760 300.080 328.080 ;
|
||||
RECT 1.905 325.360 295.830 326.760 ;
|
||||
RECT 1.905 324.040 300.080 325.360 ;
|
||||
RECT 1.445 326.760 300.080 328.080 ;
|
||||
RECT 1.445 325.360 295.830 326.760 ;
|
||||
RECT 1.445 324.040 300.080 325.360 ;
|
||||
RECT 4.400 322.640 300.080 324.040 ;
|
||||
RECT 1.905 319.280 300.080 322.640 ;
|
||||
RECT 1.445 319.280 300.080 322.640 ;
|
||||
RECT 4.400 318.600 300.080 319.280 ;
|
||||
RECT 4.400 317.880 295.830 318.600 ;
|
||||
RECT 1.905 317.200 295.830 317.880 ;
|
||||
RECT 1.905 313.840 300.080 317.200 ;
|
||||
RECT 1.445 317.200 295.830 317.880 ;
|
||||
RECT 1.445 313.840 300.080 317.200 ;
|
||||
RECT 4.400 312.440 300.080 313.840 ;
|
||||
RECT 1.905 309.760 300.080 312.440 ;
|
||||
RECT 1.905 309.080 295.830 309.760 ;
|
||||
RECT 1.445 309.760 300.080 312.440 ;
|
||||
RECT 1.445 309.080 295.830 309.760 ;
|
||||
RECT 4.400 308.360 295.830 309.080 ;
|
||||
RECT 4.400 307.680 300.080 308.360 ;
|
||||
RECT 1.905 303.640 300.080 307.680 ;
|
||||
RECT 1.445 303.640 300.080 307.680 ;
|
||||
RECT 4.400 302.240 300.080 303.640 ;
|
||||
RECT 1.905 301.600 300.080 302.240 ;
|
||||
RECT 1.905 300.200 295.830 301.600 ;
|
||||
RECT 1.905 298.880 300.080 300.200 ;
|
||||
RECT 1.445 301.600 300.080 302.240 ;
|
||||
RECT 1.445 300.200 295.830 301.600 ;
|
||||
RECT 1.445 298.880 300.080 300.200 ;
|
||||
RECT 4.400 297.480 300.080 298.880 ;
|
||||
RECT 1.905 293.440 300.080 297.480 ;
|
||||
RECT 1.445 293.440 300.080 297.480 ;
|
||||
RECT 4.400 292.760 300.080 293.440 ;
|
||||
RECT 4.400 292.040 295.830 292.760 ;
|
||||
RECT 1.905 291.360 295.830 292.040 ;
|
||||
RECT 1.905 288.680 300.080 291.360 ;
|
||||
RECT 1.445 291.360 295.830 292.040 ;
|
||||
RECT 1.445 288.680 300.080 291.360 ;
|
||||
RECT 4.400 287.280 300.080 288.680 ;
|
||||
RECT 1.905 284.600 300.080 287.280 ;
|
||||
RECT 1.905 283.240 295.830 284.600 ;
|
||||
RECT 1.445 284.600 300.080 287.280 ;
|
||||
RECT 1.445 283.240 295.830 284.600 ;
|
||||
RECT 4.400 283.200 295.830 283.240 ;
|
||||
RECT 4.400 281.840 300.080 283.200 ;
|
||||
RECT 1.905 278.480 300.080 281.840 ;
|
||||
RECT 1.445 278.480 300.080 281.840 ;
|
||||
RECT 4.400 277.080 300.080 278.480 ;
|
||||
RECT 1.905 275.760 300.080 277.080 ;
|
||||
RECT 1.905 274.360 295.830 275.760 ;
|
||||
RECT 1.905 273.040 300.080 274.360 ;
|
||||
RECT 1.445 275.760 300.080 277.080 ;
|
||||
RECT 1.445 274.360 295.830 275.760 ;
|
||||
RECT 1.445 273.040 300.080 274.360 ;
|
||||
RECT 4.400 271.640 300.080 273.040 ;
|
||||
RECT 1.905 268.280 300.080 271.640 ;
|
||||
RECT 1.445 268.280 300.080 271.640 ;
|
||||
RECT 4.400 267.600 300.080 268.280 ;
|
||||
RECT 4.400 266.880 295.830 267.600 ;
|
||||
RECT 1.905 266.200 295.830 266.880 ;
|
||||
RECT 1.905 262.840 300.080 266.200 ;
|
||||
RECT 1.445 266.200 295.830 266.880 ;
|
||||
RECT 1.445 262.840 300.080 266.200 ;
|
||||
RECT 4.400 261.440 300.080 262.840 ;
|
||||
RECT 1.905 258.760 300.080 261.440 ;
|
||||
RECT 1.905 258.080 295.830 258.760 ;
|
||||
RECT 1.445 258.760 300.080 261.440 ;
|
||||
RECT 1.445 258.080 295.830 258.760 ;
|
||||
RECT 4.400 257.360 295.830 258.080 ;
|
||||
RECT 4.400 256.680 300.080 257.360 ;
|
||||
RECT 1.905 252.640 300.080 256.680 ;
|
||||
RECT 1.445 252.640 300.080 256.680 ;
|
||||
RECT 4.400 251.240 300.080 252.640 ;
|
||||
RECT 1.905 250.600 300.080 251.240 ;
|
||||
RECT 1.905 249.200 295.830 250.600 ;
|
||||
RECT 1.905 247.880 300.080 249.200 ;
|
||||
RECT 1.445 250.600 300.080 251.240 ;
|
||||
RECT 1.445 249.200 295.830 250.600 ;
|
||||
RECT 1.445 247.880 300.080 249.200 ;
|
||||
RECT 4.400 246.480 300.080 247.880 ;
|
||||
RECT 1.905 242.440 300.080 246.480 ;
|
||||
RECT 1.445 242.440 300.080 246.480 ;
|
||||
RECT 4.400 241.760 300.080 242.440 ;
|
||||
RECT 4.400 241.040 295.830 241.760 ;
|
||||
RECT 1.905 240.360 295.830 241.040 ;
|
||||
RECT 1.905 237.680 300.080 240.360 ;
|
||||
RECT 1.445 240.360 295.830 241.040 ;
|
||||
RECT 1.445 237.680 300.080 240.360 ;
|
||||
RECT 4.400 236.280 300.080 237.680 ;
|
||||
RECT 1.905 233.600 300.080 236.280 ;
|
||||
RECT 1.905 232.240 295.830 233.600 ;
|
||||
RECT 1.445 233.600 300.080 236.280 ;
|
||||
RECT 1.445 232.240 295.830 233.600 ;
|
||||
RECT 4.400 232.200 295.830 232.240 ;
|
||||
RECT 4.400 230.840 300.080 232.200 ;
|
||||
RECT 1.905 227.480 300.080 230.840 ;
|
||||
RECT 1.445 227.480 300.080 230.840 ;
|
||||
RECT 4.400 226.080 300.080 227.480 ;
|
||||
RECT 1.905 225.440 300.080 226.080 ;
|
||||
RECT 1.905 224.040 295.830 225.440 ;
|
||||
RECT 1.905 222.040 300.080 224.040 ;
|
||||
RECT 1.445 225.440 300.080 226.080 ;
|
||||
RECT 1.445 224.040 295.830 225.440 ;
|
||||
RECT 1.445 222.040 300.080 224.040 ;
|
||||
RECT 4.400 220.640 300.080 222.040 ;
|
||||
RECT 1.905 217.280 300.080 220.640 ;
|
||||
RECT 1.445 217.280 300.080 220.640 ;
|
||||
RECT 4.400 216.600 300.080 217.280 ;
|
||||
RECT 4.400 215.880 295.830 216.600 ;
|
||||
RECT 1.905 215.200 295.830 215.880 ;
|
||||
RECT 1.905 211.840 300.080 215.200 ;
|
||||
RECT 1.445 215.200 295.830 215.880 ;
|
||||
RECT 1.445 211.840 300.080 215.200 ;
|
||||
RECT 4.400 210.440 300.080 211.840 ;
|
||||
RECT 1.905 208.440 300.080 210.440 ;
|
||||
RECT 1.905 207.080 295.830 208.440 ;
|
||||
RECT 1.445 208.440 300.080 210.440 ;
|
||||
RECT 1.445 207.080 295.830 208.440 ;
|
||||
RECT 4.400 207.040 295.830 207.080 ;
|
||||
RECT 4.400 205.680 300.080 207.040 ;
|
||||
RECT 1.905 201.640 300.080 205.680 ;
|
||||
RECT 1.445 201.640 300.080 205.680 ;
|
||||
RECT 4.400 200.240 300.080 201.640 ;
|
||||
RECT 1.905 199.600 300.080 200.240 ;
|
||||
RECT 1.905 198.200 295.830 199.600 ;
|
||||
RECT 1.905 196.880 300.080 198.200 ;
|
||||
RECT 1.445 199.600 300.080 200.240 ;
|
||||
RECT 1.445 198.200 295.830 199.600 ;
|
||||
RECT 1.445 196.880 300.080 198.200 ;
|
||||
RECT 4.400 195.480 300.080 196.880 ;
|
||||
RECT 1.905 191.440 300.080 195.480 ;
|
||||
RECT 1.445 191.440 300.080 195.480 ;
|
||||
RECT 4.400 190.040 295.830 191.440 ;
|
||||
RECT 1.905 186.680 300.080 190.040 ;
|
||||
RECT 1.445 186.680 300.080 190.040 ;
|
||||
RECT 4.400 185.280 300.080 186.680 ;
|
||||
RECT 1.905 182.600 300.080 185.280 ;
|
||||
RECT 1.905 181.240 295.830 182.600 ;
|
||||
RECT 1.445 182.600 300.080 185.280 ;
|
||||
RECT 1.445 181.240 295.830 182.600 ;
|
||||
RECT 4.400 181.200 295.830 181.240 ;
|
||||
RECT 4.400 179.840 300.080 181.200 ;
|
||||
RECT 1.905 176.480 300.080 179.840 ;
|
||||
RECT 1.445 176.480 300.080 179.840 ;
|
||||
RECT 4.400 175.080 300.080 176.480 ;
|
||||
RECT 1.905 174.440 300.080 175.080 ;
|
||||
RECT 1.905 173.040 295.830 174.440 ;
|
||||
RECT 1.905 171.040 300.080 173.040 ;
|
||||
RECT 1.445 174.440 300.080 175.080 ;
|
||||
RECT 1.445 173.040 295.830 174.440 ;
|
||||
RECT 1.445 171.040 300.080 173.040 ;
|
||||
RECT 4.400 169.640 300.080 171.040 ;
|
||||
RECT 1.905 166.280 300.080 169.640 ;
|
||||
RECT 1.445 166.280 300.080 169.640 ;
|
||||
RECT 4.400 165.600 300.080 166.280 ;
|
||||
RECT 4.400 164.880 295.830 165.600 ;
|
||||
RECT 1.905 164.200 295.830 164.880 ;
|
||||
RECT 1.905 160.840 300.080 164.200 ;
|
||||
RECT 1.445 164.200 295.830 164.880 ;
|
||||
RECT 1.445 160.840 300.080 164.200 ;
|
||||
RECT 4.400 159.440 300.080 160.840 ;
|
||||
RECT 1.905 157.440 300.080 159.440 ;
|
||||
RECT 1.905 156.080 295.830 157.440 ;
|
||||
RECT 1.445 157.440 300.080 159.440 ;
|
||||
RECT 1.445 156.080 295.830 157.440 ;
|
||||
RECT 4.400 156.040 295.830 156.080 ;
|
||||
RECT 4.400 154.680 300.080 156.040 ;
|
||||
RECT 1.905 150.640 300.080 154.680 ;
|
||||
RECT 1.445 150.640 300.080 154.680 ;
|
||||
RECT 4.400 149.240 300.080 150.640 ;
|
||||
RECT 1.905 148.600 300.080 149.240 ;
|
||||
RECT 1.905 147.200 295.830 148.600 ;
|
||||
RECT 1.905 145.880 300.080 147.200 ;
|
||||
RECT 1.445 148.600 300.080 149.240 ;
|
||||
RECT 1.445 147.200 295.830 148.600 ;
|
||||
RECT 1.445 145.880 300.080 147.200 ;
|
||||
RECT 4.400 144.480 300.080 145.880 ;
|
||||
RECT 1.905 140.440 300.080 144.480 ;
|
||||
RECT 1.445 140.440 300.080 144.480 ;
|
||||
RECT 4.400 139.040 295.830 140.440 ;
|
||||
RECT 1.905 135.680 300.080 139.040 ;
|
||||
RECT 1.445 135.680 300.080 139.040 ;
|
||||
RECT 4.400 134.280 300.080 135.680 ;
|
||||
RECT 1.905 131.600 300.080 134.280 ;
|
||||
RECT 1.905 130.240 295.830 131.600 ;
|
||||
RECT 1.445 131.600 300.080 134.280 ;
|
||||
RECT 1.445 130.240 295.830 131.600 ;
|
||||
RECT 4.400 130.200 295.830 130.240 ;
|
||||
RECT 4.400 128.840 300.080 130.200 ;
|
||||
RECT 1.905 125.480 300.080 128.840 ;
|
||||
RECT 1.445 125.480 300.080 128.840 ;
|
||||
RECT 4.400 124.080 300.080 125.480 ;
|
||||
RECT 1.905 123.440 300.080 124.080 ;
|
||||
RECT 1.905 122.040 295.830 123.440 ;
|
||||
RECT 1.905 120.040 300.080 122.040 ;
|
||||
RECT 1.445 123.440 300.080 124.080 ;
|
||||
RECT 1.445 122.040 295.830 123.440 ;
|
||||
RECT 1.445 120.040 300.080 122.040 ;
|
||||
RECT 4.400 118.640 300.080 120.040 ;
|
||||
RECT 1.905 115.280 300.080 118.640 ;
|
||||
RECT 1.445 115.280 300.080 118.640 ;
|
||||
RECT 4.400 113.880 295.830 115.280 ;
|
||||
RECT 1.905 109.840 300.080 113.880 ;
|
||||
RECT 1.445 109.840 300.080 113.880 ;
|
||||
RECT 4.400 108.440 300.080 109.840 ;
|
||||
RECT 1.905 106.440 300.080 108.440 ;
|
||||
RECT 1.905 105.080 295.830 106.440 ;
|
||||
RECT 1.445 106.440 300.080 108.440 ;
|
||||
RECT 1.445 105.080 295.830 106.440 ;
|
||||
RECT 4.400 105.040 295.830 105.080 ;
|
||||
RECT 4.400 103.680 300.080 105.040 ;
|
||||
RECT 1.905 99.640 300.080 103.680 ;
|
||||
RECT 1.445 99.640 300.080 103.680 ;
|
||||
RECT 4.400 98.280 300.080 99.640 ;
|
||||
RECT 4.400 98.240 295.830 98.280 ;
|
||||
RECT 1.905 96.880 295.830 98.240 ;
|
||||
RECT 1.905 94.880 300.080 96.880 ;
|
||||
RECT 1.445 96.880 295.830 98.240 ;
|
||||
RECT 1.445 94.880 300.080 96.880 ;
|
||||
RECT 4.400 93.480 300.080 94.880 ;
|
||||
RECT 1.905 89.440 300.080 93.480 ;
|
||||
RECT 1.445 89.440 300.080 93.480 ;
|
||||
RECT 4.400 88.040 295.830 89.440 ;
|
||||
RECT 1.905 84.680 300.080 88.040 ;
|
||||
RECT 1.445 84.680 300.080 88.040 ;
|
||||
RECT 4.400 83.280 300.080 84.680 ;
|
||||
RECT 1.905 81.280 300.080 83.280 ;
|
||||
RECT 1.905 79.880 295.830 81.280 ;
|
||||
RECT 1.905 79.240 300.080 79.880 ;
|
||||
RECT 1.445 81.280 300.080 83.280 ;
|
||||
RECT 1.445 79.880 295.830 81.280 ;
|
||||
RECT 1.445 79.240 300.080 79.880 ;
|
||||
RECT 4.400 77.840 300.080 79.240 ;
|
||||
RECT 1.905 74.480 300.080 77.840 ;
|
||||
RECT 1.445 74.480 300.080 77.840 ;
|
||||
RECT 4.400 73.080 300.080 74.480 ;
|
||||
RECT 1.905 72.440 300.080 73.080 ;
|
||||
RECT 1.905 71.040 295.830 72.440 ;
|
||||
RECT 1.905 69.040 300.080 71.040 ;
|
||||
RECT 1.445 72.440 300.080 73.080 ;
|
||||
RECT 1.445 71.040 295.830 72.440 ;
|
||||
RECT 1.445 69.040 300.080 71.040 ;
|
||||
RECT 4.400 67.640 300.080 69.040 ;
|
||||
RECT 1.905 64.280 300.080 67.640 ;
|
||||
RECT 1.445 64.280 300.080 67.640 ;
|
||||
RECT 4.400 62.880 295.830 64.280 ;
|
||||
RECT 1.905 58.840 300.080 62.880 ;
|
||||
RECT 1.445 58.840 300.080 62.880 ;
|
||||
RECT 4.400 57.440 300.080 58.840 ;
|
||||
RECT 1.905 55.440 300.080 57.440 ;
|
||||
RECT 1.905 54.080 295.830 55.440 ;
|
||||
RECT 1.445 55.440 300.080 57.440 ;
|
||||
RECT 1.445 54.080 295.830 55.440 ;
|
||||
RECT 4.400 54.040 295.830 54.080 ;
|
||||
RECT 4.400 52.680 300.080 54.040 ;
|
||||
RECT 1.905 48.640 300.080 52.680 ;
|
||||
RECT 1.445 48.640 300.080 52.680 ;
|
||||
RECT 4.400 47.280 300.080 48.640 ;
|
||||
RECT 4.400 47.240 295.830 47.280 ;
|
||||
RECT 1.905 45.880 295.830 47.240 ;
|
||||
RECT 1.905 43.880 300.080 45.880 ;
|
||||
RECT 1.445 45.880 295.830 47.240 ;
|
||||
RECT 1.445 43.880 300.080 45.880 ;
|
||||
RECT 4.400 42.480 300.080 43.880 ;
|
||||
RECT 1.905 38.440 300.080 42.480 ;
|
||||
RECT 1.445 38.440 300.080 42.480 ;
|
||||
RECT 4.400 37.040 295.830 38.440 ;
|
||||
RECT 1.905 33.680 300.080 37.040 ;
|
||||
RECT 1.445 33.680 300.080 37.040 ;
|
||||
RECT 4.400 32.280 300.080 33.680 ;
|
||||
RECT 1.905 30.280 300.080 32.280 ;
|
||||
RECT 1.905 28.880 295.830 30.280 ;
|
||||
RECT 1.905 28.240 300.080 28.880 ;
|
||||
RECT 1.445 30.280 300.080 32.280 ;
|
||||
RECT 1.445 28.880 295.830 30.280 ;
|
||||
RECT 1.445 28.240 300.080 28.880 ;
|
||||
RECT 4.400 26.840 300.080 28.240 ;
|
||||
RECT 1.905 23.480 300.080 26.840 ;
|
||||
RECT 1.445 23.480 300.080 26.840 ;
|
||||
RECT 4.400 22.080 300.080 23.480 ;
|
||||
RECT 1.905 21.440 300.080 22.080 ;
|
||||
RECT 1.905 20.040 295.830 21.440 ;
|
||||
RECT 1.905 18.040 300.080 20.040 ;
|
||||
RECT 1.445 21.440 300.080 22.080 ;
|
||||
RECT 1.445 20.040 295.830 21.440 ;
|
||||
RECT 1.445 18.040 300.080 20.040 ;
|
||||
RECT 4.400 16.640 300.080 18.040 ;
|
||||
RECT 1.905 13.280 300.080 16.640 ;
|
||||
RECT 1.445 13.280 300.080 16.640 ;
|
||||
RECT 4.400 11.880 295.830 13.280 ;
|
||||
RECT 1.905 7.840 300.080 11.880 ;
|
||||
RECT 1.445 7.840 300.080 11.880 ;
|
||||
RECT 4.400 6.440 300.080 7.840 ;
|
||||
RECT 1.905 5.120 300.080 6.440 ;
|
||||
RECT 1.905 3.720 295.830 5.120 ;
|
||||
RECT 1.905 3.080 300.080 3.720 ;
|
||||
RECT 4.400 2.230 300.080 3.080 ;
|
||||
RECT 1.445 5.120 300.080 6.440 ;
|
||||
RECT 1.445 3.720 295.830 5.120 ;
|
||||
RECT 1.445 3.080 300.080 3.720 ;
|
||||
RECT 4.400 2.215 300.080 3.080 ;
|
||||
LAYER met4 ;
|
||||
RECT 5.815 16.495 20.640 532.945 ;
|
||||
RECT 23.040 16.495 97.440 532.945 ;
|
||||
RECT 99.840 16.495 174.240 532.945 ;
|
||||
RECT 176.640 16.495 251.040 532.945 ;
|
||||
RECT 253.440 16.495 299.625 532.945 ;
|
||||
RECT 2.135 26.695 20.640 531.585 ;
|
||||
RECT 23.040 26.695 97.440 531.585 ;
|
||||
RECT 99.840 26.695 174.240 531.585 ;
|
||||
RECT 176.640 26.695 251.040 531.585 ;
|
||||
RECT 253.440 26.695 299.625 531.585 ;
|
||||
LAYER met5 ;
|
||||
RECT 16.220 194.700 140.180 196.300 ;
|
||||
RECT 83.380 266.100 208.260 277.900 ;
|
||||
END
|
||||
END housekeeping
|
||||
END LIBRARY
|
||||
|
|
17326
mag/caravel_clocking.mag
17326
mag/caravel_clocking.mag
File diff suppressed because it is too large
Load Diff
1003240
mag/housekeeping.mag
1003240
mag/housekeeping.mag
File diff suppressed because it is too large
Load Diff
|
@ -1,7 +1,7 @@
|
|||
magic
|
||||
tech sky130A
|
||||
magscale 1 2
|
||||
timestamp 1637348275
|
||||
timestamp 1637846190
|
||||
<< nwell >>
|
||||
rect -38 10053 18898 10619
|
||||
rect -38 8965 18898 9531
|
||||
|
@ -38,8 +38,8 @@ rect 15577 -17 15611 17
|
|||
rect 16129 -17 16163 17
|
||||
rect 17601 -17 17635 17
|
||||
rect 17968 -11 17992 11
|
||||
rect 18153 -17 18187 17
|
||||
rect 18520 -11 18544 11
|
||||
rect 18155 -10 18187 12
|
||||
rect 18521 -17 18555 17
|
||||
rect 18797 -17 18831 17
|
||||
<< obsli1 >>
|
||||
rect 0 -17 18860 10897
|
||||
|
@ -181,8 +181,8 @@ port 17 nsew signal output
|
|||
string LEFclass BLOCK
|
||||
string FIXED_BBOX 0 0 20000 12000
|
||||
string LEFview TRUE
|
||||
string GDS_FILE /home/ma/ef/caravel_openframe/openlane/caravel_clocking/runs/caravel_clocking/results/magic/caravel_clocking.gds
|
||||
string GDS_END 1066708
|
||||
string GDS_START 369068
|
||||
string GDS_FILE /home/ma/ef/caravel_openframe/openlane/caravel_clocking/runs/caravel_clocking/results/finishing/caravel_clocking.gds
|
||||
string GDS_END 1088640
|
||||
string GDS_START 380688
|
||||
<< end >>
|
||||
|
||||
|
|
|
@ -1,11 +1,11 @@
|
|||
magic
|
||||
tech sky130A
|
||||
magscale 1 2
|
||||
timestamp 1637412622
|
||||
timestamp 1637788660
|
||||
<< obsli1 >>
|
||||
rect 1104 2159 58880 107729
|
||||
<< obsm1 >>
|
||||
rect 14 2128 60044 107976
|
||||
rect 14 2048 60044 107908
|
||||
<< metal2 >>
|
||||
rect 202 109390 258 110190
|
||||
rect 662 109390 718 110190
|
||||
|
@ -360,99 +360,99 @@ rect 58918 109334 59210 109426
|
|||
rect 59378 109334 59670 109426
|
||||
rect 59838 109334 60044 109426
|
||||
rect 20 856 60044 109334
|
||||
rect 20 800 238 856
|
||||
rect 406 800 882 856
|
||||
rect 1050 800 1526 856
|
||||
rect 1694 800 2170 856
|
||||
rect 2338 800 2814 856
|
||||
rect 2982 800 3458 856
|
||||
rect 3626 800 4102 856
|
||||
rect 4270 800 4746 856
|
||||
rect 4914 800 5390 856
|
||||
rect 5558 800 6034 856
|
||||
rect 6202 800 6678 856
|
||||
rect 6846 800 7414 856
|
||||
rect 7582 800 8058 856
|
||||
rect 8226 800 8702 856
|
||||
rect 8870 800 9346 856
|
||||
rect 9514 800 9990 856
|
||||
rect 10158 800 10634 856
|
||||
rect 10802 800 11278 856
|
||||
rect 11446 800 11922 856
|
||||
rect 12090 800 12566 856
|
||||
rect 12734 800 13210 856
|
||||
rect 13378 800 13946 856
|
||||
rect 14114 800 14590 856
|
||||
rect 14758 800 15234 856
|
||||
rect 15402 800 15878 856
|
||||
rect 16046 800 16522 856
|
||||
rect 16690 800 17166 856
|
||||
rect 17334 800 17810 856
|
||||
rect 17978 800 18454 856
|
||||
rect 18622 800 19098 856
|
||||
rect 19266 800 19742 856
|
||||
rect 19910 800 20478 856
|
||||
rect 20646 800 21122 856
|
||||
rect 21290 800 21766 856
|
||||
rect 21934 800 22410 856
|
||||
rect 22578 800 23054 856
|
||||
rect 23222 800 23698 856
|
||||
rect 23866 800 24342 856
|
||||
rect 24510 800 24986 856
|
||||
rect 25154 800 25630 856
|
||||
rect 25798 800 26274 856
|
||||
rect 26442 800 27010 856
|
||||
rect 27178 800 27654 856
|
||||
rect 27822 800 28298 856
|
||||
rect 28466 800 28942 856
|
||||
rect 29110 800 29586 856
|
||||
rect 29754 800 30230 856
|
||||
rect 30398 800 30874 856
|
||||
rect 31042 800 31518 856
|
||||
rect 31686 800 32162 856
|
||||
rect 32330 800 32806 856
|
||||
rect 32974 800 33450 856
|
||||
rect 33618 800 34186 856
|
||||
rect 34354 800 34830 856
|
||||
rect 34998 800 35474 856
|
||||
rect 35642 800 36118 856
|
||||
rect 36286 800 36762 856
|
||||
rect 36930 800 37406 856
|
||||
rect 37574 800 38050 856
|
||||
rect 38218 800 38694 856
|
||||
rect 38862 800 39338 856
|
||||
rect 39506 800 39982 856
|
||||
rect 40150 800 40718 856
|
||||
rect 40886 800 41362 856
|
||||
rect 41530 800 42006 856
|
||||
rect 42174 800 42650 856
|
||||
rect 42818 800 43294 856
|
||||
rect 43462 800 43938 856
|
||||
rect 44106 800 44582 856
|
||||
rect 44750 800 45226 856
|
||||
rect 45394 800 45870 856
|
||||
rect 46038 800 46514 856
|
||||
rect 46682 800 47250 856
|
||||
rect 47418 800 47894 856
|
||||
rect 48062 800 48538 856
|
||||
rect 48706 800 49182 856
|
||||
rect 49350 800 49826 856
|
||||
rect 49994 800 50470 856
|
||||
rect 50638 800 51114 856
|
||||
rect 51282 800 51758 856
|
||||
rect 51926 800 52402 856
|
||||
rect 52570 800 53046 856
|
||||
rect 53214 800 53782 856
|
||||
rect 53950 800 54426 856
|
||||
rect 54594 800 55070 856
|
||||
rect 55238 800 55714 856
|
||||
rect 55882 800 56358 856
|
||||
rect 56526 800 57002 856
|
||||
rect 57170 800 57646 856
|
||||
rect 57814 800 58290 856
|
||||
rect 58458 800 58934 856
|
||||
rect 59102 800 59578 856
|
||||
rect 59746 800 60044 856
|
||||
rect 20 439 238 856
|
||||
rect 406 439 882 856
|
||||
rect 1050 439 1526 856
|
||||
rect 1694 439 2170 856
|
||||
rect 2338 439 2814 856
|
||||
rect 2982 439 3458 856
|
||||
rect 3626 439 4102 856
|
||||
rect 4270 439 4746 856
|
||||
rect 4914 439 5390 856
|
||||
rect 5558 439 6034 856
|
||||
rect 6202 439 6678 856
|
||||
rect 6846 439 7414 856
|
||||
rect 7582 439 8058 856
|
||||
rect 8226 439 8702 856
|
||||
rect 8870 439 9346 856
|
||||
rect 9514 439 9990 856
|
||||
rect 10158 439 10634 856
|
||||
rect 10802 439 11278 856
|
||||
rect 11446 439 11922 856
|
||||
rect 12090 439 12566 856
|
||||
rect 12734 439 13210 856
|
||||
rect 13378 439 13946 856
|
||||
rect 14114 439 14590 856
|
||||
rect 14758 439 15234 856
|
||||
rect 15402 439 15878 856
|
||||
rect 16046 439 16522 856
|
||||
rect 16690 439 17166 856
|
||||
rect 17334 439 17810 856
|
||||
rect 17978 439 18454 856
|
||||
rect 18622 439 19098 856
|
||||
rect 19266 439 19742 856
|
||||
rect 19910 439 20478 856
|
||||
rect 20646 439 21122 856
|
||||
rect 21290 439 21766 856
|
||||
rect 21934 439 22410 856
|
||||
rect 22578 439 23054 856
|
||||
rect 23222 439 23698 856
|
||||
rect 23866 439 24342 856
|
||||
rect 24510 439 24986 856
|
||||
rect 25154 439 25630 856
|
||||
rect 25798 439 26274 856
|
||||
rect 26442 439 27010 856
|
||||
rect 27178 439 27654 856
|
||||
rect 27822 439 28298 856
|
||||
rect 28466 439 28942 856
|
||||
rect 29110 439 29586 856
|
||||
rect 29754 439 30230 856
|
||||
rect 30398 439 30874 856
|
||||
rect 31042 439 31518 856
|
||||
rect 31686 439 32162 856
|
||||
rect 32330 439 32806 856
|
||||
rect 32974 439 33450 856
|
||||
rect 33618 439 34186 856
|
||||
rect 34354 439 34830 856
|
||||
rect 34998 439 35474 856
|
||||
rect 35642 439 36118 856
|
||||
rect 36286 439 36762 856
|
||||
rect 36930 439 37406 856
|
||||
rect 37574 439 38050 856
|
||||
rect 38218 439 38694 856
|
||||
rect 38862 439 39338 856
|
||||
rect 39506 439 39982 856
|
||||
rect 40150 439 40718 856
|
||||
rect 40886 439 41362 856
|
||||
rect 41530 439 42006 856
|
||||
rect 42174 439 42650 856
|
||||
rect 42818 439 43294 856
|
||||
rect 43462 439 43938 856
|
||||
rect 44106 439 44582 856
|
||||
rect 44750 439 45226 856
|
||||
rect 45394 439 45870 856
|
||||
rect 46038 439 46514 856
|
||||
rect 46682 439 47250 856
|
||||
rect 47418 439 47894 856
|
||||
rect 48062 439 48538 856
|
||||
rect 48706 439 49182 856
|
||||
rect 49350 439 49826 856
|
||||
rect 49994 439 50470 856
|
||||
rect 50638 439 51114 856
|
||||
rect 51282 439 51758 856
|
||||
rect 51926 439 52402 856
|
||||
rect 52570 439 53046 856
|
||||
rect 53214 439 53782 856
|
||||
rect 53950 439 54426 856
|
||||
rect 54594 439 55070 856
|
||||
rect 55238 439 55714 856
|
||||
rect 55882 439 56358 856
|
||||
rect 56526 439 57002 856
|
||||
rect 57170 439 57646 856
|
||||
rect 57814 439 58290 856
|
||||
rect 58458 439 58934 856
|
||||
rect 59102 439 59578 856
|
||||
rect 59746 439 60044 856
|
||||
<< metal3 >>
|
||||
rect 0 109488 800 109608
|
||||
rect 59246 109216 60046 109336
|
||||
|
@ -630,342 +630,342 @@ rect 0 416 800 536
|
|||
<< obsm3 >>
|
||||
rect 880 109416 60016 109578
|
||||
rect 880 109408 59166 109416
|
||||
rect 381 109136 59166 109408
|
||||
rect 381 108736 60016 109136
|
||||
rect 289 109136 59166 109408
|
||||
rect 289 108736 60016 109136
|
||||
rect 880 108456 60016 108736
|
||||
rect 381 107784 60016 108456
|
||||
rect 381 107648 59166 107784
|
||||
rect 289 107784 60016 108456
|
||||
rect 289 107648 59166 107784
|
||||
rect 880 107504 59166 107648
|
||||
rect 880 107368 60016 107504
|
||||
rect 381 106696 60016 107368
|
||||
rect 289 106696 60016 107368
|
||||
rect 880 106416 60016 106696
|
||||
rect 381 106016 60016 106416
|
||||
rect 381 105736 59166 106016
|
||||
rect 381 105608 60016 105736
|
||||
rect 289 106016 60016 106416
|
||||
rect 289 105736 59166 106016
|
||||
rect 289 105608 60016 105736
|
||||
rect 880 105328 60016 105608
|
||||
rect 381 104656 60016 105328
|
||||
rect 289 104656 60016 105328
|
||||
rect 880 104384 60016 104656
|
||||
rect 880 104376 59166 104384
|
||||
rect 381 104104 59166 104376
|
||||
rect 381 103568 60016 104104
|
||||
rect 289 104104 59166 104376
|
||||
rect 289 103568 60016 104104
|
||||
rect 880 103288 60016 103568
|
||||
rect 381 102616 60016 103288
|
||||
rect 289 102616 60016 103288
|
||||
rect 880 102336 59166 102616
|
||||
rect 381 101528 60016 102336
|
||||
rect 289 101528 60016 102336
|
||||
rect 880 101248 60016 101528
|
||||
rect 381 100984 60016 101248
|
||||
rect 381 100704 59166 100984
|
||||
rect 381 100576 60016 100704
|
||||
rect 289 100984 60016 101248
|
||||
rect 289 100704 59166 100984
|
||||
rect 289 100576 60016 100704
|
||||
rect 880 100296 60016 100576
|
||||
rect 381 99488 60016 100296
|
||||
rect 289 99488 60016 100296
|
||||
rect 880 99216 60016 99488
|
||||
rect 880 99208 59166 99216
|
||||
rect 381 98936 59166 99208
|
||||
rect 381 98536 60016 98936
|
||||
rect 289 98936 59166 99208
|
||||
rect 289 98536 60016 98936
|
||||
rect 880 98256 60016 98536
|
||||
rect 381 97584 60016 98256
|
||||
rect 381 97448 59166 97584
|
||||
rect 289 97584 60016 98256
|
||||
rect 289 97448 59166 97584
|
||||
rect 880 97304 59166 97448
|
||||
rect 880 97168 60016 97304
|
||||
rect 381 96496 60016 97168
|
||||
rect 289 96496 60016 97168
|
||||
rect 880 96216 60016 96496
|
||||
rect 381 95816 60016 96216
|
||||
rect 381 95536 59166 95816
|
||||
rect 381 95408 60016 95536
|
||||
rect 289 95816 60016 96216
|
||||
rect 289 95536 59166 95816
|
||||
rect 289 95408 60016 95536
|
||||
rect 880 95128 60016 95408
|
||||
rect 381 94456 60016 95128
|
||||
rect 289 94456 60016 95128
|
||||
rect 880 94184 60016 94456
|
||||
rect 880 94176 59166 94184
|
||||
rect 381 93904 59166 94176
|
||||
rect 381 93368 60016 93904
|
||||
rect 289 93904 59166 94176
|
||||
rect 289 93368 60016 93904
|
||||
rect 880 93088 60016 93368
|
||||
rect 381 92416 60016 93088
|
||||
rect 289 92416 60016 93088
|
||||
rect 880 92136 59166 92416
|
||||
rect 381 91328 60016 92136
|
||||
rect 289 91328 60016 92136
|
||||
rect 880 91048 60016 91328
|
||||
rect 381 90784 60016 91048
|
||||
rect 381 90504 59166 90784
|
||||
rect 381 90376 60016 90504
|
||||
rect 289 90784 60016 91048
|
||||
rect 289 90504 59166 90784
|
||||
rect 289 90376 60016 90504
|
||||
rect 880 90096 60016 90376
|
||||
rect 381 89288 60016 90096
|
||||
rect 289 89288 60016 90096
|
||||
rect 880 89152 60016 89288
|
||||
rect 880 89008 59166 89152
|
||||
rect 381 88872 59166 89008
|
||||
rect 381 88336 60016 88872
|
||||
rect 289 88872 59166 89008
|
||||
rect 289 88336 60016 88872
|
||||
rect 880 88056 60016 88336
|
||||
rect 381 87384 60016 88056
|
||||
rect 381 87248 59166 87384
|
||||
rect 289 87384 60016 88056
|
||||
rect 289 87248 59166 87384
|
||||
rect 880 87104 59166 87248
|
||||
rect 880 86968 60016 87104
|
||||
rect 381 86296 60016 86968
|
||||
rect 289 86296 60016 86968
|
||||
rect 880 86016 60016 86296
|
||||
rect 381 85752 60016 86016
|
||||
rect 381 85472 59166 85752
|
||||
rect 381 85208 60016 85472
|
||||
rect 289 85752 60016 86016
|
||||
rect 289 85472 59166 85752
|
||||
rect 289 85208 60016 85472
|
||||
rect 880 84928 60016 85208
|
||||
rect 381 84256 60016 84928
|
||||
rect 289 84256 60016 84928
|
||||
rect 880 83984 60016 84256
|
||||
rect 880 83976 59166 83984
|
||||
rect 381 83704 59166 83976
|
||||
rect 381 83168 60016 83704
|
||||
rect 289 83704 59166 83976
|
||||
rect 289 83168 60016 83704
|
||||
rect 880 82888 60016 83168
|
||||
rect 381 82352 60016 82888
|
||||
rect 381 82216 59166 82352
|
||||
rect 289 82352 60016 82888
|
||||
rect 289 82216 59166 82352
|
||||
rect 880 82072 59166 82216
|
||||
rect 880 81936 60016 82072
|
||||
rect 381 81128 60016 81936
|
||||
rect 289 81128 60016 81936
|
||||
rect 880 80848 60016 81128
|
||||
rect 381 80584 60016 80848
|
||||
rect 381 80304 59166 80584
|
||||
rect 381 80176 60016 80304
|
||||
rect 289 80584 60016 80848
|
||||
rect 289 80304 59166 80584
|
||||
rect 289 80176 60016 80304
|
||||
rect 880 79896 60016 80176
|
||||
rect 381 79088 60016 79896
|
||||
rect 289 79088 60016 79896
|
||||
rect 880 78952 60016 79088
|
||||
rect 880 78808 59166 78952
|
||||
rect 381 78672 59166 78808
|
||||
rect 381 78136 60016 78672
|
||||
rect 289 78672 59166 78808
|
||||
rect 289 78136 60016 78672
|
||||
rect 880 77856 60016 78136
|
||||
rect 381 77184 60016 77856
|
||||
rect 381 77048 59166 77184
|
||||
rect 289 77184 60016 77856
|
||||
rect 289 77048 59166 77184
|
||||
rect 880 76904 59166 77048
|
||||
rect 880 76768 60016 76904
|
||||
rect 381 76096 60016 76768
|
||||
rect 289 76096 60016 76768
|
||||
rect 880 75816 60016 76096
|
||||
rect 381 75552 60016 75816
|
||||
rect 381 75272 59166 75552
|
||||
rect 381 75008 60016 75272
|
||||
rect 289 75552 60016 75816
|
||||
rect 289 75272 59166 75552
|
||||
rect 289 75008 60016 75272
|
||||
rect 880 74728 60016 75008
|
||||
rect 381 74056 60016 74728
|
||||
rect 289 74056 60016 74728
|
||||
rect 880 73784 60016 74056
|
||||
rect 880 73776 59166 73784
|
||||
rect 381 73504 59166 73776
|
||||
rect 381 72968 60016 73504
|
||||
rect 289 73504 59166 73776
|
||||
rect 289 72968 60016 73504
|
||||
rect 880 72688 60016 72968
|
||||
rect 381 72152 60016 72688
|
||||
rect 381 72016 59166 72152
|
||||
rect 289 72152 60016 72688
|
||||
rect 289 72016 59166 72152
|
||||
rect 880 71872 59166 72016
|
||||
rect 880 71736 60016 71872
|
||||
rect 381 70928 60016 71736
|
||||
rect 289 70928 60016 71736
|
||||
rect 880 70648 60016 70928
|
||||
rect 381 70384 60016 70648
|
||||
rect 381 70104 59166 70384
|
||||
rect 381 69976 60016 70104
|
||||
rect 289 70384 60016 70648
|
||||
rect 289 70104 59166 70384
|
||||
rect 289 69976 60016 70104
|
||||
rect 880 69696 60016 69976
|
||||
rect 381 68888 60016 69696
|
||||
rect 289 68888 60016 69696
|
||||
rect 880 68752 60016 68888
|
||||
rect 880 68608 59166 68752
|
||||
rect 381 68472 59166 68608
|
||||
rect 381 67936 60016 68472
|
||||
rect 289 68472 59166 68608
|
||||
rect 289 67936 60016 68472
|
||||
rect 880 67656 60016 67936
|
||||
rect 381 67120 60016 67656
|
||||
rect 381 66848 59166 67120
|
||||
rect 289 67120 60016 67656
|
||||
rect 289 66848 59166 67120
|
||||
rect 880 66840 59166 66848
|
||||
rect 880 66568 60016 66840
|
||||
rect 381 65896 60016 66568
|
||||
rect 289 65896 60016 66568
|
||||
rect 880 65616 60016 65896
|
||||
rect 381 65352 60016 65616
|
||||
rect 381 65072 59166 65352
|
||||
rect 381 64808 60016 65072
|
||||
rect 289 65352 60016 65616
|
||||
rect 289 65072 59166 65352
|
||||
rect 289 64808 60016 65072
|
||||
rect 880 64528 60016 64808
|
||||
rect 381 63856 60016 64528
|
||||
rect 289 63856 60016 64528
|
||||
rect 880 63720 60016 63856
|
||||
rect 880 63576 59166 63720
|
||||
rect 381 63440 59166 63576
|
||||
rect 381 62768 60016 63440
|
||||
rect 289 63440 59166 63576
|
||||
rect 289 62768 60016 63440
|
||||
rect 880 62488 60016 62768
|
||||
rect 381 61952 60016 62488
|
||||
rect 381 61816 59166 61952
|
||||
rect 289 61952 60016 62488
|
||||
rect 289 61816 59166 61952
|
||||
rect 880 61672 59166 61816
|
||||
rect 880 61536 60016 61672
|
||||
rect 381 60728 60016 61536
|
||||
rect 289 60728 60016 61536
|
||||
rect 880 60448 60016 60728
|
||||
rect 381 60320 60016 60448
|
||||
rect 381 60040 59166 60320
|
||||
rect 381 59776 60016 60040
|
||||
rect 289 60320 60016 60448
|
||||
rect 289 60040 59166 60320
|
||||
rect 289 59776 60016 60040
|
||||
rect 880 59496 60016 59776
|
||||
rect 381 58688 60016 59496
|
||||
rect 289 58688 60016 59496
|
||||
rect 880 58552 60016 58688
|
||||
rect 880 58408 59166 58552
|
||||
rect 381 58272 59166 58408
|
||||
rect 381 57736 60016 58272
|
||||
rect 289 58272 59166 58408
|
||||
rect 289 57736 60016 58272
|
||||
rect 880 57456 60016 57736
|
||||
rect 381 56920 60016 57456
|
||||
rect 381 56648 59166 56920
|
||||
rect 289 56920 60016 57456
|
||||
rect 289 56648 59166 56920
|
||||
rect 880 56640 59166 56648
|
||||
rect 880 56368 60016 56640
|
||||
rect 381 55696 60016 56368
|
||||
rect 289 55696 60016 56368
|
||||
rect 880 55416 60016 55696
|
||||
rect 381 55152 60016 55416
|
||||
rect 381 54872 59166 55152
|
||||
rect 381 54608 60016 54872
|
||||
rect 289 55152 60016 55416
|
||||
rect 289 54872 59166 55152
|
||||
rect 289 54608 60016 54872
|
||||
rect 880 54328 60016 54608
|
||||
rect 381 53656 60016 54328
|
||||
rect 289 53656 60016 54328
|
||||
rect 880 53520 60016 53656
|
||||
rect 880 53376 59166 53520
|
||||
rect 381 53240 59166 53376
|
||||
rect 381 52568 60016 53240
|
||||
rect 289 53240 59166 53376
|
||||
rect 289 52568 60016 53240
|
||||
rect 880 52288 60016 52568
|
||||
rect 381 51752 60016 52288
|
||||
rect 381 51616 59166 51752
|
||||
rect 289 51752 60016 52288
|
||||
rect 289 51616 59166 51752
|
||||
rect 880 51472 59166 51616
|
||||
rect 880 51336 60016 51472
|
||||
rect 381 50528 60016 51336
|
||||
rect 289 50528 60016 51336
|
||||
rect 880 50248 60016 50528
|
||||
rect 381 50120 60016 50248
|
||||
rect 381 49840 59166 50120
|
||||
rect 381 49576 60016 49840
|
||||
rect 289 50120 60016 50248
|
||||
rect 289 49840 59166 50120
|
||||
rect 289 49576 60016 49840
|
||||
rect 880 49296 60016 49576
|
||||
rect 381 48488 60016 49296
|
||||
rect 289 48488 60016 49296
|
||||
rect 880 48352 60016 48488
|
||||
rect 880 48208 59166 48352
|
||||
rect 381 48072 59166 48208
|
||||
rect 381 47536 60016 48072
|
||||
rect 289 48072 59166 48208
|
||||
rect 289 47536 60016 48072
|
||||
rect 880 47256 60016 47536
|
||||
rect 381 46720 60016 47256
|
||||
rect 381 46448 59166 46720
|
||||
rect 289 46720 60016 47256
|
||||
rect 289 46448 59166 46720
|
||||
rect 880 46440 59166 46448
|
||||
rect 880 46168 60016 46440
|
||||
rect 381 45496 60016 46168
|
||||
rect 289 45496 60016 46168
|
||||
rect 880 45216 60016 45496
|
||||
rect 381 45088 60016 45216
|
||||
rect 381 44808 59166 45088
|
||||
rect 381 44408 60016 44808
|
||||
rect 289 45088 60016 45216
|
||||
rect 289 44808 59166 45088
|
||||
rect 289 44408 60016 44808
|
||||
rect 880 44128 60016 44408
|
||||
rect 381 43456 60016 44128
|
||||
rect 289 43456 60016 44128
|
||||
rect 880 43320 60016 43456
|
||||
rect 880 43176 59166 43320
|
||||
rect 381 43040 59166 43176
|
||||
rect 381 42368 60016 43040
|
||||
rect 289 43040 59166 43176
|
||||
rect 289 42368 60016 43040
|
||||
rect 880 42088 60016 42368
|
||||
rect 381 41688 60016 42088
|
||||
rect 381 41416 59166 41688
|
||||
rect 289 41688 60016 42088
|
||||
rect 289 41416 59166 41688
|
||||
rect 880 41408 59166 41416
|
||||
rect 880 41136 60016 41408
|
||||
rect 381 40328 60016 41136
|
||||
rect 289 40328 60016 41136
|
||||
rect 880 40048 60016 40328
|
||||
rect 381 39920 60016 40048
|
||||
rect 381 39640 59166 39920
|
||||
rect 381 39376 60016 39640
|
||||
rect 289 39920 60016 40048
|
||||
rect 289 39640 59166 39920
|
||||
rect 289 39376 60016 39640
|
||||
rect 880 39096 60016 39376
|
||||
rect 381 38288 60016 39096
|
||||
rect 289 38288 60016 39096
|
||||
rect 880 38008 59166 38288
|
||||
rect 381 37336 60016 38008
|
||||
rect 289 37336 60016 38008
|
||||
rect 880 37056 60016 37336
|
||||
rect 381 36520 60016 37056
|
||||
rect 381 36248 59166 36520
|
||||
rect 289 36520 60016 37056
|
||||
rect 289 36248 59166 36520
|
||||
rect 880 36240 59166 36248
|
||||
rect 880 35968 60016 36240
|
||||
rect 381 35296 60016 35968
|
||||
rect 289 35296 60016 35968
|
||||
rect 880 35016 60016 35296
|
||||
rect 381 34888 60016 35016
|
||||
rect 381 34608 59166 34888
|
||||
rect 381 34208 60016 34608
|
||||
rect 289 34888 60016 35016
|
||||
rect 289 34608 59166 34888
|
||||
rect 289 34208 60016 34608
|
||||
rect 880 33928 60016 34208
|
||||
rect 381 33256 60016 33928
|
||||
rect 289 33256 60016 33928
|
||||
rect 880 33120 60016 33256
|
||||
rect 880 32976 59166 33120
|
||||
rect 381 32840 59166 32976
|
||||
rect 381 32168 60016 32840
|
||||
rect 289 32840 59166 32976
|
||||
rect 289 32168 60016 32840
|
||||
rect 880 31888 60016 32168
|
||||
rect 381 31488 60016 31888
|
||||
rect 381 31216 59166 31488
|
||||
rect 289 31488 60016 31888
|
||||
rect 289 31216 59166 31488
|
||||
rect 880 31208 59166 31216
|
||||
rect 880 30936 60016 31208
|
||||
rect 381 30128 60016 30936
|
||||
rect 289 30128 60016 30936
|
||||
rect 880 29848 60016 30128
|
||||
rect 381 29720 60016 29848
|
||||
rect 381 29440 59166 29720
|
||||
rect 381 29176 60016 29440
|
||||
rect 289 29720 60016 29848
|
||||
rect 289 29440 59166 29720
|
||||
rect 289 29176 60016 29440
|
||||
rect 880 28896 60016 29176
|
||||
rect 381 28088 60016 28896
|
||||
rect 289 28088 60016 28896
|
||||
rect 880 27808 59166 28088
|
||||
rect 381 27136 60016 27808
|
||||
rect 289 27136 60016 27808
|
||||
rect 880 26856 60016 27136
|
||||
rect 381 26320 60016 26856
|
||||
rect 381 26048 59166 26320
|
||||
rect 289 26320 60016 26856
|
||||
rect 289 26048 59166 26320
|
||||
rect 880 26040 59166 26048
|
||||
rect 880 25768 60016 26040
|
||||
rect 381 25096 60016 25768
|
||||
rect 289 25096 60016 25768
|
||||
rect 880 24816 60016 25096
|
||||
rect 381 24688 60016 24816
|
||||
rect 381 24408 59166 24688
|
||||
rect 381 24008 60016 24408
|
||||
rect 289 24688 60016 24816
|
||||
rect 289 24408 59166 24688
|
||||
rect 289 24008 60016 24408
|
||||
rect 880 23728 60016 24008
|
||||
rect 381 23056 60016 23728
|
||||
rect 289 23056 60016 23728
|
||||
rect 880 22776 59166 23056
|
||||
rect 381 21968 60016 22776
|
||||
rect 289 21968 60016 22776
|
||||
rect 880 21688 60016 21968
|
||||
rect 381 21288 60016 21688
|
||||
rect 381 21016 59166 21288
|
||||
rect 289 21288 60016 21688
|
||||
rect 289 21016 59166 21288
|
||||
rect 880 21008 59166 21016
|
||||
rect 880 20736 60016 21008
|
||||
rect 381 19928 60016 20736
|
||||
rect 289 19928 60016 20736
|
||||
rect 880 19656 60016 19928
|
||||
rect 880 19648 59166 19656
|
||||
rect 381 19376 59166 19648
|
||||
rect 381 18976 60016 19376
|
||||
rect 289 19376 59166 19648
|
||||
rect 289 18976 60016 19376
|
||||
rect 880 18696 60016 18976
|
||||
rect 381 17888 60016 18696
|
||||
rect 289 17888 60016 18696
|
||||
rect 880 17608 59166 17888
|
||||
rect 381 16936 60016 17608
|
||||
rect 289 16936 60016 17608
|
||||
rect 880 16656 60016 16936
|
||||
rect 381 16256 60016 16656
|
||||
rect 381 15976 59166 16256
|
||||
rect 381 15848 60016 15976
|
||||
rect 289 16256 60016 16656
|
||||
rect 289 15976 59166 16256
|
||||
rect 289 15848 60016 15976
|
||||
rect 880 15568 60016 15848
|
||||
rect 381 14896 60016 15568
|
||||
rect 289 14896 60016 15568
|
||||
rect 880 14616 60016 14896
|
||||
rect 381 14488 60016 14616
|
||||
rect 381 14208 59166 14488
|
||||
rect 381 13808 60016 14208
|
||||
rect 289 14488 60016 14616
|
||||
rect 289 14208 59166 14488
|
||||
rect 289 13808 60016 14208
|
||||
rect 880 13528 60016 13808
|
||||
rect 381 12856 60016 13528
|
||||
rect 289 12856 60016 13528
|
||||
rect 880 12576 59166 12856
|
||||
rect 381 11768 60016 12576
|
||||
rect 289 11768 60016 12576
|
||||
rect 880 11488 60016 11768
|
||||
rect 381 11088 60016 11488
|
||||
rect 381 10816 59166 11088
|
||||
rect 289 11088 60016 11488
|
||||
rect 289 10816 59166 11088
|
||||
rect 880 10808 59166 10816
|
||||
rect 880 10536 60016 10808
|
||||
rect 381 9728 60016 10536
|
||||
rect 289 9728 60016 10536
|
||||
rect 880 9456 60016 9728
|
||||
rect 880 9448 59166 9456
|
||||
rect 381 9176 59166 9448
|
||||
rect 381 8776 60016 9176
|
||||
rect 289 9176 59166 9448
|
||||
rect 289 8776 60016 9176
|
||||
rect 880 8496 60016 8776
|
||||
rect 381 7688 60016 8496
|
||||
rect 289 7688 60016 8496
|
||||
rect 880 7408 59166 7688
|
||||
rect 381 6736 60016 7408
|
||||
rect 289 6736 60016 7408
|
||||
rect 880 6456 60016 6736
|
||||
rect 381 6056 60016 6456
|
||||
rect 381 5776 59166 6056
|
||||
rect 381 5648 60016 5776
|
||||
rect 289 6056 60016 6456
|
||||
rect 289 5776 59166 6056
|
||||
rect 289 5648 60016 5776
|
||||
rect 880 5368 60016 5648
|
||||
rect 381 4696 60016 5368
|
||||
rect 289 4696 60016 5368
|
||||
rect 880 4416 60016 4696
|
||||
rect 381 4288 60016 4416
|
||||
rect 381 4008 59166 4288
|
||||
rect 381 3608 60016 4008
|
||||
rect 289 4288 60016 4416
|
||||
rect 289 4008 59166 4288
|
||||
rect 289 3608 60016 4008
|
||||
rect 880 3328 60016 3608
|
||||
rect 381 2656 60016 3328
|
||||
rect 289 2656 60016 3328
|
||||
rect 880 2376 59166 2656
|
||||
rect 381 1568 60016 2376
|
||||
rect 289 1568 60016 2376
|
||||
rect 880 1288 60016 1568
|
||||
rect 381 1024 60016 1288
|
||||
rect 381 744 59166 1024
|
||||
rect 381 616 60016 744
|
||||
rect 880 446 60016 616
|
||||
rect 289 1024 60016 1288
|
||||
rect 289 744 59166 1024
|
||||
rect 289 616 60016 744
|
||||
rect 880 443 60016 616
|
||||
<< metal4 >>
|
||||
rect 4208 2128 4528 107760
|
||||
rect 19568 2128 19888 107760
|
||||
rect 34928 2128 35248 107760
|
||||
rect 50288 2128 50608 107760
|
||||
<< obsm4 >>
|
||||
rect 1163 3299 4128 106589
|
||||
rect 4608 3299 19488 106589
|
||||
rect 19968 3299 34848 106589
|
||||
rect 35328 3299 50208 106589
|
||||
rect 50688 3299 59925 106589
|
||||
rect 427 5339 4128 106317
|
||||
rect 4608 5339 19488 106317
|
||||
rect 19968 5339 34848 106317
|
||||
rect 35328 5339 50208 106317
|
||||
rect 50688 5339 59925 106317
|
||||
<< metal5 >>
|
||||
rect 1104 97206 58880 97526
|
||||
rect 1104 81888 58880 82208
|
||||
|
@ -975,7 +975,7 @@ rect 1104 35934 58880 36254
|
|||
rect 1104 20616 58880 20936
|
||||
rect 1104 5298 58880 5618
|
||||
<< obsm5 >>
|
||||
rect 3244 38940 28036 39260
|
||||
rect 16676 53220 41652 55580
|
||||
<< labels >>
|
||||
rlabel metal5 s 1104 20616 58880 20936 6 VGND
|
||||
port 1 nsew ground input
|
||||
|
@ -1791,8 +1791,8 @@ port 396 nsew signal input
|
|||
string LEFclass BLOCK
|
||||
string FIXED_BBOX 0 0 60046 110190
|
||||
string LEFview TRUE
|
||||
string GDS_FILE /home/ma/ef/caravel_openframe/openlane/housekeeping/runs/housekeeping/results/magic/housekeeping.gds
|
||||
string GDS_END 22580570
|
||||
string GDS_START 1111786
|
||||
string GDS_FILE /home/ma/ef/caravel_openframe/openlane/housekeeping/runs/housekeeping/results/finishing/housekeeping.gds
|
||||
string GDS_END 21816938
|
||||
string GDS_START 1139396
|
||||
<< end >>
|
||||
|
||||
|
|
|
@ -18,7 +18,7 @@ BLOCKS = $(shell find * -maxdepth 0 -type d)
|
|||
CONFIG = $(foreach block,$(BLOCKS), ./$(block)/config.tcl)
|
||||
CLEAN = $(foreach block,$(BLOCKS), clean-$(block))
|
||||
|
||||
OPENLANE_TAG ?= v0.20
|
||||
OPENLANE_TAG ?= 2021.11.23_01.42.34
|
||||
OPENLANE_IMAGE_NAME ?= efabless/openlane:$(OPENLANE_TAG)
|
||||
OPENLANE_BASIC_COMMAND = "cd $(PWD)/../openlane && flow.tcl -design ./$* -save_path .. -save -tag $* -overwrite"
|
||||
OPENLANE_INTERACTIVE_COMMAND = "cd $(PWD)/../openlane && flow.tcl -it -file ./$*/interactive.tcl"
|
||||
|
|
|
@ -1,28 +1,34 @@
|
|||
# input clock pins
|
||||
## MASTER CLOCKS
|
||||
create_clock [get_ports {"ext_clk"} ] -name "ext_clk" -period 25
|
||||
create_clock [get_ports {"pll_clk"} ] -name "pll_clk" -period 6.6666666666667
|
||||
create_clock [get_ports {"pll_clk90"} ] -name "pll_clk90" -period 6.6666666666667
|
||||
|
||||
## GENERATED CLOCKS
|
||||
# divided PLL clocks
|
||||
create_generated_clock -name pll_clk_divided -source [get_ports pll_clk] -divide_by 2 [get_pins _351_/Y]
|
||||
create_generated_clock -name pll_clk90_divided -source [get_ports pll_clk90] -divide_by 2 [get_pins _354_/Y]
|
||||
|
||||
# output clock pins, mux selected
|
||||
# assign core_ext_clk = (use_pll_first) ? ext_clk_syncd : ext_clk;
|
||||
create_generated_clock -name core_ext_clk -source [get_ports ext_clk] -divide_by 1 [get_pins _412_/X]
|
||||
create_generated_clock -name core_ext_clk_pll -source [get_ports pll_clk] -divide_by 1 [get_pins _412_/X]
|
||||
create_generated_clock -name core_ext_clk_syncd -source [get_pins _426_/Q] -divide_by 1 [get_pins _412_/X]
|
||||
|
||||
# assign core_clk = (use_pll_second) ? pll_clk_divided : core_ext_clk;
|
||||
create_generated_clock -name core_clk -source [get_pins _412_/X] -divide_by 1 [get_pins _393_/X]
|
||||
create_generated_clock -name core_clk_pll -source [get_pins _351_/Y] -divide_by 1 [get_pins _393_/X]
|
||||
|
||||
# assign user_clk = (use_pll_second) ? pll_clk90_divided : core_ext_clk;
|
||||
create_generated_clock -name user_clk -source [get_pins _412_/X] -divide_by 1 [get_pins _394_/X]
|
||||
create_generated_clock -name user_clk_pll -source [get_pins _354_/Y] -divide_by 1 [get_pins _394_/X]
|
||||
|
||||
# logically exclusive clocks, the generated pll clocks and the ext core clk
|
||||
set_clock_groups -logically_exclusive -group core_ext_clk -group core_ext_clk_pll
|
||||
set_clock_groups -logically_exclusive -group core_ext_clk -group core_ext_clk_syncd
|
||||
set_clock_groups -logically_exclusive -group core_clk -group core_clk_pll
|
||||
set_clock_groups -logically_exclusive -group user_clk -group user_clk_pll
|
||||
|
||||
set ext_clk_input_delay_value [expr 25 * $::env(IO_PCT)]
|
||||
set_clock_groups -logically_exclusive -group ext_clk -group {pll_clk pll_clk90 pll_clk_divided pll_clk90_divided}
|
||||
|
||||
## INPUT/OUTPUT DELAYS
|
||||
set ext_clk_input_delay_value 1
|
||||
set ext_clk_output_delay_value [expr 25 * $::env(IO_PCT)]
|
||||
set pll_clk_input_delay_value [expr 6.6666666666667 * $::env(IO_PCT)]
|
||||
set pll_clk_output_delay_value [expr 6.6666666666667 * $::env(IO_PCT)]
|
||||
|
@ -59,10 +65,8 @@ puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
|
|||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {ext_clk}]
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {pll_clk}]
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {pll_clk90}]
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {core_clk}]
|
||||
|
||||
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {ext_clk}]
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {pll_clk}]
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {pll_clk90}]
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {core_clk}]
|
|
@ -74,6 +74,3 @@ set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 1
|
|||
|
||||
## Diode Insertion
|
||||
set ::env(DIODE_INSERTION_STRATEGY) 3
|
||||
|
||||
##
|
||||
set ::env(QUIT_ON_TIMING_VIOLATIONS) 0
|
|
@ -1,25 +1,38 @@
|
|||
set ::env(WB_CLK_PERIOD) 25
|
||||
set ::env(SCK_CLK_PERIOD) 100
|
||||
set ::env(RESET_PORT) "wb_rstn_i"
|
||||
|
||||
## MASTER CLOCKS
|
||||
create_clock [get_ports {"wb_clk_i"} ] -name "wb_clk_i" -period $::env(WB_CLK_PERIOD)
|
||||
create_clock [get_ports {"mgmt_gpio_in[4]"} ] -name "mgmt_gpio_in" -period $::env(SCK_CLK_PERIOD)
|
||||
|
||||
# change the csclk pin whenever the synthesis receipe changes
|
||||
create_generated_clock -name "csclk" -source [get_ports {"mgmt_gpio_in[4]"} ] -divide_by 1 [get_pins {"_8889_/X"} ]
|
||||
## GENERATED CLOCKS
|
||||
# NOTE: change the clock pins whenever the synthesis receipe changes
|
||||
create_generated_clock -name "wbbd_sck" -source [get_ports {"wb_clk_i"} ] -divide_by 1 [get_pins {"_9640_/Q"} ]
|
||||
create_generated_clock -name "csclk_fast" -source [get_pins {"_9640_/Q"}] -divide_by 1 [get_pins {"_8847_/X"} ]
|
||||
create_generated_clock -name "csclk_slow" -source [get_ports {"mgmt_gpio_in[4]"} ] -divide_by 1 [get_pins {"_8847_/X"} ]
|
||||
|
||||
# paths between wb_clk_i and mgmt_gpio_in shouldn't be timed
|
||||
set_clock_groups -logically_exclusive -group wb_clk_i -group mgmt_gpio_in
|
||||
# mux output is logically exclusive
|
||||
set_clock_groups -logically_exclusive -group csclk_fast -group csclk_slow
|
||||
|
||||
## FALSE PATHS
|
||||
set_false_path -from [get_ports $::env(RESET_PORT)]
|
||||
set_false_path -from [get_ports "porb"]
|
||||
|
||||
## INPUT/OUTPUT DELAYS
|
||||
set input_delay_value [expr $::env(WB_CLK_PERIOD) * $::env(IO_PCT)]
|
||||
set output_delay_value [expr $::env(WB_CLK_PERIOD) * $::env(IO_PCT)]
|
||||
puts "\[INFO\]: Setting output delay to: $output_delay_value"
|
||||
puts "\[INFO\]: Setting input delay to: $input_delay_value"
|
||||
|
||||
|
||||
set sck_clk_indx [lsearch [all_inputs] [get_port "mgmt_gpio_in[4]"]]
|
||||
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
|
||||
set all_inputs_wo_clk [lreplace [all_inputs] $sck_clk_indx $sck_clk_indx]
|
||||
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
|
||||
set all_inputs_wo_clk_rst $all_inputs_wo_clk
|
||||
|
||||
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
|
||||
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk
|
||||
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
|
||||
|
||||
|
@ -29,16 +42,20 @@ set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
|
|||
puts "\[INFO\]: Setting load to: $cap_load"
|
||||
set_load $cap_load [all_outputs]
|
||||
|
||||
## TIMING DERATE
|
||||
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
|
||||
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
|
||||
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
|
||||
|
||||
## CLOCK UNCERTAINITY
|
||||
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {wb_clk_i}]
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {csclk}]
|
||||
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {mgmt_gpio_in}]
|
||||
|
||||
## CLOCK TRANSITION
|
||||
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {wb_clk_i}]
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {csclk}]
|
||||
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {mgmt_gpio_in}]
|
||||
|
||||
## FANOUT
|
||||
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
|
|
@ -13,6 +13,7 @@
|
|||
# limitations under the License.
|
||||
# SPDX-License-Identifier: Apache-2.0
|
||||
|
||||
# OR COMMIT: 182e733faa149c80f36cfd2198a83dcdeb7853ea
|
||||
set script_dir [file dirname [file normalize [info script]]]
|
||||
|
||||
set ::env(DESIGN_NAME) "housekeeping"
|
||||
|
@ -25,14 +26,15 @@ set ::env(VERILOG_FILES) "\
|
|||
$script_dir/../../verilog/rtl/housekeeping.v"
|
||||
|
||||
set ::env(CLOCK_PORT) "wb_clk_i"
|
||||
set ::env(CLOCK_NET) {wb_clk_i csclk mgmt_gpio_in[4]}
|
||||
set ::env(CLOCK_NET) "$::env(CLOCK_PORT) csclk mgmt_gpio_in\[4\]"
|
||||
|
||||
set ::env(BASE_SDC_FILE) $script_dir/base.sdc
|
||||
|
||||
## Synthesis
|
||||
set ::env(NO_SYNTH_CELL_LIST) $script_dir/no_synth.list
|
||||
set ::env(SYNTH_STRATEGY) "AREA 0"
|
||||
|
||||
set ::env(SYNTH_MAX_FANOUT) 10
|
||||
set ::env(SYNTH_MAX_FANOUT) 20
|
||||
|
||||
## Floorplan
|
||||
set ::env(FP_SIZING) absolute
|
||||
|
@ -45,7 +47,7 @@ set ::env(FP_IO_MIN_DISTANCE) 2
|
|||
set ::env(CELL_PAD) 0
|
||||
|
||||
## Routing
|
||||
set ::env(GLB_RT_ADJUSTMENT) 0.05
|
||||
set ::env(GLB_RT_ADJUSTMENT) 0.06
|
||||
set ::env(GLB_RT_OVERFLOW_ITERS) 100
|
||||
|
||||
set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.17
|
||||
|
@ -58,15 +60,11 @@ set ::env(GLB_RT_OBS) "\
|
|||
li1 0 538.84500 300.2300 550.95000"
|
||||
|
||||
## Placement
|
||||
set ::env(PL_TARGET_DENSITY) 0.384
|
||||
set ::env(PL_TARGET_DENSITY) 0.378
|
||||
|
||||
set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) .17
|
||||
set ::env(PL_RESIZER_MAX_SLEW_MARGIN) "30"
|
||||
|
||||
## Diode Insertion
|
||||
set ::env(DIODE_INSERTION_STRATEGY) "3"
|
||||
set ::env(GLB_RT_ANT_ITERS) "7"
|
||||
|
||||
# Disbale timing checks for now till the issue with the clock gating path is fixed
|
||||
# The timing reports show only one violating path from the mgmt_gpio_
|
||||
set ::env(QUIT_ON_TIMING_VIOLATIONS) 0
|
||||
set ::env(GLB_RT_ANT_ITERS) "7"
|
|
@ -1 +1 @@
|
|||
openlane 2021.09.09_03.00.48-71-ge0e6fbc
|
||||
openlane 2021.11.23_01.42.34-4-gd30e8f0
|
||||
|
|
|
@ -1,2 +1,2 @@
|
|||
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
|
||||
0,/home/ma/ef/caravel_openframe/openlane/caravel_clocking,caravel_clocking,caravel_clocking,flow_completed,0h2m46s,-1,89000.0,0.006,44500.0,67.18,584.66,267,0,0,0,0,0,0,0,0,0,0,-1,4949,1821,-3.73,-5.41,-1,-4.99,-1,-24.41,-36.68,-1,-28.88,-1,3295625.0,0.0,26.02,16.28,3.8,0.0,0.0,202,252,67,117,0,0,0,200,0,0,0,0,0,0,0,4,72,71,6,40,77,0,117,90.9090909090909,11.0,10.0,DELAY 0,5,50,1,15.5,16.9,0.7,0,sky130_fd_sc_hd,0,3
|
||||
0,/home/ma/ef/caravel_openframe/openlane/caravel_clocking,caravel_clocking,caravel_clocking,flow completed,0h1m28s0ms,0h1m20s0ms,89000.0,0.006,44500.0,67.18,643.87,267,0,0,0,0,0,0,0,0,0,0,-1,5198,1840,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3295625.0,0.0,28.06,15.81,0.0,0.0,0.0,202,252,67,117,0,0,0,200,0,0,0,0,0,0,0,4,72,71,6,40,77,0,117,100.0,10.0,10.0,DELAY 0,5,50,1,15.5,16.9,0.7,0,sky130_fd_sc_hd,0,3
|
||||
|
|
|
|
@ -1 +1 @@
|
|||
openlane 2021.09.09_03.00.48-71-ge0e6fbc
|
||||
openlane 2021.11.23_01.42.34-4-gd30e8f0
|
||||
|
|
|
@ -1,2 +1,2 @@
|
|||
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
|
||||
0,/home/ma/ef/caravel_openframe/openlane/housekeeping,housekeeping,housekeeping,flow_completed,0h25m7s,-1,64928.89438180887,0.16541171850000005,32464.447190904433,37.52,976.58,5370,0,0,0,0,0,0,0,52,0,66,-1,454708,62134,-1.5,-11.43,-1,0.0,-1,-753.9,-6155.54,-1,0.0,-1,313455162.0,25.69,39.14,63.89,15.31,31.29,2.02,8469,9603,194,1275,0,0,0,9230,0,0,0,0,0,0,0,4,1066,991,49,388,2156,0,2544,90.9090909090909,11.0,10.0,AREA 0,10,50,1,153.6,153.18,0.384,0.05,sky130_fd_sc_hd,0,3
|
||||
0,/home/ma/ef/caravel_openframe/openlane/housekeeping,housekeeping,housekeeping,flow completed,0h41m37s0ms,0h39m53s0ms,64674.9825043381,0.16541171850000005,32337.49125216905,37.46,1665.97,5349,0,0,0,0,0,0,0,37,0,0,-1,450469,59541,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,309143746.0,31.94,38.9,62.05,15.68,33.81,1.61,8469,9603,194,1275,0,0,0,9230,0,0,0,0,0,0,0,4,1066,991,49,388,2156,0,2544,100.0,10.0,10.0,AREA 0,20,50,1,153.6,153.18,0.37799999999999995,0.06,sky130_fd_sc_hd,0,3
|
||||
|
|
|
|
@ -4,6 +4,10 @@
|
|||
.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__dlygate4sd1_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__dlygate4sd1_1 A VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__xnor2_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__xnor2_1 A B VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
@ -52,6 +56,10 @@
|
|||
.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__dlymetal6s2s_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__dlymetal6s2s_1 A VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__nor2_1 A B VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
@ -72,10 +80,6 @@
|
|||
.subckt sky130_fd_sc_hd__nand3_1 A B C VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__dlymetal6s2s_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__dlymetal6s2s_1 A VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__o21ai_1 A1 A2 B1 VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
@ -148,6 +152,10 @@
|
|||
.subckt sky130_fd_sc_hd__dfrtp_1 CLK D RESET_B VGND VNB VPB VPWR Q
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__dfstp_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__dfstp_1 CLK D SET_B VGND VNB VPB VPWR Q
|
||||
.ends
|
||||
|
@ -164,6 +172,10 @@
|
|||
.subckt sky130_fd_sc_hd__and2b_1 A_N B VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__o21ai_2 A1 A2 B1 VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
|
||||
.ends
|
||||
|
@ -172,10 +184,6 @@
|
|||
.subckt sky130_fd_sc_hd__o2bb2ai_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__buf_6 abstract view
|
||||
.subckt sky130_fd_sc_hd__buf_6 A VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_1 abstract view
|
||||
.subckt sky130_fd_sc_hd__nor3_1 A B C VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
@ -188,6 +196,10 @@
|
|||
.subckt sky130_fd_sc_hd__buf_12 A VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_4 abstract view
|
||||
.subckt sky130_fd_sc_hd__mux2_4 A0 A1 S VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2ai_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__o2bb2ai_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
@ -208,23 +220,20 @@
|
|||
.subckt sky130_fd_sc_hd__o21bai_2 A1 A2 B1_N VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__o21ai_2 A1 A2 B1 VGND VNB VPB VPWR Y
|
||||
.ends
|
||||
|
||||
* Black-box entry subcircuit for sky130_fd_sc_hd__and2b_2 abstract view
|
||||
.subckt sky130_fd_sc_hd__and2b_2 A_N B VGND VNB VPB VPWR X
|
||||
.ends
|
||||
|
||||
.subckt caravel_clocking VGND VPWR core_clk ext_clk ext_clk_sel ext_reset pll_clk
|
||||
+ pll_clk90 resetb resetb_sync sel2[0] sel2[1] sel2[2] sel[0] sel[1] sel[2] user_clk
|
||||
X_294_ _397_/X VGND VGND VPWR VPWR _294_/Y sky130_fd_sc_hd__inv_2
|
||||
X_294_ _302_/B VGND VGND VPWR VPWR _294_/Y sky130_fd_sc_hd__inv_2
|
||||
Xrebuffer7 _431_/Q VGND VGND VPWR VPWR _314_/A2 sky130_fd_sc_hd__dlygate4sd1_1
|
||||
X_363_ _445_/Q _444_/Q VGND VGND VPWR VPWR _363_/Y sky130_fd_sc_hd__xnor2_1
|
||||
X_432_ _351_/Y _432_/D _343_/S VGND VGND VPWR VPWR _432_/Q sky130_fd_sc_hd__dfrtp_4
|
||||
XFILLER_9_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_346_ _346_/A VGND VGND VPWR VPWR _410_/S sky130_fd_sc_hd__inv_2
|
||||
XFILLER_12_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_415_ _411_/A1 _431_/Q VGND VGND VPWR VPWR _415_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
X_415_ _411_/A1 _415_/D VGND VGND VPWR VPWR _415_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
XFILLER_6_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_277_ _454_/Q VGND VGND VPWR VPWR _279_/B sky130_fd_sc_hd__inv_2
|
||||
XFILLER_5_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
|
@ -234,12 +243,13 @@ XFILLER_9_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
|||
XFILLER_9_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
Xclkbuf_1_1_0_pll_clk clkbuf_0_pll_clk/X VGND VGND VPWR VPWR _411_/A1 sky130_fd_sc_hd__clkbuf_2
|
||||
XFILLER_13_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_6_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_15_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_16_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XTAP_112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_13_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_3_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
Xrebuffer8 _314_/A2 VGND VGND VPWR VPWR _381_/A1 sky130_fd_sc_hd__dlymetal6s2s_1
|
||||
X_293_ _449_/Q _448_/Q VGND VGND VPWR VPWR _293_/Y sky130_fd_sc_hd__nor2_1
|
||||
X_362_ _444_/Q VGND VGND VPWR VPWR _362_/Y sky130_fd_sc_hd__clkinv_2
|
||||
X_431_ _351_/Y _431_/D _343_/S VGND VGND VPWR VPWR _431_/Q sky130_fd_sc_hd__dfstp_4
|
||||
|
@ -257,6 +267,7 @@ Xoutput10 _393_/X VGND VGND VPWR VPWR core_clk sky130_fd_sc_hd__clkbuf_1
|
|||
XTAP_113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_13_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
Xrebuffer9 _381_/A1 VGND VGND VPWR VPWR _382_/A1 sky130_fd_sc_hd__dlygate4sd1_1
|
||||
X_292_ _405_/X _286_/Y _291_/Y VGND VGND VPWR VPWR _451_/D sky130_fd_sc_hd__a21bo_1
|
||||
X_334__6 _453_/CLK VGND VGND VPWR VPWR _441_/CLK sky130_fd_sc_hd__inv_2
|
||||
X_361_ _453_/Q _361_/B VGND VGND VPWR VPWR _361_/X sky130_fd_sc_hd__xor2_1
|
||||
|
@ -268,9 +279,8 @@ XFILLER_0_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
XFILLER_2_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_258_ _258_/A _258_/B VGND VGND VPWR VPWR _266_/A sky130_fd_sc_hd__nand2_1
|
||||
X_327_ _432_/Q _416_/Q VGND VGND VPWR VPWR _327_/X sky130_fd_sc_hd__and2_1
|
||||
X_327_ _416_/D _416_/Q VGND VGND VPWR VPWR _327_/X sky130_fd_sc_hd__and2_1
|
||||
XFILLER_9_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_6_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_19_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
Xoutput11 _375_/Y VGND VGND VPWR VPWR resetb_sync sky130_fd_sc_hd__buf_2
|
||||
XFILLER_15_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
|
@ -283,9 +293,9 @@ X_343_ hold1/A _343_/A1 _343_/S VGND VGND VPWR VPWR _344_/A sky130_fd_sc_hd__mux
|
|||
X_412_ _412_/A0 _426_/Q _425_/D VGND VGND VPWR VPWR _412_/X sky130_fd_sc_hd__mux2_1
|
||||
X_274_ _453_/Q VGND VGND VPWR VPWR _276_/A sky130_fd_sc_hd__inv_2
|
||||
X_257_ _257_/A _257_/B _257_/C VGND VGND VPWR VPWR _459_/D sky130_fd_sc_hd__nand3_1
|
||||
X_326_ _432_/Q _416_/Q VGND VGND VPWR VPWR _326_/Y sky130_fd_sc_hd__nor2_1
|
||||
X_326_ _416_/D _416_/Q VGND VGND VPWR VPWR _326_/Y sky130_fd_sc_hd__nor2_1
|
||||
XFILLER_18_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_309_ _397_/S _309_/B _406_/S VGND VGND VPWR VPWR _316_/A sky130_fd_sc_hd__nand3_1
|
||||
X_309_ _309_/A _309_/B _406_/S VGND VGND VPWR VPWR _316_/A sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_6_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
Xoutput12 _394_/X VGND VGND VPWR VPWR user_clk sky130_fd_sc_hd__clkbuf_1
|
||||
XTAP_115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -310,18 +320,17 @@ XFILLER_18_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
|||
X_239_ _461_/Q VGND VGND VPWR VPWR _240_/B sky130_fd_sc_hd__inv_2
|
||||
X_308_ _442_/Q _441_/Q _440_/Q VGND VGND VPWR VPWR _406_/S sky130_fd_sc_hd__nor3b_2
|
||||
XFILLER_19_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_0_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XTAP_116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_9_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_12_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_8_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_8_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_272_ _346_/A _272_/B _272_/C VGND VGND VPWR VPWR _273_/B sky130_fd_sc_hd__nand3_1
|
||||
X_410_ _438_/Q _373_/Y _410_/S VGND VGND VPWR VPWR _410_/X sky130_fd_sc_hd__mux2_1
|
||||
X_341_ _430_/Q _364_/A _444_/Q VGND VGND VPWR VPWR _342_/B sky130_fd_sc_hd__nand3b_1
|
||||
XFILLER_5_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_255_ _437_/Q _438_/Q _436_/Q _254_/Y VGND VGND VPWR VPWR _258_/A sky130_fd_sc_hd__o211ai_1
|
||||
X_324_ _415_/Q _431_/Q VGND VGND VPWR VPWR _325_/B sky130_fd_sc_hd__or2b_1
|
||||
X_324_ _415_/Q _324_/B_N VGND VGND VPWR VPWR _325_/B sky130_fd_sc_hd__or2b_1
|
||||
XFILLER_9_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_238_ _462_/Q VGND VGND VPWR VPWR _240_/A sky130_fd_sc_hd__inv_2
|
||||
|
@ -330,20 +339,19 @@ XFILLER_19_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
|||
XFILLER_15_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XTAP_106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_13_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_271_ _346_/A _272_/B _272_/C VGND VGND VPWR VPWR _273_/A sky130_fd_sc_hd__a21o_1
|
||||
X_340_ _446_/Q _445_/Q VGND VGND VPWR VPWR _364_/A sky130_fd_sc_hd__nor2_1
|
||||
X_469_ _413_/A1 _469_/D _343_/S VGND VGND VPWR VPWR _469_/Q sky130_fd_sc_hd__dfrtp_1
|
||||
XFILLER_14_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_13_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_254_ _462_/Q _461_/Q VGND VGND VPWR VPWR _254_/Y sky130_fd_sc_hd__nor2_1
|
||||
X_323_ _415_/D _415_/Q VGND VGND VPWR VPWR _325_/A sky130_fd_sc_hd__or2b_1
|
||||
XFILLER_2_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_323_ _431_/Q _415_/Q VGND VGND VPWR VPWR _325_/A sky130_fd_sc_hd__or2b_1
|
||||
XFILLER_9_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_18_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_237_ _460_/Q _258_/B _390_/X VGND VGND VPWR VPWR _237_/Y sky130_fd_sc_hd__nand3b_1
|
||||
X_306_ _306_/A _306_/B VGND VGND VPWR VPWR _397_/S sky130_fd_sc_hd__nor2_1
|
||||
XFILLER_3_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_306_ _306_/A _306_/B VGND VGND VPWR VPWR _397_/S sky130_fd_sc_hd__nor2_2
|
||||
XFILLER_16_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XTAP_107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_15_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
|
@ -354,11 +362,12 @@ XFILLER_12_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
|||
X_270_ _455_/Q VGND VGND VPWR VPWR _272_/C sky130_fd_sc_hd__inv_2
|
||||
XFILLER_5_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
X_468_ _413_/A1 _468_/D _343_/S VGND VGND VPWR VPWR _468_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_399_ _398_/X _432_/Q _443_/Q VGND VGND VPWR VPWR _399_/X sky130_fd_sc_hd__mux2_1
|
||||
X_399_ _398_/X _416_/D _443_/Q VGND VGND VPWR VPWR _399_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_2_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_322_ _322_/A VGND VGND VPWR VPWR _444_/D sky130_fd_sc_hd__clkbuf_1
|
||||
X_253_ _253_/A _253_/B _456_/Q VGND VGND VPWR VPWR _253_/Y sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_13_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_1_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_236_ _467_/Q _234_/Y _235_/Y VGND VGND VPWR VPWR _236_/Y sky130_fd_sc_hd__o21bai_1
|
||||
Xclkbuf_1_1_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _413_/A1 sky130_fd_sc_hd__clkbuf_2
|
||||
XFILLER_1_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
|
@ -377,7 +386,7 @@ XFILLER_4_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
X_252_ _457_/Q VGND VGND VPWR VPWR _253_/B sky130_fd_sc_hd__inv_2
|
||||
X_321_ _400_/X _444_/Q _430_/Q VGND VGND VPWR VPWR _322_/A sky130_fd_sc_hd__mux2_1
|
||||
Xclkbuf_1_0_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _465_/CLK sky130_fd_sc_hd__clkbuf_2
|
||||
X_235_ _390_/X VGND VGND VPWR VPWR _235_/Y sky130_fd_sc_hd__inv_2
|
||||
X_235_ _243_/B VGND VGND VPWR VPWR _235_/Y sky130_fd_sc_hd__inv_2
|
||||
X_304_ _294_/Y _448_/Q _296_/Y VGND VGND VPWR VPWR _448_/D sky130_fd_sc_hd__a21bo_1
|
||||
XFILLER_1_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_1_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
|
@ -414,22 +423,22 @@ XFILLER_16_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
X_396_ _395_/X _438_/Q _467_/Q VGND VGND VPWR VPWR _396_/X sky130_fd_sc_hd__mux2_1
|
||||
X_465_ _465_/CLK _465_/D _343_/S VGND VGND VPWR VPWR _465_/Q sky130_fd_sc_hd__dfrtp_1
|
||||
XFILLER_4_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_250_ _390_/S _250_/B _408_/S VGND VGND VPWR VPWR _257_/A sky130_fd_sc_hd__nand3_1
|
||||
X_250_ _250_/A _250_/B _408_/S VGND VGND VPWR VPWR _257_/A sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_13_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_305__5 _453_/CLK VGND VGND VPWR VPWR _447_/CLK sky130_fd_sc_hd__inv_2
|
||||
X_379_ _368_/Y _436_/Q _391_/S VGND VGND VPWR VPWR _379_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_1_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_448_ _453_/CLK _448_/D _343_/S VGND VGND VPWR VPWR _448_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
X_233_ _380_/X _217_/Y _232_/Y VGND VGND VPWR VPWR _463_/D sky130_fd_sc_hd__a21bo_1
|
||||
X_302_ _448_/Q _397_/X VGND VGND VPWR VPWR _302_/X sky130_fd_sc_hd__and2b_1
|
||||
X_302_ _448_/Q _302_/B VGND VGND VPWR VPWR _302_/X sky130_fd_sc_hd__and2b_1
|
||||
XFILLER_6_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_19_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_18_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_216_ _467_/Q VGND VGND VPWR VPWR _258_/B sky130_fd_sc_hd__inv_2
|
||||
XFILLER_15_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_8_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_7_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_464_ _465_/CLK _464_/D _343_/S VGND VGND VPWR VPWR _464_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_395_ _367_/X _438_/Q _408_/S VGND VGND VPWR VPWR _395_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_13_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
|
@ -437,16 +446,16 @@ XFILLER_1_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
|||
X_447_ _447_/CLK _447_/D _343_/S VGND VGND VPWR VPWR _447_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_232_ _232_/A _257_/C _463_/Q VGND VGND VPWR VPWR _232_/Y sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_19_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_215_ _437_/Q _438_/Q _436_/Q VGND VGND VPWR VPWR _247_/A sky130_fd_sc_hd__o21ai_1
|
||||
X_215_ _437_/Q _438_/Q _436_/Q VGND VGND VPWR VPWR _247_/A sky130_fd_sc_hd__o21ai_2
|
||||
XFILLER_15_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_15_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_394_ _412_/X _354_/Y _425_/Q VGND VGND VPWR VPWR _394_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_4_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_4_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_463_ _413_/A1 _463_/D _343_/S VGND VGND VPWR VPWR _463_/Q sky130_fd_sc_hd__dfrtp_2
|
||||
XFILLER_13_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_446_ _411_/A1 _446_/D _343_/S VGND VGND VPWR VPWR _446_/Q sky130_fd_sc_hd__dfrtp_1
|
||||
X_377_ _470_/Q _377_/B VGND VGND VPWR VPWR _470_/D sky130_fd_sc_hd__xor2_1
|
||||
|
@ -457,12 +466,11 @@ XFILLER_1_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
X_429_ _351_/Y _429_/D _343_/S VGND VGND VPWR VPWR _432_/D sky130_fd_sc_hd__dfrtp_1
|
||||
XFILLER_19_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XTAP_90 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
Xinput1 ext_clk_sel VGND VGND VPWR VPWR _374_/A sky130_fd_sc_hd__buf_6
|
||||
Xinput1 ext_clk_sel VGND VGND VPWR VPWR _374_/A sky130_fd_sc_hd__clkbuf_1
|
||||
XFILLER_18_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_214_ _211_/Y _212_/X _223_/C VGND VGND VPWR VPWR _214_/Y sky130_fd_sc_hd__o21ai_1
|
||||
XFILLER_15_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_12_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_12_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_8_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
|
@ -485,10 +493,10 @@ XTAP_80 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
|||
X_213_ _437_/Q _438_/Q _436_/Q VGND VGND VPWR VPWR _223_/C sky130_fd_sc_hd__o21a_1
|
||||
XFILLER_15_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_7_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_12_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_7_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_392_ _391_/X _437_/Q _467_/Q VGND VGND VPWR VPWR _392_/X sky130_fd_sc_hd__mux2_1
|
||||
X_392_ _391_/X _418_/D _467_/Q VGND VGND VPWR VPWR _392_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_4_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_461_ _461_/CLK _461_/D _343_/S VGND VGND VPWR VPWR _461_/Q sky130_fd_sc_hd__dfstp_1
|
||||
XFILLER_1_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
|
@ -500,16 +508,16 @@ XFILLER_1_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
|||
X_427_ _351_/Y _427_/D _343_/S VGND VGND VPWR VPWR _430_/D sky130_fd_sc_hd__dfrtp_1
|
||||
Xinput3 resetb VGND VGND VPWR VPWR _343_/S sky130_fd_sc_hd__buf_12
|
||||
XTAP_92 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_81 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_289_ _291_/A _452_/Q _316_/C VGND VGND VPWR VPWR _289_/Y sky130_fd_sc_hd__nand3_1
|
||||
XTAP_70 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_81 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_19_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_19_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_212_ _419_/Q _438_/Q VGND VGND VPWR VPWR _212_/X sky130_fd_sc_hd__and2_1
|
||||
XFILLER_18_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_2_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_391_ _369_/Y _437_/Q _391_/S VGND VGND VPWR VPWR _391_/X sky130_fd_sc_hd__mux2_1
|
||||
X_391_ _369_/Y _418_/D _391_/S VGND VGND VPWR VPWR _391_/X sky130_fd_sc_hd__mux2_1
|
||||
X_460_ _465_/CLK _460_/D _343_/S VGND VGND VPWR VPWR _460_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
XFILLER_4_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_443_ _411_/A1 _443_/D _343_/S VGND VGND VPWR VPWR _443_/Q sky130_fd_sc_hd__dfrtp_4
|
||||
|
@ -527,10 +535,9 @@ XTAP_60 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
|||
XTAP_71 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_211_ _419_/Q _438_/Q VGND VGND VPWR VPWR _211_/Y sky130_fd_sc_hd__nor2_1
|
||||
X_409_ _408_/X _437_/Q _467_/Q VGND VGND VPWR VPWR _409_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_2_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_7_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_12_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_390_ _217_/Y _467_/Q _390_/S VGND VGND VPWR VPWR _390_/X sky130_fd_sc_hd__mux2_1
|
||||
X_390_ _217_/Y _467_/Q _390_/S VGND VGND VPWR VPWR _390_/X sky130_fd_sc_hd__mux2_4
|
||||
XFILLER_8_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_4_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_373_ _469_/Q _468_/Q VGND VGND VPWR VPWR _373_/Y sky130_fd_sc_hd__xnor2_1
|
||||
|
@ -550,20 +557,19 @@ XFILLER_18_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
|||
XFILLER_18_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
X_408_ _365_/Y _437_/Q _408_/S VGND VGND VPWR VPWR _408_/X sky130_fd_sc_hd__mux2_1
|
||||
X_210_ _210_/A _210_/B _417_/Q VGND VGND VPWR VPWR _210_/Y sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_2_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_339_ _337_/Y _335_/A _338_/Y VGND VGND VPWR VPWR _440_/D sky130_fd_sc_hd__o21ai_1
|
||||
XFILLER_15_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_7_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_16_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_16_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_12_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_7_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_17_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_4_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_3_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_3_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_372_ _468_/Q VGND VGND VPWR VPWR _372_/Y sky130_fd_sc_hd__clkinv_2
|
||||
X_441_ _441_/CLK _441_/D _343_/S VGND VGND VPWR VPWR _441_/Q sky130_fd_sc_hd__dfstp_1
|
||||
Xclkbuf_1_0_0_ext_clk clkbuf_0_ext_clk/X VGND VGND VPWR VPWR _343_/A1 sky130_fd_sc_hd__clkbuf_2
|
||||
XFILLER_5_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_355_ _441_/Q _440_/Q VGND VGND VPWR VPWR _355_/Y sky130_fd_sc_hd__xnor2_1
|
||||
X_286_ _306_/A _330_/B VGND VGND VPWR VPWR _286_/Y sky130_fd_sc_hd__nand2_2
|
||||
X_424_ _411_/A1 _424_/D _343_/S VGND VGND VPWR VPWR _425_/D sky130_fd_sc_hd__dfrtp_1
|
||||
|
@ -577,6 +583,7 @@ XTAP_73 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
|||
XFILLER_18_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_2_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_269_ _436_/Q VGND VGND VPWR VPWR _272_/B sky130_fd_sc_hd__inv_2
|
||||
XFILLER_2_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_407_ _406_/X _432_/Q _443_/Q VGND VGND VPWR VPWR _407_/X sky130_fd_sc_hd__mux2_1
|
||||
X_338_ _338_/A _386_/X VGND VGND VPWR VPWR _338_/Y sky130_fd_sc_hd__nand2_1
|
||||
XFILLER_15_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
|
@ -586,9 +593,9 @@ XFILLER_4_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
|||
XFILLER_13_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_440_ _453_/CLK _440_/D _343_/S VGND VGND VPWR VPWR _440_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
X_371_ _465_/Q _371_/B VGND VGND VPWR VPWR _371_/X sky130_fd_sc_hd__xor2_1
|
||||
XFILLER_5_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
X_423_ _393_/X _423_/D _343_/S VGND VGND VPWR VPWR _423_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_354_ _272_/B _413_/X _232_/A _353_/Y VGND VGND VPWR VPWR _354_/Y sky130_fd_sc_hd__o2bb2ai_2
|
||||
XFILLER_14_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_285_ _285_/A _316_/C _285_/C VGND VGND VPWR VPWR _454_/D sky130_fd_sc_hd__nand3_1
|
||||
XTAP_96 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_85 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -613,6 +620,7 @@ X_353_ _466_/Q _459_/Q VGND VGND VPWR VPWR _353_/Y sky130_fd_sc_hd__xnor2_1
|
|||
X_284_ _291_/A _345_/A _279_/B VGND VGND VPWR VPWR _285_/C sky130_fd_sc_hd__o21bai_1
|
||||
XFILLER_6_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XTAP_97 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_14_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XTAP_86 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_42 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_53 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -625,22 +633,22 @@ X_336_ _312_/B _335_/A _335_/Y VGND VGND VPWR VPWR _441_/D sky130_fd_sc_hd__o21a
|
|||
XFILLER_2_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_319_ _403_/X _445_/Q _430_/Q VGND VGND VPWR VPWR _320_/A sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_11_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_7_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_16_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_4_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_1_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_5_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_421_ _393_/X _422_/Q _343_/S VGND VGND VPWR VPWR _421_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_352_ _437_/Q _438_/Q VGND VGND VPWR VPWR _413_/S sky130_fd_sc_hd__nor2_1
|
||||
X_352_ _418_/D _438_/Q VGND VGND VPWR VPWR _413_/S sky130_fd_sc_hd__nor2_1
|
||||
XTAP_98 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XFILLER_14_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XTAP_87 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
Xinput9 sel[2] VGND VGND VPWR VPWR _429_/D sky130_fd_sc_hd__clkbuf_1
|
||||
XTAP_43 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_54 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_283_ _306_/A VGND VGND VPWR VPWR _291_/A sky130_fd_sc_hd__clkbuf_2
|
||||
XTAP_65 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_76 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
Xinput9 sel[2] VGND VGND VPWR VPWR _429_/D sky130_fd_sc_hd__clkbuf_1
|
||||
XFILLER_18_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_266_ _266_/A _384_/X VGND VGND VPWR VPWR _266_/Y sky130_fd_sc_hd__nand2_1
|
||||
X_335_ _335_/A _382_/X VGND VGND VPWR VPWR _335_/Y sky130_fd_sc_hd__nand2_1
|
||||
|
@ -649,15 +657,16 @@ XFILLER_11_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
|||
X_249_ _458_/Q _457_/Q _456_/Q VGND VGND VPWR VPWR _408_/S sky130_fd_sc_hd__nor3b_2
|
||||
X_318_ _446_/Q _318_/B VGND VGND VPWR VPWR _446_/D sky130_fd_sc_hd__xor2_1
|
||||
XFILLER_16_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_7_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_7_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
Xhold1 hold1/A VGND VGND VPWR VPWR hold1/X sky130_fd_sc_hd__clkdlybuf4s25_1
|
||||
X_378__13 VGND VGND VPWR VPWR _378__13/HI _423_/D sky130_fd_sc_hd__conb_1
|
||||
XFILLER_0_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_0_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_0_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_5_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_5_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_420_ _453_/CLK _420_/D VGND VGND VPWR VPWR hold1/A sky130_fd_sc_hd__dfxtp_1
|
||||
X_351_ _291_/A _349_/Y _350_/X VGND VGND VPWR VPWR _351_/Y sky130_fd_sc_hd__o21bai_2
|
||||
XFILLER_5_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_282_ _432_/Q _431_/Q _430_/Q VGND VGND VPWR VPWR _306_/A sky130_fd_sc_hd__o21ai_2
|
||||
XTAP_99 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_88 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -681,7 +690,6 @@ XFILLER_8_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
|||
XFILLER_0_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_246__2 _465_/CLK VGND VGND VPWR VPWR _459_/CLK sky130_fd_sc_hd__inv_2
|
||||
XFILLER_5_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_14_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_281_ _330_/B VGND VGND VPWR VPWR _316_/C sky130_fd_sc_hd__clkbuf_2
|
||||
X_350_ _430_/Q _411_/X VGND VGND VPWR VPWR _350_/X sky130_fd_sc_hd__and2b_2
|
||||
XTAP_89 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -693,8 +701,9 @@ XFILLER_18_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
|||
XFILLER_18_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_4_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_264_ _253_/B _263_/A _263_/Y VGND VGND VPWR VPWR _457_/D sky130_fd_sc_hd__o21ai_1
|
||||
XFILLER_2_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_333_ _312_/A _335_/A _332_/Y VGND VGND VPWR VPWR _442_/D sky130_fd_sc_hd__o21ai_1
|
||||
X_402_ _401_/X _431_/Q _443_/Q VGND VGND VPWR VPWR _402_/X sky130_fd_sc_hd__mux2_1
|
||||
X_402_ _401_/X _415_/D _443_/Q VGND VGND VPWR VPWR _402_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_14_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_14_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_247_ _247_/A _247_/B VGND VGND VPWR VPWR _390_/S sky130_fd_sc_hd__nor2_1
|
||||
|
@ -703,7 +712,6 @@ XFILLER_6_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
|||
XFILLER_0_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_5_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_14_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
X_280_ _443_/Q VGND VGND VPWR VPWR _330_/B sky130_fd_sc_hd__inv_2
|
||||
XTAP_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_57 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -714,14 +722,13 @@ XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
|||
X_332_ _335_/A _407_/X VGND VGND VPWR VPWR _332_/Y sky130_fd_sc_hd__nand2_1
|
||||
XFILLER_2_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_2_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_401_ _359_/Y _431_/Q _404_/S VGND VGND VPWR VPWR _401_/X sky130_fd_sc_hd__mux2_1
|
||||
X_401_ _359_/Y _415_/D _404_/S VGND VGND VPWR VPWR _401_/X sky130_fd_sc_hd__mux2_1
|
||||
XFILLER_11_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_315_ _312_/Y _330_/A _309_/B VGND VGND VPWR VPWR _316_/B sky130_fd_sc_hd__o21bai_1
|
||||
X_229_ _389_/X _217_/Y _228_/Y VGND VGND VPWR VPWR _465_/D sky130_fd_sc_hd__a21bo_1
|
||||
XFILLER_17_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_3_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_3_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_8_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_262__3 _465_/CLK VGND VGND VPWR VPWR _457_/CLK sky130_fd_sc_hd__inv_2
|
||||
XFILLER_14_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XTAP_47 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
@ -729,24 +736,26 @@ XTAP_58 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
|||
XTAP_69 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_331_ _338_/A VGND VGND VPWR VPWR _335_/A sky130_fd_sc_hd__dlymetal6s2s_1
|
||||
X_400_ _431_/Q _362_/Y _403_/S VGND VGND VPWR VPWR _400_/X sky130_fd_sc_hd__mux2_1
|
||||
X_400_ _415_/D _362_/Y _403_/S VGND VGND VPWR VPWR _400_/X sky130_fd_sc_hd__mux2_1
|
||||
XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_17_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_245_ _235_/Y _460_/Q _237_/Y VGND VGND VPWR VPWR _460_/D sky130_fd_sc_hd__a21bo_1
|
||||
X_314_ _432_/Q _431_/Q _430_/Q _313_/Y VGND VGND VPWR VPWR _330_/A sky130_fd_sc_hd__o211ai_1
|
||||
X_314_ _432_/Q _314_/A2 _430_/Q _313_/Y VGND VGND VPWR VPWR _330_/A sky130_fd_sc_hd__o211ai_1
|
||||
XFILLER_9_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_11_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_8_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_228_ _232_/A _257_/C _465_/Q VGND VGND VPWR VPWR _228_/Y sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_5_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_5_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_14_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XTAP_48 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
XTAP_59 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_261_ _253_/A _263_/A _260_/Y VGND VGND VPWR VPWR _458_/D sky130_fd_sc_hd__o21ai_1
|
||||
XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_330_ _330_/A _330_/B VGND VGND VPWR VPWR _338_/A sky130_fd_sc_hd__nand2_1
|
||||
XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_17_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_459_ _459_/CLK _459_/D _343_/S VGND VGND VPWR VPWR _459_/Q sky130_fd_sc_hd__dfstp_1
|
||||
XFILLER_11_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
|
@ -758,7 +767,7 @@ XFILLER_6_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
XFILLER_17_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_3_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_0_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_14_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_14_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_14_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XTAP_49 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_260_ _263_/A _396_/X VGND VGND VPWR VPWR _260_/Y sky130_fd_sc_hd__nand2_1
|
||||
|
@ -767,24 +776,21 @@ XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
|||
XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_458_ _465_/CLK _458_/D _343_/S VGND VGND VPWR VPWR _458_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
X_389_ _388_/X _438_/Q _467_/Q VGND VGND VPWR VPWR _389_/X sky130_fd_sc_hd__mux2_1
|
||||
X_243_ _460_/Q _390_/X VGND VGND VPWR VPWR _243_/X sky130_fd_sc_hd__and2b_1
|
||||
X_243_ _460_/Q _243_/B VGND VGND VPWR VPWR _243_/X sky130_fd_sc_hd__and2b_1
|
||||
X_312_ _312_/A _312_/B _440_/Q VGND VGND VPWR VPWR _312_/Y sky130_fd_sc_hd__nand3_1
|
||||
XFILLER_7_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_19_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_226_ _232_/A _347_/A _223_/B VGND VGND VPWR VPWR _227_/C sky130_fd_sc_hd__o21bai_1
|
||||
XFILLER_6_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_6_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_10_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_6_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_17_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_3_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_3_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
X_209_ _437_/Q _418_/Q VGND VGND VPWR VPWR _210_/B sky130_fd_sc_hd__or2b_1
|
||||
X_209_ _418_/D _418_/Q VGND VGND VPWR VPWR _210_/B sky130_fd_sc_hd__or2b_1
|
||||
XFILLER_0_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_5_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_14_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_14_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
Xsplit12 _432_/Q VGND VGND VPWR VPWR _416_/D sky130_fd_sc_hd__clkbuf_2
|
||||
XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_6_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_457_ _457_/CLK _457_/D _343_/S VGND VGND VPWR VPWR _457_/Q sky130_fd_sc_hd__dfstp_1
|
||||
|
@ -804,12 +810,13 @@ XFILLER_5_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
|||
XFILLER_5_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_1_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_17_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_456_ _465_/CLK _456_/D _343_/S VGND VGND VPWR VPWR _456_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
XFILLER_15_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_387_ _437_/Q _372_/Y _410_/S VGND VGND VPWR VPWR _387_/X sky130_fd_sc_hd__mux2_1
|
||||
X_387_ _418_/D _372_/Y _410_/S VGND VGND VPWR VPWR _387_/X sky130_fd_sc_hd__mux2_1
|
||||
X_310_ _442_/Q VGND VGND VPWR VPWR _312_/A sky130_fd_sc_hd__inv_2
|
||||
X_241_ _462_/Q _236_/Y _237_/Y _247_/B VGND VGND VPWR VPWR _462_/D sky130_fd_sc_hd__o2bb2ai_1
|
||||
X_439_ _411_/A1 _439_/D _343_/S VGND VGND VPWR VPWR _439_/Q sky130_fd_sc_hd__dfstp_1
|
||||
|
@ -819,7 +826,9 @@ X_207_ _207_/A VGND VGND VPWR VPWR _468_/D sky130_fd_sc_hd__clkbuf_1
|
|||
XFILLER_0_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_9_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_9_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
Xsplit1 _437_/Q VGND VGND VPWR VPWR _418_/D sky130_fd_sc_hd__buf_2
|
||||
XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
Xrebuffer10 _390_/X VGND VGND VPWR VPWR _243_/B sky130_fd_sc_hd__clkbuf_1
|
||||
XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_17_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
|
@ -837,18 +846,22 @@ XFILLER_17_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
|||
XFILLER_18_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_14_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_14_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
Xsplit2 split2/A VGND VGND VPWR VPWR _415_/D sky130_fd_sc_hd__clkbuf_2
|
||||
XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
Xrebuffer11 _397_/S VGND VGND VPWR VPWR _309_/A sky130_fd_sc_hd__dlygate4sd1_1
|
||||
XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_17_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
X_385_ _337_/Y _430_/Q _406_/S VGND VGND VPWR VPWR _385_/X sky130_fd_sc_hd__mux2_1
|
||||
X_454_ _411_/A1 _454_/D _343_/S VGND VGND VPWR VPWR _454_/Q sky130_fd_sc_hd__dfstp_1
|
||||
XFILLER_14_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_437_ _354_/Y _437_/D _343_/S VGND VGND VPWR VPWR _437_/Q sky130_fd_sc_hd__dfstp_4
|
||||
Xrebuffer2 _390_/S VGND VGND VPWR VPWR _250_/A sky130_fd_sc_hd__dlygate4sd1_1
|
||||
X_368_ _463_/Q VGND VGND VPWR VPWR _368_/Y sky130_fd_sc_hd__clkinv_2
|
||||
X_299_ _299_/A _299_/B VGND VGND VPWR VPWR _306_/B sky130_fd_sc_hd__nand2_1
|
||||
X_222_ _466_/Q VGND VGND VPWR VPWR _223_/B sky130_fd_sc_hd__inv_2
|
||||
XFILLER_10_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_6_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_17_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_205_ _205_/A VGND VGND VPWR VPWR _469_/D sky130_fd_sc_hd__clkbuf_1
|
||||
Xclkbuf_0_pll_clk90 pll_clk90 VGND VGND VPWR VPWR clkbuf_0_pll_clk90/X sky130_fd_sc_hd__clkbuf_16
|
||||
|
@ -857,7 +870,6 @@ XFILLER_9_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
|||
X_470_ _413_/A1 _470_/D _343_/S VGND VGND VPWR VPWR _470_/Q sky130_fd_sc_hd__dfrtp_1
|
||||
XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_6_95 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_384_ _383_/X _436_/Q _467_/Q VGND VGND VPWR VPWR _384_/X sky130_fd_sc_hd__mux2_1
|
||||
X_453_ _453_/CLK _453_/D _343_/S VGND VGND VPWR VPWR _453_/Q sky130_fd_sc_hd__dfrtp_1
|
||||
|
@ -868,6 +880,7 @@ X_436_ _354_/Y _436_/D _343_/S VGND VGND VPWR VPWR _436_/Q sky130_fd_sc_hd__dfrt
|
|||
XFILLER_13_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_3_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_3_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
Xrebuffer3 _397_/X VGND VGND VPWR VPWR _302_/B sky130_fd_sc_hd__buf_2
|
||||
X_298_ _449_/Q VGND VGND VPWR VPWR _299_/B sky130_fd_sc_hd__inv_2
|
||||
X_221_ _221_/A _221_/B _463_/Q VGND VGND VPWR VPWR _347_/A sky130_fd_sc_hd__nand3_1
|
||||
X_419_ _413_/A1 _438_/Q VGND VGND VPWR VPWR _419_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
|
@ -881,36 +894,36 @@ X_383_ _265_/Y _436_/Q _408_/S VGND VGND VPWR VPWR _383_/X sky130_fd_sc_hd__mux2
|
|||
X_452_ _453_/CLK _452_/D _343_/S VGND VGND VPWR VPWR _452_/Q sky130_fd_sc_hd__dfstp_1
|
||||
X_366_ _457_/Q _456_/Q VGND VGND VPWR VPWR _367_/B sky130_fd_sc_hd__nor2_1
|
||||
X_435_ _354_/Y _435_/D _343_/S VGND VGND VPWR VPWR _438_/D sky130_fd_sc_hd__dfrtp_1
|
||||
Xrebuffer4 _431_/Q VGND VGND VPWR VPWR split2/A sky130_fd_sc_hd__dlygate4sd1_1
|
||||
X_297_ _450_/Q VGND VGND VPWR VPWR _299_/A sky130_fd_sc_hd__inv_2
|
||||
XFILLER_9_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_3_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_220_ _464_/Q VGND VGND VPWR VPWR _221_/B sky130_fd_sc_hd__inv_2
|
||||
XFILLER_10_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_418_ _465_/CLK _437_/Q VGND VGND VPWR VPWR _418_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
X_418_ _465_/CLK _418_/D VGND VGND VPWR VPWR _418_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
X_349_ _454_/Q _447_/Q VGND VGND VPWR VPWR _349_/Y sky130_fd_sc_hd__xnor2_1
|
||||
XFILLER_18_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_15_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_451_ _411_/A1 _451_/D _343_/S VGND VGND VPWR VPWR _451_/Q sky130_fd_sc_hd__dfrtp_2
|
||||
X_382_ _381_/X _431_/Q _443_/Q VGND VGND VPWR VPWR _382_/X sky130_fd_sc_hd__mux2_1
|
||||
X_382_ _381_/X _382_/A1 _443_/Q VGND VGND VPWR VPWR _382_/X sky130_fd_sc_hd__mux2_1
|
||||
X_365_ _457_/Q _456_/Q VGND VGND VPWR VPWR _365_/Y sky130_fd_sc_hd__xnor2_1
|
||||
X_434_ _354_/Y _434_/D _343_/S VGND VGND VPWR VPWR _437_/D sky130_fd_sc_hd__dfstp_1
|
||||
X_296_ _448_/Q _330_/B _397_/X VGND VGND VPWR VPWR _296_/Y sky130_fd_sc_hd__nand3b_1
|
||||
XFILLER_9_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
Xrebuffer5 split2/A VGND VGND VPWR VPWR _324_/B_N sky130_fd_sc_hd__dlygate4sd1_1
|
||||
XFILLER_12_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_12_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_6_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
XFILLER_10_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
X_417_ _413_/A1 _436_/Q VGND VGND VPWR VPWR _417_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
X_279_ _345_/A _279_/B _279_/C VGND VGND VPWR VPWR _285_/A sky130_fd_sc_hd__nand3b_1
|
||||
X_348_ _432_/Q _431_/Q VGND VGND VPWR VPWR _411_/S sky130_fd_sc_hd__nor2_1
|
||||
X_348_ _416_/D _415_/D VGND VGND VPWR VPWR _411_/S sky130_fd_sc_hd__nor2_1
|
||||
XFILLER_2_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
|
||||
XFILLER_6_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
||||
XFILLER_6_65 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_15_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
|
||||
X_381_ _355_/Y _431_/Q _406_/S VGND VGND VPWR VPWR _381_/X sky130_fd_sc_hd__mux2_1
|
||||
X_381_ _355_/Y _381_/A1 _406_/S VGND VGND VPWR VPWR _381_/X sky130_fd_sc_hd__mux2_1
|
||||
X_450_ _453_/CLK _450_/D _343_/S VGND VGND VPWR VPWR _450_/Q sky130_fd_sc_hd__dfrtn_1
|
||||
XTAP_110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
X_433_ _354_/Y _433_/D _343_/S VGND VGND VPWR VPWR _436_/D sky130_fd_sc_hd__dfrtp_1
|
||||
|
@ -918,16 +931,17 @@ XFILLER_13_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
|
|||
XFILLER_13_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_295_ _443_/Q _293_/Y _294_/Y VGND VGND VPWR VPWR _295_/Y sky130_fd_sc_hd__o21bai_1
|
||||
X_364_ _364_/A _444_/Q VGND VGND VPWR VPWR _403_/S sky130_fd_sc_hd__nand2_1
|
||||
Xrebuffer6 _431_/Q VGND VGND VPWR VPWR _278_/A2 sky130_fd_sc_hd__dlygate4sd1_1
|
||||
XFILLER_9_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
XFILLER_9_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
X_347_ _347_/A VGND VGND VPWR VPWR _391_/S sky130_fd_sc_hd__inv_2
|
||||
XFILLER_12_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_278_ _432_/Q _431_/Q _430_/Q VGND VGND VPWR VPWR _279_/C sky130_fd_sc_hd__o21a_1
|
||||
X_416_ _411_/A1 _432_/Q VGND VGND VPWR VPWR _416_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
X_278_ _416_/D _278_/A2 _430_/Q VGND VGND VPWR VPWR _279_/C sky130_fd_sc_hd__o21a_1
|
||||
X_416_ _411_/A1 _416_/D VGND VGND VPWR VPWR _416_/Q sky130_fd_sc_hd__dfxtp_1
|
||||
XFILLER_5_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_5_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
|
||||
XFILLER_0_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_9_65 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
|
||||
XFILLER_6_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
|
||||
XFILLER_15_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
|
||||
X_380_ _379_/X _436_/Q _467_/Q VGND VGND VPWR VPWR _380_/X sky130_fd_sc_hd__mux2_1
|
||||
XTAP_111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
|
||||
|
|
File diff suppressed because it is too large
Load Diff
|
@ -298,7 +298,20 @@ module caravel_clocking (VGND,
|
|||
wire net19;
|
||||
wire net2;
|
||||
wire net20;
|
||||
wire net21;
|
||||
wire net22;
|
||||
wire net23;
|
||||
wire net24;
|
||||
wire net25;
|
||||
wire net26;
|
||||
wire net27;
|
||||
wire net28;
|
||||
wire net29;
|
||||
wire net3;
|
||||
wire net30;
|
||||
wire net31;
|
||||
wire net32;
|
||||
wire net33;
|
||||
wire net4;
|
||||
wire net5;
|
||||
wire net6;
|
||||
|
@ -344,11 +357,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_0_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_0_201 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_2 FILLER_0_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -608,7 +617,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_13_70 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_6 FILLER_13_76 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -660,27 +669,15 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_14_42 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_14_45 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_2 FILLER_14_52 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_12 FILLER_14_70 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_2 FILLER_14_57 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_14_67 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_2 FILLER_14_82 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -760,10 +757,6 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_16_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_16_201 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
|
@ -1000,6 +993,14 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_1_183 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_2 FILLER_1_200 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
|
@ -1088,11 +1089,11 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_2_70 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_6 FILLER_2_77 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_2 FILLER_2_82 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_2_83 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1136,11 +1137,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_3_190 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_2 FILLER_3_200 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_12 FILLER_3_190 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1252,7 +1249,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_8 FILLER_5_194 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_5_201 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1280,11 +1277,11 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_5_60 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_2 FILLER_5_57 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_5_72 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_8 FILLER_5_68 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1296,6 +1293,10 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_6_102 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_6 FILLER_6_107 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
|
@ -1320,10 +1321,6 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_6_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_6_201 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
|
@ -1336,15 +1333,11 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_6_54 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_2 FILLER_6_55 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_6_58 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_3 FILLER_6_65 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_6_67 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1352,14 +1345,6 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_6_80 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_8 FILLER_6_95 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_3 FILLER_7_109 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
|
@ -1384,15 +1369,15 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_7_169 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_6 FILLER_7_169 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_7_181 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_8 FILLER_7_179 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_6 FILLER_7_193 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_7_187 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1412,11 +1397,15 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_12 FILLER_7_67 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__decap_6 FILLER_7_57 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_6 FILLER_7_79 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_1 FILLER_7_76 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_3 FILLER_7_82 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1440,11 +1429,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__decap_4 FILLER_8_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__fill_1 FILLER_8_201 (.VGND(VGND),
|
||||
sky130_fd_sc_hd__fill_2 FILLER_8_197 (.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
|
@ -1897,7 +1882,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__or2b_1 _209_ (.A(\divider2.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__or2b_1 _209_ (.A(net23),
|
||||
.B_N(\divider2.odd_0.old_N[1] ),
|
||||
.X(_090_),
|
||||
.VGND(VGND),
|
||||
|
@ -1942,7 +1927,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__o21ai_1 _215_ (.A1(\divider2.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__o21ai_2 _215_ (.A1(\divider2.even_0.N[1] ),
|
||||
.A2(\divider2.even_0.N[2] ),
|
||||
.B1(\divider2.even_0.N[0] ),
|
||||
.Y(_096_),
|
||||
|
@ -2088,7 +2073,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__inv_2 _235_ (.A(_002_),
|
||||
sky130_fd_sc_hd__inv_2 _235_ (.A(net31),
|
||||
.Y(_110_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2145,7 +2130,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__and2b_1 _243_ (.A_N(\divider2.odd_0.initial_begin[0] ),
|
||||
.B(_002_),
|
||||
.B(net31),
|
||||
.X(_116_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2194,7 +2179,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nand3_1 _250_ (.A(_027_),
|
||||
sky130_fd_sc_hd__nand3_1 _250_ (.A(net24),
|
||||
.B(_117_),
|
||||
.C(_028_),
|
||||
.Y(_118_),
|
||||
|
@ -2393,8 +2378,8 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__o21a_1 _278_ (.A1(\divider.even_0.N[2] ),
|
||||
.A2(\divider.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__o21a_1 _278_ (.A1(net33),
|
||||
.A2(net27),
|
||||
.B1(\divider.even_0.N[0] ),
|
||||
.X(_139_),
|
||||
.VGND(VGND),
|
||||
|
@ -2513,7 +2498,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__inv_2 _294_ (.A(_003_),
|
||||
sky130_fd_sc_hd__inv_2 _294_ (.A(net22),
|
||||
.Y(_150_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2570,7 +2555,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__and2b_1 _302_ (.A_N(\divider.odd_0.initial_begin[0] ),
|
||||
.B(_003_),
|
||||
.B(net22),
|
||||
.X(_156_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2598,7 +2583,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nor2_1 _306_ (.A(_143_),
|
||||
sky130_fd_sc_hd__nor2_2 _306_ (.A(_143_),
|
||||
.B(_155_),
|
||||
.Y(_023_),
|
||||
.VGND(VGND),
|
||||
|
@ -2619,7 +2604,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nand3_1 _309_ (.A(_023_),
|
||||
sky130_fd_sc_hd__nand3_1 _309_ (.A(net32),
|
||||
.B(_157_),
|
||||
.C(_024_),
|
||||
.Y(_158_),
|
||||
|
@ -2655,7 +2640,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__o211ai_1 _314_ (.A1(\divider.even_0.N[2] ),
|
||||
.A2(\divider.even_0.N[1] ),
|
||||
.A2(net28),
|
||||
.B1(\divider.even_0.N[0] ),
|
||||
.C1(_162_),
|
||||
.Y(_163_),
|
||||
|
@ -2722,7 +2707,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__or2b_1 _323_ (.A(\divider.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__or2b_1 _323_ (.A(net21),
|
||||
.B_N(\divider.odd_0.old_N[1] ),
|
||||
.X(_168_),
|
||||
.VGND(VGND),
|
||||
|
@ -2730,7 +2715,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__or2b_1 _324_ (.A(\divider.odd_0.old_N[1] ),
|
||||
.B_N(\divider.even_0.N[1] ),
|
||||
.B_N(net26),
|
||||
.X(_169_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2744,14 +2729,14 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nor2_1 _326_ (.A(\divider.even_0.N[2] ),
|
||||
sky130_fd_sc_hd__nor2_1 _326_ (.A(net33),
|
||||
.B(\divider.odd_0.old_N[2] ),
|
||||
.Y(_171_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__and2_1 _327_ (.A(\divider.even_0.N[2] ),
|
||||
sky130_fd_sc_hd__and2_1 _327_ (.A(net33),
|
||||
.B(\divider.odd_0.old_N[2] ),
|
||||
.X(_172_),
|
||||
.VGND(VGND),
|
||||
|
@ -2898,8 +2883,8 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nor2_1 _348_ (.A(\divider.even_0.N[2] ),
|
||||
.B(\divider.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__nor2_1 _348_ (.A(net33),
|
||||
.B(net21),
|
||||
.Y(_020_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -2927,7 +2912,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__nor2_1 _352_ (.A(\divider2.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__nor2_1 _352_ (.A(net23),
|
||||
.B(\divider2.even_0.N[2] ),
|
||||
.Y(_021_),
|
||||
.VGND(VGND),
|
||||
|
@ -3129,7 +3114,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _381_ (.A0(_033_),
|
||||
.A1(\divider.even_0.N[1] ),
|
||||
.A1(net29),
|
||||
.S(_024_),
|
||||
.X(_192_),
|
||||
.VGND(VGND),
|
||||
|
@ -3137,7 +3122,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _382_ (.A0(_192_),
|
||||
.A1(\divider.even_0.N[1] ),
|
||||
.A1(net30),
|
||||
.S(\divider.odd_0.rst_pulse ),
|
||||
.X(_007_),
|
||||
.VGND(VGND),
|
||||
|
@ -3176,7 +3161,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _387_ (.A0(\divider2.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__mux2_1 _387_ (.A0(net23),
|
||||
.A1(_046_),
|
||||
.S(_026_),
|
||||
.X(_012_),
|
||||
|
@ -3200,7 +3185,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _390_ (.A0(_000_),
|
||||
sky130_fd_sc_hd__mux2_4 _390_ (.A0(_000_),
|
||||
.A1(\divider2.odd_0.rst_pulse ),
|
||||
.S(_027_),
|
||||
.X(_002_),
|
||||
|
@ -3209,7 +3194,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _391_ (.A0(_044_),
|
||||
.A1(\divider2.even_0.N[1] ),
|
||||
.A1(net23),
|
||||
.S(_029_),
|
||||
.X(_201_),
|
||||
.VGND(VGND),
|
||||
|
@ -3217,7 +3202,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _392_ (.A0(_201_),
|
||||
.A1(\divider2.even_0.N[1] ),
|
||||
.A1(net23),
|
||||
.S(\divider2.odd_0.rst_pulse ),
|
||||
.X(_018_),
|
||||
.VGND(VGND),
|
||||
|
@ -3273,14 +3258,14 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _399_ (.A0(_196_),
|
||||
.A1(\divider.even_0.N[2] ),
|
||||
.A1(net33),
|
||||
.S(\divider.odd_0.rst_pulse ),
|
||||
.X(_011_),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _400_ (.A0(\divider.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__mux2_1 _400_ (.A0(net21),
|
||||
.A1(_038_),
|
||||
.S(_022_),
|
||||
.X(_004_),
|
||||
|
@ -3289,7 +3274,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _401_ (.A0(_036_),
|
||||
.A1(\divider.even_0.N[1] ),
|
||||
.A1(net21),
|
||||
.S(_025_),
|
||||
.X(_195_),
|
||||
.VGND(VGND),
|
||||
|
@ -3297,7 +3282,7 @@ module caravel_clocking (VGND,
|
|||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__mux2_1 _402_ (.A0(_195_),
|
||||
.A1(\divider.even_0.N[1] ),
|
||||
.A1(net21),
|
||||
.S(\divider.odd_0.rst_pulse ),
|
||||
.X(_010_),
|
||||
.VGND(VGND),
|
||||
|
@ -3399,14 +3384,14 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dfxtp_1 _415_ (.D(\divider.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__dfxtp_1 _415_ (.D(net21),
|
||||
.Q(\divider.odd_0.old_N[1] ),
|
||||
.CLK(clknet_1_1_0_pll_clk),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dfxtp_1 _416_ (.D(\divider.even_0.N[2] ),
|
||||
sky130_fd_sc_hd__dfxtp_1 _416_ (.D(net33),
|
||||
.Q(\divider.odd_0.old_N[2] ),
|
||||
.CLK(clknet_1_1_0_pll_clk),
|
||||
.VGND(VGND),
|
||||
|
@ -3420,7 +3405,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dfxtp_1 _418_ (.D(\divider2.even_0.N[1] ),
|
||||
sky130_fd_sc_hd__dfxtp_1 _418_ (.D(net23),
|
||||
.Q(\divider2.odd_0.old_N[1] ),
|
||||
.CLK(clknet_1_0_0_pll_clk90),
|
||||
.VGND(VGND),
|
||||
|
@ -3901,7 +3886,7 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__buf_6 input1 (.A(ext_clk_sel),
|
||||
sky130_fd_sc_hd__clkbuf_1 input1 (.A(ext_clk_sel),
|
||||
.X(net1),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
|
@ -3973,4 +3958,82 @@ module caravel_clocking (VGND,
|
|||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__clkbuf_1 rebuffer10 (.A(_002_),
|
||||
.X(net31),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer11 (.A(_023_),
|
||||
.X(net32),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer2 (.A(_027_),
|
||||
.X(net24),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__buf_2 rebuffer3 (.A(_003_),
|
||||
.X(net22),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer4 (.A(\divider.even_0.N[1] ),
|
||||
.X(net25),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(net25),
|
||||
.X(net26),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(\divider.even_0.N[1] ),
|
||||
.X(net27),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer7 (.A(\divider.even_0.N[1] ),
|
||||
.X(net28),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer8 (.A(net28),
|
||||
.X(net29),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__dlygate4sd1_1 rebuffer9 (.A(net29),
|
||||
.X(net30),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__buf_2 split1 (.A(\divider2.even_0.N[1] ),
|
||||
.X(net23),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__clkbuf_2 split12 (.A(\divider.even_0.N[2] ),
|
||||
.X(net33),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
sky130_fd_sc_hd__clkbuf_2 split2 (.A(net25),
|
||||
.X(net21),
|
||||
.VGND(VGND),
|
||||
.VNB(VGND),
|
||||
.VPB(VPWR),
|
||||
.VPWR(VPWR));
|
||||
endmodule
|
||||
|
|
File diff suppressed because it is too large
Load Diff
Loading…
Reference in New Issue