diff --git a/gds/gpio_defaults_block_0402.gds b/gds/gpio_defaults_block_0402.gds deleted file mode 100644 index d026e809..00000000 Binary files a/gds/gpio_defaults_block_0402.gds and /dev/null differ diff --git a/gds/gpio_defaults_block_1403.gds b/gds/gpio_defaults_block_1403.gds deleted file mode 100644 index 53cb8a9c..00000000 Binary files a/gds/gpio_defaults_block_1403.gds and /dev/null differ diff --git a/gds/gpio_defaults_block_1803.gds b/gds/gpio_defaults_block_1803.gds deleted file mode 100644 index 7ba12701..00000000 Binary files a/gds/gpio_defaults_block_1803.gds and /dev/null differ diff --git a/scripts/check_density.py b/scripts/check_density.py new file mode 100755 index 00000000..25f44bab --- /dev/null +++ b/scripts/check_density.py @@ -0,0 +1,616 @@ +#!/usr/bin/env python3 +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 + +# +# check_density.py --- +# +# Run density checks on the final (filled) GDS. +# + +import sys +import os +import re +import select +import subprocess + +def usage(): + print("Usage:") + print("check_density.py [] [-keep]") + print("") + print("where:") + print(" is the path to the project top level directory.") + print("") + print(" If is not given, then it is assumed to be the cwd.") + print(" If '-keep' is specified, then keep the check script.") + return 0 + + +if __name__ == '__main__': + + optionlist = [] + arguments = [] + + debugmode = False + keepmode = False + + for option in sys.argv[1:]: + if option.find('-', 0) == 0: + optionlist.append(option) + else: + arguments.append(option) + + if len(arguments) > 1: + print("Wrong number of arguments given to check_density.py.") + usage() + sys.exit(0) + + if len(arguments) == 1: + user_project_path = arguments[0] + else: + user_project_path = os.getcwd() + + # Check for valid user path + + if not os.path.isdir(user_project_path): + print('Error: Project path "' + user_project_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid user ID + user_id_value = None + if os.path.isfile(user_project_path + '/info.yaml'): + with open(user_project_path + '/info.yaml', 'r') as ifile: + infolines = ifile.read().splitlines() + for line in infolines: + kvpair = line.split(':') + if len(kvpair) == 2: + key = kvpair[0].strip() + value = kvpair[1].strip() + if key == 'project_id': + user_id_value = value.strip('"\'') + break + + if user_id_value: + project = 'caravel' + project_with_id = 'caravel_' + user_id_value + else: + print('Error: No project_id found in info.yaml file.') + sys.exit(1) + + if '-debug' in optionlist: + debugmode = True + if '-keep' in optionlist: + keepmode = True + + magpath = user_project_path + '/mag' + rcfile = magpath + '/.magicrc' + + with open(magpath + '/check_density.tcl', 'w') as ofile: + print('#!/bin/env wish', file=ofile) + print('crashbackups stop', file=ofile) + print('drc off', file=ofile) + print('snap internal', file=ofile) + + print('set starttime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Started reading GDS: $starttime"', file=ofile) + print('', file=ofile) + print('flush stdout', file=ofile) + print('update idletasks', file=ofile) + + # Read final project from .gds + print('gds readonly true', file=ofile) + print('gds rescale false', file=ofile) + print('gds read ../gds/' + project_with_id + '.gds', file=ofile) + print('', file=ofile) + + print('set midtime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Starting density checks: $midtime"', file=ofile) + print('', file=ofile) + print('flush stdout', file=ofile) + print('update idletasks', file=ofile) + + # Get step box dimensions (700um for size and 70um for FOM step) + # Use 350um for stepping on other layers. + print('box values 0 0 0 0', file=ofile) + # print('box size 700um 700um', file=ofile) + # print('set stepbox [box values]', file=ofile) + # print('set stepwidth [lindex $stepbox 2]', file=ofile) + # print('set stepheight [lindex $stepbox 3]', file=ofile) + + print('box size 70um 70um', file=ofile) + print('set stepbox [box values]', file=ofile) + print('set stepsizex [lindex $stepbox 2]', file=ofile) + print('set stepsizey [lindex $stepbox 3]', file=ofile) + + print('select top cell', file=ofile) + print('expand', file=ofile) + + # Modify the box to be inside the seal ring area (shrink 5um) + print('box grow c -5um', file=ofile) + print('set fullbox [box values]', file=ofile) + + print('set xmax [lindex $fullbox 2]', file=ofile) + print('set xmin [lindex $fullbox 0]', file=ofile) + print('set fullwidth [expr {$xmax - $xmin}]', file=ofile) + print('set xtiles [expr {int(ceil(($fullwidth + 0.0) / $stepsizex))}]', file=ofile) + print('set ymax [lindex $fullbox 3]', file=ofile) + print('set ymin [lindex $fullbox 1]', file=ofile) + print('set fullheight [expr {$ymax - $ymin}]', file=ofile) + print('set ytiles [expr {int(ceil(($fullheight + 0.0) / $stepsizey))}]', file=ofile) + print('box size $stepsizex $stepsizey', file=ofile) + print('set xbase [lindex $fullbox 0]', file=ofile) + print('set ybase [lindex $fullbox 1]', file=ofile) + print('', file=ofile) + + print('puts stdout "XTILES: $xtiles"', file=ofile) + print('puts stdout "YTILES: $ytiles"', file=ofile) + print('', file=ofile) + + # Need to know what fraction of a full tile is the last row and column + print('set xfrac [expr {($xtiles * $stepsizex - $fullwidth + 0.0) / $stepsizex}]', file=ofile) + print('set yfrac [expr {($ytiles * $stepsizey - $fullheight + 0.0) / $stepsizey}]', file=ofile) + print('puts stdout "XFRAC: $xfrac"', file=ofile) + print('puts stdout "YFRAC: $yfrac"', file=ofile) + + print('cif ostyle density', file=ofile) + + # Process density at steps. For efficiency, this is done in 70x70 um + # areas, dumped to a file, and then aggregated into the 700x700 areas. + + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' set xlo [expr $xbase + $x * $stepsizex]', file=ofile) + print(' set ylo [expr $ybase + $y * $stepsizey]', file=ofile) + print(' set xhi [expr $xlo + $stepsizex]', file=ofile) + print(' set yhi [expr $ylo + $stepsizey]', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + + # Flatten this area + print(' flatten -dobbox -nolabels tile', file=ofile) + print(' load tile', file=ofile) + print(' select top cell', file=ofile) + + # Run density check for each layer + print(' puts stdout "Density results for tile x=$x y=$y"', file=ofile) + + print(' set fdens [cif list cover fom_all]', file=ofile) + print(' set pdens [cif list cover poly_all]', file=ofile) + print(' set ldens [cif list cover li_all]', file=ofile) + print(' set m1dens [cif list cover m1_all]', file=ofile) + print(' set m2dens [cif list cover m2_all]', file=ofile) + print(' set m3dens [cif list cover m3_all]', file=ofile) + print(' set m4dens [cif list cover m4_all]', file=ofile) + print(' set m5dens [cif list cover m5_all]', file=ofile) + print(' puts stdout "FOM: $fdens"', file=ofile) + print(' puts stdout "POLY: $pdens"', file=ofile) + print(' puts stdout "LI1: $ldens"', file=ofile) + print(' puts stdout "MET1: $m1dens"', file=ofile) + print(' puts stdout "MET2: $m2dens"', file=ofile) + print(' puts stdout "MET3: $m3dens"', file=ofile) + print(' puts stdout "MET4: $m4dens"', file=ofile) + print(' puts stdout "MET5: $m5dens"', file=ofile) + print(' flush stdout', file=ofile) + print(' update idletasks', file=ofile) + + print(' load ' + project_with_id, file=ofile) + print(' cellname delete tile', file=ofile) + + print(' }', file=ofile) + print('}', file=ofile) + + print('set endtime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Ended: $endtime"', file=ofile) + print('', file=ofile) + + + myenv = os.environ.copy() + # Real views are necessary for the DRC checks + myenv['MAGTYPE'] = 'mag' + + print('Running density checks on file ' + project_with_id + '.gds', flush=True) + + mproc = subprocess.Popen(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, magpath + '/check_density.tcl'], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + + # Use signal to poll the process and generate any output as it arrives + + dlines = [] + + while mproc: + status = mproc.poll() + if status != None: + try: + output = mproc.communicate(timeout=1) + except ValueError: + print('Magic forced stop, status ' + str(status)) + sys.exit(1) + else: + outlines = output[0] + errlines = output[1] + for line in outlines.splitlines(): + dlines.append(line) + print(line) + for line in errlines.splitlines(): + print(line) + print('Magic exited with status ' + str(status)) + if int(status) != 0: + sys.exit(int(status)) + else: + break + else: + n = 0 + while True: + n += 1 + if n > 100: + n = 0 + status = mproc.poll() + if status != None: + break + sresult = select.select([mproc.stdout, mproc.stderr], [], [], 0.5)[0] + if mproc.stdout in sresult: + outstring = mproc.stdout.readline().strip() + dlines.append(outstring) + print(outstring) + elif mproc.stderr in sresult: + outstring = mproc.stderr.readline().strip() + print(outstring) + else: + break + + fomfill = [] + polyfill = [] + lifill = [] + met1fill = [] + met2fill = [] + met3fill = [] + met4fill = [] + met5fill = [] + xtiles = 0 + ytiles = 0 + xfrac = 0.0 + yfrac = 0.0 + + for line in dlines: + dpair = line.split(':') + if len(dpair) == 2: + layer = dpair[0] + try: + density = float(dpair[1].strip()) + except: + continue + if layer == 'FOM': + fomfill.append(density) + elif layer == 'POLY': + polyfill.append(density) + elif layer == 'LI1': + lifill.append(density) + elif layer == 'MET1': + met1fill.append(density) + elif layer == 'MET2': + met2fill.append(density) + elif layer == 'MET3': + met3fill.append(density) + elif layer == 'MET4': + met4fill.append(density) + elif layer == 'MET5': + met5fill.append(density) + elif layer == 'XTILES': + xtiles = int(dpair[1].strip()) + elif layer == 'YTILES': + ytiles = int(dpair[1].strip()) + elif layer == 'XFRAC': + xfrac = float(dpair[1].strip()) + elif layer == 'YFRAC': + yfrac = float(dpair[1].strip()) + + if ytiles == 0 or xtiles == 0: + print('Failed to read XTILES or YTILES from output.') + sys.exit(1) + + total_tiles = (ytiles - 9) * (xtiles - 9) + + print('') + print('Density results (total tiles = ' + str(total_tiles) + '):') + + # For FOM, step at 70um intervals (same as 70um check area) + fomstep = 1 + + # For poly, step only at 700um intervals (10 * 70um check area) + polystep = 10 + + # For all metals, step only at 350um intervals (5 * 70um check area) + metalstep = 5 + + # Full areas are 10 x 10 tiles = 100. But the right and top sides are + # not full tiles, so the full area must be prorated. + + sideadjust = 90.0 + (10.0 * xfrac) + topadjust = 90.0 + (10.0 * yfrac) + corneradjust = 81.0 + (9.0 * xfrac) + (9.0 * yfrac) + (xfrac * yfrac) + + print('') + print('FOM Density:') + for y in range(0, ytiles - 9, fomstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, fomstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + fomaccum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + fomaccum += sum(fomfill[base : base + 10]) + + fomaccum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(fomaccum)) + if fomaccum < 0.33: + print('***Error: FOM Density < 33%') + elif fomaccum > 0.57: + print('***Error: FOM Density > 57%') + + print('') + print('POLY Density:') + for y in range(0, ytiles - 9, polystep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, polystep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + polyaccum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + polyaccum += sum(polyfill[base : base + 10]) + + polyaccum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(polyaccum)) + + print('') + print('LI Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + liaccum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + liaccum += sum(lifill[base : base + 10]) + + liaccum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(liaccum)) + if liaccum < 0.35: + print('***Error: LI Density < 35%') + elif liaccum > 0.60: + print('***Error: LI Density > 60%') + + print('') + print('MET1 Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + met1accum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + met1accum += sum(met1fill[base : base + 10]) + + met1accum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(met1accum)) + if met1accum < 0.35: + print('***Error: MET1 Density < 35%') + elif met1accum > 0.60: + print('***Error: MET1 Density > 60%') + + print('') + print('MET2 Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + met2accum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + met2accum += sum(met2fill[base : base + 10]) + + met2accum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(met2accum)) + if met2accum < 0.35: + print('***Error: MET2 Density < 35%') + elif met2accum > 0.60: + print('***Error: MET2 Density > 60%') + + print('') + print('MET3 Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + met3accum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + met3accum += sum(met3fill[base : base + 10]) + + met3accum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(met3accum)) + if met3accum < 0.35: + print('***Error: MET3 Density < 35%') + elif met3accum > 0.60: + print('***Error: MET3 Density > 60%') + + print('') + print('MET4 Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + met4accum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + met4accum += sum(met4fill[base : base + 10]) + + met4accum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(met4accum)) + if met4accum < 0.35: + print('***Error: MET4 Density < 35%') + elif met4accum > 0.60: + print('***Error: MET4 Density > 60%') + + print('') + print('MET5 Density:') + for y in range(0, ytiles - 9, metalstep): + if y == ytiles - 10: + atotal = topadjust + else: + atotal = 100.0 + for x in range(0, xtiles - 9, metalstep): + if x == xtiles - 10: + if y == ytiles - 10: + atotal = corneradjust + else: + atotal = sideadjust + met5accum = 0 + for w in range(y, y + 10): + base = xtiles * w + x + met5accum += sum(met5fill[base : base + 10]) + + met5accum /= atotal + print('Tile (' + str(x) + ', ' + str(y) + '): ' + str(met5accum)) + if met5accum < 0.45: + print('***Error: MET5 Density < 45%') + elif met5accum > 0.76: + print('***Error: MET5 Density > 76%') + + print('') + print('Whole-chip density results:') + + atotal = ((xtiles - 1.0) * (ytiles - 1.0)) + ((ytiles - 1.0) * xfrac) + ((xtiles - 1.0) * yfrac) + (xfrac * yfrac) + + fomaccum = sum(fomfill) / atotal + print('') + print('FOM Density: ' + str(fomaccum)) + if fomaccum < 0.33: + print('***Error: FOM Density < 33%') + elif fomaccum > 0.57: + print('***Error: FOM Density > 57%') + + polyaccum = sum(polyfill) / atotal + print('') + print('POLY Density: ' + str(polyaccum)) + + liaccum = sum(lifill) / atotal + print('') + print('LI Density: ' + str(liaccum)) + if liaccum < 0.35: + print('***Error: LI Density < 35%') + elif liaccum > 0.60: + print('***Error: LI Density > 60%') + + met1accum = sum(met1fill) / atotal + print('') + print('MET1 Density: ' + str(met1accum)) + if met1accum < 0.35: + print('***Error: MET1 Density < 35%') + elif met1accum > 0.60: + print('***Error: MET1 Density > 60%') + + met2accum = sum(met2fill) / atotal + print('') + print('MET2 Density: ' + str(met2accum)) + if met2accum < 0.35: + print('***Error: MET2 Density < 35%') + elif met2accum > 0.60: + print('***Error: MET2 Density > 60%') + + met3accum = sum(met3fill) / atotal + print('') + print('MET3 Density: ' + str(met3accum)) + if met3accum < 0.35: + print('***Error: MET3 Density < 35%') + elif met3accum > 0.60: + print('***Error: MET3 Density > 60%') + + met4accum = sum(met4fill) / atotal + print('') + print('MET4 Density: ' + str(met4accum)) + if met4accum < 0.35: + print('***Error: MET4 Density < 35%') + elif met4accum > 0.60: + print('***Error: MET4 Density > 60%') + + met5accum = sum(met5fill) / atotal + print('') + print('MET5 Density: ' + str(met5accum)) + if met5accum < 0.45: + print('***Error: MET5 Density < 45%') + elif met5accum > 0.76: + print('***Error: MET5 Density > 76%') + + if not keepmode: + if os.path.isfile(magpath + '/check_density.tcl'): + os.remove(magpath + '/check_density.tcl') + + print('') + print('Done!') + sys.exit(0) diff --git a/scripts/compositor.py b/scripts/compositor.py new file mode 100755 index 00000000..efa0b4ee --- /dev/null +++ b/scripts/compositor.py @@ -0,0 +1,253 @@ +#!/usr/bin/env python3 +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 + +# +# compositor.py --- +# +# Compose the final GDS for caravel from the caravel GDS, seal ring +# GDS, and fill GDS. +# + +import sys +import os +import re +import subprocess + +def usage(): + print("Usage:") + print("compositor.py is a character string of eight hex digits, and") + print(" is the path to the project top level directory.") + print(" is the path to the mag directory.") + print(" is the path to the gds directory.") + print("") + print(" If is not given, then it must exist in the info.yaml file.") + print(" If is not given, then it is assumed to be the cwd.") + print(" If is not given, then it is assumed to be the /tmp.") + print(" If is not given, then it is assumed to be the /gds.") + print(" If '-keep' is specified, then keep the generation script.") + return 0 + +if __name__ == '__main__': + + optionlist = [] + arguments = [] + + debugmode = False + keepmode = False + + for option in sys.argv[1:]: + if option.find('-', 0) == 0: + optionlist.append(option) + else: + arguments.append(option) + + if len(arguments) != 5: + print("Wrong number of arguments given to compositor.py.") + usage() + sys.exit(0) + + user_id_value = arguments[0] + project = arguments[1] + user_project_path = arguments[2] + mag_dir_path = arguments[3] + gds_dir_path = arguments[4] + + # if len(arguments) > 0: + # user_id_value = arguments[0] + + # Convert to binary + try: + user_id_int = int('0x' + user_id_value, 0) + user_id_bits = '{0:032b}'.format(user_id_int) + except: + print("User ID not recognized") + usage() + sys.exit(1) + + # if len(arguments) == 2 and user_project_path == None: + # user_project_path = arguments[1] + # mag_dir_path = user_project_path + "/mag" + # gds_dir_path = "../gds" + # if len(arguments) == 3 and user_project_path == None: + # user_project_path = arguments[1] + # mag_dir_path = arguments[2] + # gds_dir_path = "../gds" + # if len(arguments) == 4: + # user_project_path = arguments[1] + # mag_dir_path = arguments[2] + # gds_dir_path = arguments[3] + # elif len(arguments) == 3 and user_project_path != None: + # mag_dir_path = arguments[1] + # gds_dir_path = arguments[2] + # else: + # user_project_path = os.getcwd() + # mag_dir_path = user_project_path + "/mag" + # gds_dir_path = "../gds" + + # Check for valid user path + + if not os.path.isdir(user_project_path): + print('Error: Project path "' + user_project_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid mag path + + if not os.path.isdir(mag_dir_path): + print('Error: Mag directory path "' + mag_dir_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid gds path + + if not os.path.isdir(gds_dir_path): + print('Error: GDS directory path "' + gds_dir_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid user ID + # if not user_id_value: + # if os.path.isfile(user_project_path + '/info.yaml'): + # with open(user_project_path + '/info.yaml', 'r') as ifile: + # infolines = ifile.read().splitlines() + # for line in infolines: + # kvpair = line.split(':') + # if len(kvpair) == 2: + # key = kvpair[0].strip() + # value = kvpair[1].strip() + # if key == 'project_id': + # user_id_value = value.strip('"\'') + # break + + if user_id_value: + # project = 'caravel' + # project_with_id = project + '_' + user_id_value + project_with_id = 'caravel_' + user_id_value + user_id_decimal = str(int(user_id_value, 16)) + else: + print('Error: No project_id found in info.yaml file.') + sys.exit(1) + + if '-debug' in optionlist: + debugmode = True + if '-keep' in optionlist: + keepmode = True + + magpath = mag_dir_path + rcfile = magpath + '/.magicrc' + + gdspath = gds_dir_path + + # The compositor script will create .mag, but is uses + # "load", so the file must not already exist. + + if os.path.isfile(user_project_path + '/mag/' + project_with_id + '.mag'): + print('Error: File ' + project_with_id + '.mag exists already! Exiting. . .') + sys.exit(1) + + with open(user_project_path + '/mag/compose_final.tcl', 'w') as ofile: + print('#!/bin/env wish', file=ofile) + print('drc off', file=ofile) + # Set the random seed from the project ID + print('random seed ' + user_id_decimal, file=ofile) + + # Read project from .mag but set GDS properties so that it points + # to the GDS file created by "make ship". + print('load ' + project + ' -dereference', file=ofile) + print('property GDS_FILE ' + gdspath + '/' + project + '.gds', file=ofile) + print('property GDS_START 0', file=ofile) + print('select top cell', file=ofile) + print('set bbox [box values]', file=ofile) + + # Ceate a cell to represent the generated fill. There are + # no magic layers corresponding to the fill shape data, and + # it's gigabytes anyway, so we don't want to deal with any + # actual data. So it's just a placeholder. + + print('load ' + project_with_id + '_fill_pattern -quiet', file=ofile) + print('snap internal', file=ofile) + print('box values {*}$bbox', file=ofile) + print('paint comment', file=ofile) + print('property GDS_FILE ' + gdspath + '/' + project_with_id + '_fill_pattern.gds', file=ofile) + print('property GDS_START 0', file=ofile) + print('property FIXED_BBOX "$bbox"', file=ofile) + + # Create a new project top level and place the fill cell. + print('load ' + project_with_id + ' -quiet', file=ofile) + print('box values 0 0 0 0', file=ofile) + print('box position 6um 6um', file=ofile) + print('getcell ' + project + ' child 0 0', file=ofile) + print('getcell ' + project_with_id + '_fill_pattern child 0 0', file=ofile) + + # Move existing origin to (6um, 6um) for seal ring placement + # print('move origin -6um -6um', file=ofile) + + # Read in abstract view of seal ring + print('box position 0 0', file=ofile) + print('getcell advSeal_6um_gen', file=ofile) + + # Write out completed project as "caravel_" + the user ID + # print('save ' + user_project_path + '/mag/' + project_with_id, file=ofile) + + # Generate final GDS + print('puts stdout "Writing final GDS. . . "', file=ofile) + print('flush stdout', file=ofile) + print('gds undefined allow', file=ofile) + print('cif *hier write disable', file=ofile) + print('gds write ' + gdspath + '/' + project_with_id + '.gds', file=ofile) + print('quit -noprompt', file=ofile) + + myenv = os.environ.copy() + # Abstract views are appropriate for final composition + myenv['MAGTYPE'] = 'maglef' + + print('Building final GDS file ' + project_with_id + '.gds', flush=True) + + mproc = subprocess.run(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, user_project_path + '/mag/compose_final.tcl'], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + if mproc.stdout: + for line in mproc.stdout.splitlines(): + print(line) + if mproc.stderr: + # NOTE: Until there is a "load -quiet" option in magic, loading + # a new cell generates an error. This code ignores the error. + newlines = [] + for line in mproc.stderr.splitlines(): + if line.endswith("_fill_pattern.mag couldn't be read"): + continue + if line.startswith("No such file or directory"): + continue + else: + newlines.append(line) + + if len(newlines) > 0: + print('Error message output from magic:') + for line in newlines: + print(line) + if mproc.returncode != 0: + print('ERROR: Magic exited with status ' + str(mproc.returncode)) + + if not keepmode: + os.remove(user_project_path + '/mag/compose_final.tcl') + + print('Done!') + exit(0) diff --git a/scripts/count_lvs.py b/scripts/count_lvs.py new file mode 100755 index 00000000..2362e011 --- /dev/null +++ b/scripts/count_lvs.py @@ -0,0 +1,121 @@ +#!ENV_PATH python3 +# +#--------------------------------------------------------- +# LVS failure check +# +# This is a Python script that parses the comp.json +# output from netgen and reports on the number of +# errors in the top-level netlist. +# +#--------------------------------------------------------- +# Written by Tim Edwards +# efabless, inc. +# Pulled from qflow GUI as standalone script Aug 20, 2018 +#--------------------------------------------------------- + +import os +import re +import sys +import json +import argparse + +def count_LVS_failures(filename): + with open(filename, 'r') as cfile: + lvsdata = json.load(cfile) + + # Count errors in the JSON file + failures = 0 + devfail = 0 + netfail = 0 + pinfail = 0 + propfail = 0 + netdiff = 0 + devdiff = 0 + ncells = len(lvsdata) + for c in range(0, ncells): + cellrec = lvsdata[c] + + if c == ncells - 1: + topcell = True + else: + topcell = False + + # Most errors must only be counted for the top cell, because individual + # failing cells are flattened and the matching attempted again on the + # flattened netlist. + + if topcell: + if 'devices' in cellrec: + devices = cellrec['devices'] + devlist = [val for pair in zip(devices[0], devices[1]) for val in pair] + devpair = list(devlist[p:p + 2] for p in range(0, len(devlist), 2)) + for dev in devpair: + c1dev = dev[0] + c2dev = dev[1] + diffdevs = abs(c1dev[1] - c2dev[1]) + failures += diffdevs + devdiff += diffdevs + + if 'nets' in cellrec: + nets = cellrec['nets'] + diffnets = abs(nets[0] - nets[1]) + failures += diffnets + netdiff += diffnets + + if 'badnets' in cellrec: + badnets = cellrec['badnets'] + failures += len(badnets) + netfail += len(badnets) + + if 'badelements' in cellrec: + badelements = cellrec['badelements'] + failures += len(badelements) + devfail += len(badelements) + + if 'pins' in cellrec: + pins = cellrec['pins'] + pinlist = [val for pair in zip(pins[0], pins[1]) for val in pair] + pinpair = list(pinlist[p:p + 2] for p in range(0, len(pinlist), 2)) + for pin in pinpair: + # Avoid flagging global vs. local names, e.g., "gnd" vs. "gnd!," + # and ignore case when comparing pins. + pin0 = re.sub('!$', '', pin[0].lower()) + pin1 = re.sub('!$', '', pin[1].lower()) + if pin0 != pin1: + # The text "(no pin)" indicates a missing pin that can be + # ignored because the pin in the other netlist is a no-connect + if pin0 != '(no pin)' and pin1 != '(no pin)': + failures += 1 + pinfail += 1 + + # Property errors must be counted for every cell + if 'properties' in cellrec: + properties = cellrec['properties'] + failures += len(properties) + propfail += len(properties) + + return [failures, netfail, devfail, pinfail, propfail, netdiff, devdiff] + +if __name__ == '__main__': + + parser = argparse.ArgumentParser(description='Parses netgen lvs') + parser.add_argument('--file', '-f', required=True) + args = parser.parse_args() + failures = count_LVS_failures(args.file) + + total = failures[0] + if total > 0: + failed = True + print('LVS reports:') + print(' net count difference = ' + str(failures[5])) + print(' device count difference = ' + str(failures[6])) + print(' unmatched nets = ' + str(failures[1])) + print(' unmatched devices = ' + str(failures[2])) + print(' unmatched pins = ' + str(failures[3])) + print(' property failures = ' + str(failures[4])) + else: + print('LVS reports no net, device, pin, or property mismatches.') + + print('') + print('Total errors = ' + str(total)) + \ No newline at end of file diff --git a/scripts/create-caravel-diagram.py b/scripts/create-caravel-diagram.py new file mode 100755 index 00000000..bfb4e3cc --- /dev/null +++ b/scripts/create-caravel-diagram.py @@ -0,0 +1,126 @@ +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 +import sys +import os +import subprocess +from pathlib import Path +import argparse +from tempfile import mkstemp +import re + + +def remove_inouts(jsonpath, replacewith='input'): + """Replaces inouts with either input or output statements. + + Netlistsvg does not parse inout ports as for now, so they need to be + replaced with either input or output to produce a diagram. + + Parameters + ---------- + jsonpath : str + Path to JSON file to fix + replacewith : str + The string to replace 'inout', can be 'input' or 'output' + """ + assert replacewith in ['input', 'output'] + with open(jsonpath, 'r') as withinouts: + lines = withinouts.readlines() + with open(jsonpath, 'w') as withoutinouts: + for line in lines: + withoutinouts.write(re.sub('inout', replacewith, line)) + + +def main(argv): + parser = argparse.ArgumentParser(argv[0]) + parser.add_argument( + 'verilog_rtl_dir', + help="Path to the project's verilog/rtl directory", + type=Path) + parser.add_argument( + 'output', + help="Path to the output SVG file", + type=Path) + parser.add_argument( + '--num-iopads', + help='Number of iopads to render', + type=int, + default=38) + parser.add_argument( + '--yosys-executable', + help='Path to yosys executable', + type=Path, + default='yosys') + parser.add_argument( + '--netlistsvg-executable', + help='Path to netlistsvg executable', + type=Path, + default='netlistsvg') + parser.add_argument( + '--inouts-as', + help='To what kind of IO should inout ports be replaced', + choices=['input', 'output'], + default='input' + ) + + args = parser.parse_args(argv[1:]) + + fd, jsonpath = mkstemp(suffix='-yosys.json') + os.close(fd) + + yosyscommand = [ + f'{str(args.yosys_executable)}', + '-p', + 'read_verilog pads.v defines.v; ' + + 'read_verilog -lib -overwrite *.v; ' + + f'verilog_defines -DMPRJ_IO_PADS={args.num_iopads}; ' + + 'read_verilog -overwrite caravel.v; ' + + 'hierarchy -top caravel; ' + + 'proc; ' + + 'opt; ' + + f'write_json {jsonpath}; ' + ] + + result = subprocess.run( + yosyscommand, + cwd=args.verilog_rtl_dir, + stdout=subprocess.PIPE, + stderr=subprocess.STDOUT + ) + + exitcode = 0 + if result.returncode != 0: + print(f'Failed to run: {" ".join(yosyscommand)}', file=sys.stderr) + print(result.stdout.decode()) + exitcode = result.returncode + else: + # TODO once netlistsvg supports inout ports, this should be removed + remove_inouts(jsonpath, args.inouts_as) + command = f'{args.netlistsvg_executable} {jsonpath} -o {args.output}' + result = subprocess.run( + command.split(), + stdout=subprocess.PIPE, + stderr=subprocess.STDOUT + ) + if result.returncode != 0: + print(f'Failed to run: {command}', file=sys.stderr) + print(result.stdout.decode()) + exitcode = result.returncode + + os.unlink(jsonpath) + sys.exit(exitcode) + + +if __name__ == '__main__': + sys.exit(main(sys.argv)) diff --git a/scripts/gen_gpio_defaults_orig.py b/scripts/gen_gpio_defaults_orig.py deleted file mode 100755 index 560d82f0..00000000 --- a/scripts/gen_gpio_defaults_orig.py +++ /dev/null @@ -1,318 +0,0 @@ -#!/usr/bin/env python3 -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#---------------------------------------------------------------------- -# -# gen_gpio_defaults.py --- -# -# Manipulate the magic database and GDS to create and apply defaults -# to the GPIO control blocks based on the user's specification in the -# user_defines.v file. -# -# The GPIO defaults block contains 13 bits that set the state of the -# GPIO on power-up. GPIOs 0 to 4 in the user project area are fixed -# and cannot be modified (to maintain access to the housekeeping SPI -# on startup). GPIOs 5 to 37 are by default set to be an input pad -# controlled by the user project. The file "user_defines.v" contains -# the state specified by the user for each GPIO pad, and is what is -# used in verilog simulation. -# -# This script parses the user_defines.v file to determine the state -# of each GPIO. Then it creates as many new layouts as needed to -# represent all unique states, modifies the caravel.mag layout -# to replace the default layouts with the new ones as needed, and -# generates GDS files for each of the layouts. -# -# gpio_defaults_block layout map: -# Positions marked (in microns) for value = 0. For value = 1, move -# the via 0.69um to the left. The given position is the lower left -# corner position of the via. The via itself is 0.17um x 0.17um. -# The values below are for the file gpio_defaults_block_1403. -# Positions marked "Y" for "Programmed One?" are already moved to -# the left, and so should be move 0.69um to the right if the bit -# should be zero. -# -# Signal Via position (um) -# name X Y -#------------------------------------------------------------------- -# gpio_defaults[0] 5.435 4.165 -# gpio_defaults[1] 6.815 3.825 -# gpio_defaults[2] 8.195 4.165 -# gpio_defaults[3] 9.575 3.825 -# gpio_defaults[4] 10.955 3.825 -# gpio_defaults[5] 12.565 3.825 -# gpio_defaults[6] 14.865 3.825 -# gpio_defaults[7] 17.165 3.825 -# gpio_defaults[8] 19.465 3.825 -# gpio_defaults[9] 21.765 3.825 -# gpio_defaults[10] 24.755 3.825 -# gpio_defaults[11] 27.055 3.825 -# gpio_defaults[12] 23.605 4.165 -#------------------------------------------------------------------- - -import os -import sys -import re - -def usage(): - print('Usage:') - print('gen_gpio_defaults.py []') - print('') - print('where:') - print(' is the path to the project top level directory.') - print('') - print(' If is not given, then it is assumed to be the cwd.') - print(' The file "user_defines.v" must exist in verilog/rtl/ relative to') - print(' .') - return 0 - -if __name__ == '__main__': - - # Coordinate pairs in microns for the zero position on each bit - via_pos = [[5.435, 4.165], [6.815, 3.825], [8.195, 4.165], [9.575, 3.825], - [10.955, 3.825], [12.565, 3.825], [14.865, 3.825], [17.165, 3.825], - [19.465, 3.825], [21.765, 3.825], [24.755, 3.825], [27.055, 3.825], - [23.605, 4.165]] - - optionlist = [] - arguments = [] - - debugmode = False - testmode = False - - for option in sys.argv[1:]: - if option.find('-', 0) == 0: - optionlist.append(option) - else: - arguments.append(option) - - if len(arguments) > 2: - print("Wrong number of arguments given to gen_gpio_defaults.py.") - usage() - sys.exit(0) - - if '-debug' in optionlist: - debugmode = True - if '-test' in optionlist: - testmode = True - - user_project_path = None - - if len(arguments) == 0: - user_project_path = os.getcwd() - else: - user_project_path = arguments[0] - - if not os.path.isdir(user_project_path): - print('Error: Project path "' + user_project_path + '" does not exist or is not readable.') - sys.exit(1) - - magpath = user_project_path + '/mag' - gdspath = user_project_path + '/gds' - vpath = user_project_path + '/verilog' - - # Check paths - if not os.path.isdir(gdspath): - print('No directory ' + gdspath + ' found (path to GDS).') - sys.exit(1) - - if not os.path.isdir(vpath): - print('No directory ' + vpath + ' found (path to verilog).') - sys.exit(1) - - if not os.path.isdir(magpath): - print('No directory ' + magpath + ' found (path to magic databases).') - sys.exit(1) - - # Parse the user defines verilog file - kvpairs = {} - if os.path.isfile(vpath + '/rtl/user_defines.v'): - with open(vpath + '/rtl/user_defines.v', 'r') as ifile: - infolines = ifile.read().splitlines() - for line in infolines: - tokens = line.split() - if len(tokens) >= 3: - if tokens[0] == '`define': - if tokens[2][0] == '`': - # If definition is nested, substitute value. - tokens[2] = kvpairs[tokens[2]] - kvpairs['`' + tokens[1]] = tokens[2] - else: - print('Error: No user_defines.v file found.') - sys.exit(1) - - # Set additional dictionary entries for the fixed-configuration - # GPIOs 0 to 4. This allows the layout to have the default - # gpio_defaults_block layout, and this script will change it as - # needed. - - kvpairs["`USER_CONFIG_GPIO_0_INIT"] = "13'h1803" - kvpairs["`USER_CONFIG_GPIO_1_INIT"] = "13'h1803" - kvpairs["`USER_CONFIG_GPIO_2_INIT"] = "13'h0403" - kvpairs["`USER_CONFIG_GPIO_3_INIT"] = "13'h0403" - kvpairs["`USER_CONFIG_GPIO_4_INIT"] = "13'h0403" - - # Generate zero and one coordinates for each via - llx_zero = [] - lly_zero = [] - urx_zero = [] - ury_zero = [] - llx_one = [] - lly_one = [] - urx_one = [] - ury_one = [] - - zero_string = [] - one_string = [] - - for i in range(0, 13): - llx_zero = int(via_pos[i][0] * 200) - lly_zero = int(via_pos[i][1] * 200) - urx_zero = llx_zero + 34 - ury_zero = lly_zero + 34 - - llx_one = llx_zero - 138 - lly_one = lly_zero - urx_one = urx_zero - 138 - ury_one = ury_zero - - zero_string.append('rect {:d} {:d} {:d} {:d}'.format(llx_zero, lly_zero, urx_zero, ury_zero)) - one_string.append('rect {:d} {:d} {:d} {:d}'.format(llx_one, lly_one, urx_one, ury_one)) - - # Create new cells for each unique type - print('Step 1: Create new cells for new GPIO default vectors.') - - cellsused = [None] * 38 - - for i in range(5, 38): - config_name = '`USER_CONFIG_GPIO_' + str(i) + '_INIT' - try: - config_value = kvpairs[config_name] - except: - print('No configuration specified for GPIO ' + str(i) + '; skipping.') - continue - - try: - default_str = config_value[-4:] - binval = '{:013b}'.format(int(default_str, 16)) - except: - print('Error: Default value ' + config_value + ' is not a 4-digit hex number; skipping') - continue - - cell_name = 'gpio_defaults_block_' + default_str - mag_file = magpath + '/' + cell_name + '.mag' - cellsused[i] = cell_name - - if not os.path.isfile(mag_file): - # A cell with this set of defaults doesn't exist, so make it - # First read the 0000 cell, then write to mag_path while - # changing the position of vias on the "1" bits - - # Record which bits need to be set - bitflips = [] - for j in range(0, 13): - if binval[12 - j] == '1': - bitflips.append(j) - - with open(magpath + '/gpio_defaults_block.mag', 'r') as ifile: - maglines = ifile.read().splitlines() - outlines = [] - for magline in maglines: - is_flipped = False - for bitflip in bitflips: - if magline == zero_string[bitflip]: - is_flipped = True - break - if is_flipped: - outlines.append(one_string[bitflip]) - else: - outlines.append(magline) - - print('Creating new layout file ' + mag_file) - if testmode: - print('(Test only)') - else: - with open(mag_file, 'w') as ofile: - for outline in outlines: - print(outline, file=ofile) - else: - print('Layout file ' + mag_file + ' already exists and does not need to be generated.') - - print('Step 2: Modify top-level layouts to use the specified defaults.') - - # Create a backup of the caravan and caravel layouts - if not testmode: - shutil.copy(magpath + '/caravel.mag', magpath + '/caravel.mag.bak') - shutil.copy(magpath + '/caravan.mag', magpath + '/caravan.mag.bak') - - if testmode: - print('Test only: Caravel layout:') - with open(magpath + '/caravel.mag', 'r') as ifile: - maglines = ifile.read().splitlines() - outlines = [] - for magline in maglines: - if magline.startswith('use '): - tokens = magline.split() - instname = tokens[2] - if instname.startswith('gpio_defaults_block_'): - gpioidx = instname[20:] - cellname = cellsused[int(gpioidx)] - if cellname: - tokens[1] = cellname - outlines.append(' '.join(tokens)) - if testmode: - print('Replacing line: ' + magline) - print('With: ' + ' '.join(tokens)) - else: - outlines.append(magline) - else: - outlines.append(magline) - - if not testmode: - with open(magpath + '/caravel.mag', 'w') as ofile: - for outline in outlines: - print(outline, file=ofile) - - if testmode: - print('Test only: Caravan layout:') - with open(magpath + '/caravan.mag', 'r') as ifile: - maglines = ifile.read().splitlines() - outlines = [] - for magline in maglines: - if magline.startswith('use '): - tokens = magline.split() - instname = tokens[2] - if instname.startswith('gpio_defaults_block_'): - gpioidx = instname[20:] - cellname = cellsused[int(gpioidx)] - if cellname: - tokens[1] = cellname - outlines.append(' '.join(tokens)) - if testmode: - print('Replacing line: ' + magline) - print('With: ' + ' '.join(tokens)) - else: - outlines.append(magline) - else: - outlines.append(magline) - - if not testmode: - with open(magpath + '/caravan.mag', 'w') as ofile: - for outline in outlines: - print(outline, file=ofile) - - print('Done.') - sys.exit(0) diff --git a/scripts/generate_fill.py b/scripts/generate_fill.py new file mode 100755 index 00000000..c03a9f2d --- /dev/null +++ b/scripts/generate_fill.py @@ -0,0 +1,416 @@ +#!/usr/bin/env python3 +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 + +# +# generate_fill.py --- +# +# Run the fill generation on a layout top level. +# + +import sys +import os +import re +import glob +import subprocess +import multiprocessing + +def usage(): + print("Usage:") + print("generate_fill.py [-keep] [-test] [-dist]") + print("") + print("where:") + print(" is a character string of eight hex digits, and") + print(" is the path to the project top level directory.") + print("") + print(" If is not given, then it must exist in the info.yaml file.") + print(" If is not given, then it is assumed to be the cwd.") + print(" If '-keep' is specified, then keep the generation script.") + print(" If '-test' is specified, then create but do not run the generation script.") + print(" If '-dist' is specified, then run distributed (multi-processing).") + + return 0 + +def makegds(file): + # Procedure for multiprocessing run only: Run the distributed processing + # script to load a .mag file of one flattened square area of the layout, + # and run the fill generator to produce a .gds file output from it. + + magpath = os.path.split(file)[0] + filename = os.path.split(file)[1] + + myenv = os.environ.copy() + myenv['MAGTYPE'] = 'mag' + + mproc = subprocess.run(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, magpath + '/generate_fill_dist.tcl', + filename], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + if mproc.stdout: + for line in mproc.stdout.splitlines(): + print(line) + if mproc.stderr: + print('Error message output from magic:') + for line in mproc.stderr.splitlines(): + print(line) + if mproc.returncode != 0: + print('ERROR: Magic exited with status ' + str(mproc.returncode)) + + +if __name__ == '__main__': + + optionlist = [] + arguments = [] + + debugmode = False + keepmode = False + testmode = False + distmode = False + + for option in sys.argv[1:]: + if option.find('-', 0) == 0: + optionlist.append(option) + else: + arguments.append(option) + + if len(arguments) < 3: + print("Wrong number of arguments given to generate_fill.py.") + usage() + sys.exit(1) + + user_id_value = arguments[0] + project = arguments[1] + user_project_path = arguments[2] + + try: + # Convert to binary + user_id_int = int('0x' + user_id_value, 0) + user_id_bits = '{0:032b}'.format(user_id_int) + + except: + print("User ID not recognized") + usage() + sys.exit(1) + + # if len(arguments) == 0: + # user_project_path = os.getcwd() + # elif len(arguments) == 2: + # user_project_path = arguments[1] + # elif user_project_path == None: + # user_project_path = arguments[0] + # else: + # user_project_path = os.getcwd() + + + if not os.path.isdir(user_project_path): + print('Error: Project path "' + user_project_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid user ID + # if not user_id_value: + # if os.path.isfile(user_project_path + '/info.yaml'): + # with open(user_project_path + '/info.yaml', 'r') as ifile: + # infolines = ifile.read().splitlines() + # for line in infolines: + # kvpair = line.split(':') + # if len(kvpair) == 2: + # key = kvpair[0].strip() + # value = kvpair[1].strip() + # if key == 'project_id': + # user_id_value = value.strip('"\'') + # break + + if user_id_value: + project_with_id = 'caravel_' + user_id_value + else: + print('Error: No project_id found in info.yaml file.') + sys.exit(1) + + if '-debug' in optionlist: + debugmode = True + if '-keep' in optionlist: + keepmode = True + if '-test' in optionlist: + testmode = True + if '-dist' in optionlist: + distmode = True + + magpath = user_project_path + '/mag' + rcfile = magpath + '/.magicrc' + + if not os.path.isfile(rcfile): + rcfile = None + + topdir = user_project_path + gdsdir = topdir + '/gds' + hasgdsdir = True if os.path.isdir(gdsdir) else False + + ofile = open(magpath + '/generate_fill.tcl', 'w') + + print('#!/bin/env wish', file=ofile) + print('drc off', file=ofile) + print('tech unlock *', file=ofile) + print('snap internal', file=ofile) + print('box values 0 0 0 0', file=ofile) + print('box size 700um 700um', file=ofile) + print('set stepbox [box values]', file=ofile) + print('set stepwidth [lindex $stepbox 2]', file=ofile) + print('set stepheight [lindex $stepbox 3]', file=ofile) + print('', file=ofile) + print('set starttime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Started: $starttime"', file=ofile) + print('', file=ofile) + # Read the user project from GDS, as there is not necessarily a magic database file + # to go along with this. + # print('gds read ../gds/user_project_wrapper', file=ofile) + # Now read the full caravel project + # print('load ' + project + ' -dereference', file=ofile) + print('gds readonly true', file=ofile) + print('gds rescale false', file=ofile) + print('gds read ../gds/' + project, file=ofile) + print('select top cell', file=ofile) + print('expand', file=ofile) + if not distmode: + print('cif ostyle wafflefill(tiled)', file=ofile) + print('', file=ofile) + print('set fullbox [box values]', file=ofile) + print('set xmax [lindex $fullbox 2]', file=ofile) + print('set xmin [lindex $fullbox 0]', file=ofile) + print('set fullwidth [expr {$xmax - $xmin}]', file=ofile) + print('set xtiles [expr {int(ceil(($fullwidth + 0.0) / $stepwidth))}]', file=ofile) + print('set ymax [lindex $fullbox 3]', file=ofile) + print('set ymin [lindex $fullbox 1]', file=ofile) + print('set fullheight [expr {$ymax - $ymin}]', file=ofile) + print('set ytiles [expr {int(ceil(($fullheight + 0.0) / $stepheight))}]', file=ofile) + print('box size $stepwidth $stepheight', file=ofile) + print('set xbase [lindex $fullbox 0]', file=ofile) + print('set ybase [lindex $fullbox 1]', file=ofile) + print('', file=ofile) + + # Break layout into tiles and process each separately + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' set xlo [expr $xbase + $x * $stepwidth]', file=ofile) + print(' set ylo [expr $ybase + $y * $stepheight]', file=ofile) + print(' set xhi [expr $xlo + $stepwidth]', file=ofile) + print(' set yhi [expr $ylo + $stepheight]', file=ofile) + print(' if {$xhi > $fullwidth} {set xhi $fullwidth}', file=ofile) + print(' if {$yhi > $fullheight} {set yhi $fullheight}', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + # The flattened area must be larger than the fill tile by >1.5um + print(' box grow c 1.6um', file=ofile) + + # Flatten into a cell with a new name + print(' puts stdout "Flattening layout of tile x=$x y=$y. . . "', file=ofile) + print(' flush stdout', file=ofile) + print(' update idletasks', file=ofile) + print(' flatten -dobox -nolabels ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + print(' load ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + # Remove any GDS_FILE reference (there should not be any?) + print(' property GDS_FILE ""', file=ofile) + # Set boundary using comment layer, to the size of the step box + # This corresponds to the "topbox" rule in the wafflefill(tiled) style + print(' select top cell', file=ofile) + print(' erase comment', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + print(' paint comment', file=ofile) + + if not distmode: + print(' puts stdout "Writing GDS. . . "', file=ofile) + + print(' flush stdout', file=ofile) + print(' update idletasks', file=ofile) + + if distmode: + print(' writeall force ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + else: + print(' gds write ' + project_with_id + '_fill_pattern_${x}_$y.gds', file=ofile) + # Reload project top + print(' load ' + project, file=ofile) + + # Remove last generated cell to save memory + print(' cellname delete ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + + print(' }', file=ofile) + print('}', file=ofile) + + if distmode: + print('set ofile [open fill_gen_info.txt w]', file=ofile) + print('puts $ofile "$stepwidth"', file=ofile) + print('puts $ofile "$stepheight"', file=ofile) + print('puts $ofile "$xtiles"', file=ofile) + print('puts $ofile "$ytiles"', file=ofile) + print('puts $ofile "$xbase"', file=ofile) + print('puts $ofile "$ybase"', file=ofile) + print('close $ofile', file=ofile) + print('quit -noprompt', file=ofile) + ofile.close() + + with open(magpath + '/generate_fill_dist.tcl', 'w') as ofile: + print('#!/bin/env wish', file=ofile) + print('drc off', file=ofile) + print('tech unlock *', file=ofile) + print('snap internal', file=ofile) + print('box values 0 0 0 0', file=ofile) + print('set filename [file root [lindex $argv $argc-1]]', file=ofile) + print('load $filename', file=ofile) + print('cif ostyle wafflefill(tiled)', file=ofile) + print('gds write [file root $filename].gds', file=ofile) + print('quit -noprompt', file=ofile) + + ofile = open(magpath + '/generate_fill_final.tcl', 'w') + print('#!/bin/env wish', file=ofile) + print('drc off', file=ofile) + print('tech unlock *', file=ofile) + print('snap internal', file=ofile) + print('box values 0 0 0 0', file=ofile) + + print('set ifile [open fill_gen_info.txt r]', file=ofile) + print('gets $ifile stepwidth', file=ofile) + print('gets $ifile stepheight', file=ofile) + print('gets $ifile xtiles', file=ofile) + print('gets $ifile ytiles', file=ofile) + print('gets $ifile xbase', file=ofile) + print('gets $ifile ybase', file=ofile) + print('close $ifile', file=ofile) + print('cif ostyle wafflefill(tiled)', file=ofile) + + # Now create simple "fake" views of all the tiles. + print('gds readonly true', file=ofile) + print('gds rescale false', file=ofile) + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' set xlo [expr $xbase + $x * $stepwidth]', file=ofile) + print(' set ylo [expr $ybase + $y * $stepheight]', file=ofile) + print(' set xhi [expr $xlo + $stepwidth]', file=ofile) + print(' set yhi [expr $ylo + $stepheight]', file=ofile) + print(' load ' + project_with_id + '_fill_pattern_${x}_$y -quiet', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + print(' paint comment', file=ofile) + print(' property FIXED_BBOX "$xlo $ylo $xhi $yhi"', file=ofile) + print(' property GDS_FILE ' + project_with_id + '_fill_pattern_${x}_${y}.gds', file=ofile) + print(' property GDS_START 0', file=ofile) + print(' }', file=ofile) + print('}', file=ofile) + + # Now tile everything back together + print('load ' + project_with_id + '_fill_pattern -quiet', file=ofile) + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' box values 0 0 0 0', file=ofile) + print(' getcell ' + project_with_id + '_fill_pattern_${x}_$y child 0 0', file=ofile) + print(' }', file=ofile) + print('}', file=ofile) + + # And write final GDS + print('puts stdout "Writing final GDS"', file=ofile) + + print('cif *hier write disable', file=ofile) + print('cif *array write disable', file=ofile) + if hasgdsdir: + print('gds write ../gds/' + project_with_id + '_fill_pattern.gds', file=ofile) + else: + print('gds write ' + project_with_id + '_fill_pattern.gds', file=ofile) + print('set endtime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Ended: $endtime"', file=ofile) + print('quit -noprompt', file=ofile) + ofile.close() + + myenv = os.environ.copy() + myenv['MAGTYPE'] = 'mag' + + if not testmode: + # Diagnostic + # print('This script will generate file ' + project_with_id + '_fill_pattern.gds') + print('This script will generate files ' + project_with_id + '_fill_pattern_x_y.gds') + print('Now generating fill patterns. This may take. . . quite. . . a while.', flush=True) + mproc = subprocess.run(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, magpath + '/generate_fill.tcl'], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + if mproc.stdout: + for line in mproc.stdout.splitlines(): + print(line) + if mproc.stderr: + print('Error message output from magic:') + for line in mproc.stderr.splitlines(): + print(line) + if mproc.returncode != 0: + print('ERROR: Magic exited with status ' + str(mproc.returncode)) + + if distmode: + # If using distributed mode, then run magic on each of the generated + # layout files + pool = multiprocessing.Pool() + magfiles = glob.glob(magpath + '/' + project_with_id + '_fill_pattern_*.mag') + # NOTE: Adding 'x' to the end of each filename, or else magic will + # try to read it from the command line as well as passing it as an + # argument to the script. We only want it passed as an argument. + magxfiles = list(item + 'x' for item in magfiles) + pool.map(makegds, magxfiles) + + # If using distributed mode, then remove all of the temporary .mag files + # and then run the final generation script. + for file in magfiles: + os.remove(file) + + mproc = subprocess.run(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, magpath + '/generate_fill_final.tcl'], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + if mproc.stdout: + for line in mproc.stdout.splitlines(): + print(line) + if mproc.stderr: + print('Error message output from magic:') + for line in mproc.stderr.splitlines(): + print(line) + if mproc.returncode != 0: + print('ERROR: Magic exited with status ' + str(mproc.returncode)) + + if not keepmode: + # Remove fill generation script + os.remove(magpath + '/generate_fill.tcl') + # Remove all individual fill tiles, leaving only the composite GDS. + filelist = os.listdir(magpath) + for file in filelist: + if os.path.splitext(magpath + '/' + file)[1] == '.gds': + if file.startswith(project_with_id + '_fill_pattern_'): + os.remove(magpath + '/' + file) + + if distmode: + os.remove(magpath + '/generate_fill_dist.tcl') + os.remove(magpath + '/generate_fill_final.tcl') + os.remove(magpath + '/fill_gen_info.txt') + if testmode: + magfiles = glob.glob(magpath + '/' + project_with_id + '_fill_pattern_*.mag') + for file in magfiles: + os.remove(file) + + print('Done!') + exit(0) diff --git a/scripts/generate_fill_orig.py b/scripts/generate_fill_orig.py new file mode 100755 index 00000000..3a43a8c5 --- /dev/null +++ b/scripts/generate_fill_orig.py @@ -0,0 +1,268 @@ +#!/usr/bin/env python3 +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 + +# +# generate_fill_orig.py --- +# +# Run the fill generation on a layout top level. +# This is the older version that does not have a "-dist" option for +# distributed (multiprocessing) operation. +# + +import sys +import os +import re +import subprocess + +def usage(): + print("Usage:") + print("generate_fill_orig.py [] [-keep] [-test]") + print("") + print("where:") + print(" is the path to the project top level directory.") + print("") + print(" If is not given, then it is assumed to be the cwd.") + print(" If '-keep' is specified, then keep the generation script.") + print(" If '-test' is specified, then create but do not run the generation script.") + return 0 + +if __name__ == '__main__': + + optionlist = [] + arguments = [] + + debugmode = False + keepmode = False + testmode = False + + for option in sys.argv[1:]: + if option.find('-', 0) == 0: + optionlist.append(option) + else: + arguments.append(option) + + if len(arguments) > 1: + print("Wrong number of arguments given to generate_fill_orig.py.") + usage() + sys.exit(1) + + if len(arguments) == 1: + user_project_path = arguments[0] + else: + user_project_path = os.getcwd() + + if not os.path.isdir(user_project_path): + print('Error: Project path "' + user_project_path + '" does not exist or is not readable.') + sys.exit(1) + + # Check for valid user ID + user_id_value = None + if os.path.isfile(user_project_path + '/info.yaml'): + with open(user_project_path + '/info.yaml', 'r') as ifile: + infolines = ifile.read().splitlines() + for line in infolines: + kvpair = line.split(':') + if len(kvpair) == 2: + key = kvpair[0].strip() + value = kvpair[1].strip() + if key == 'project_id': + user_id_value = value.strip('"\'') + break + + project = 'caravel' + if user_id_value: + project_with_id = project + '_' + user_id_value + else: + print('Error: No project_id found in info.yaml file.') + sys.exit(1) + + if '-debug' in optionlist: + debugmode = True + if '-keep' in optionlist: + keepmode = True + if '-test' in optionlist: + testmode = True + + magpath = user_project_path + '/mag' + rcfile = magpath + '/.magicrc' + + if not os.path.isfile(rcfile): + rcfile = None + + topdir = user_project_path + gdsdir = topdir + '/gds' + hasgdsdir = True if os.path.isdir(gdsdir) else False + + with open(magpath + '/generate_fill.tcl', 'w') as ofile: + print('#!/bin/env wish', file=ofile) + print('drc off', file=ofile) + print('tech unlock *', file=ofile) + print('snap internal', file=ofile) + print('box values 0 0 0 0', file=ofile) + print('box size 700um 700um', file=ofile) + print('set stepbox [box values]', file=ofile) + print('set stepwidth [lindex $stepbox 2]', file=ofile) + print('set stepheight [lindex $stepbox 3]', file=ofile) + print('', file=ofile) + print('set starttime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Started: $starttime"', file=ofile) + print('', file=ofile) + # Read the user project from GDS, as there is not necessarily a magic database file + # to go along with this. + # print('gds read ../gds/user_project_wrapper', file=ofile) + # Now read the full caravel project + # print('load ' + project + ' -dereference', file=ofile) + print('gds readonly true', file=ofile) + print('gds rescale false', file=ofile) + print('gds read ../gds/caravel', file=ofile) + print('select top cell', file=ofile) + print('expand', file=ofile) + print('cif ostyle wafflefill(tiled)', file=ofile) + print('', file=ofile) + print('set fullbox [box values]', file=ofile) + print('set xmax [lindex $fullbox 2]', file=ofile) + print('set xmin [lindex $fullbox 0]', file=ofile) + print('set fullwidth [expr {$xmax - $xmin}]', file=ofile) + print('set xtiles [expr {int(ceil(($fullwidth + 0.0) / $stepwidth))}]', file=ofile) + print('set ymax [lindex $fullbox 3]', file=ofile) + print('set ymin [lindex $fullbox 1]', file=ofile) + print('set fullheight [expr {$ymax - $ymin}]', file=ofile) + print('set ytiles [expr {int(ceil(($fullheight + 0.0) / $stepheight))}]', file=ofile) + print('box size $stepwidth $stepheight', file=ofile) + print('set xbase [lindex $fullbox 0]', file=ofile) + print('set ybase [lindex $fullbox 1]', file=ofile) + print('', file=ofile) + + # Break layout into tiles and process each separately + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' set xlo [expr $xbase + $x * $stepwidth]', file=ofile) + print(' set ylo [expr $ybase + $y * $stepheight]', file=ofile) + print(' set xhi [expr $xlo + $stepwidth]', file=ofile) + print(' set yhi [expr $ylo + $stepheight]', file=ofile) + print(' if {$xhi > $fullwidth} {set xhi $fullwidth}', file=ofile) + print(' if {$yhi > $fullheight} {set yhi $fullheight}', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + # The flattened area must be larger than the fill tile by >1.5um + print(' box grow c 1.6um', file=ofile) + + # Flatten into a cell with a new name + print(' puts stdout "Flattening layout of tile x=$x y=$y. . . "', file=ofile) + print(' flush stdout', file=ofile) + print(' update idletasks', file=ofile) + print(' flatten -dobox -nolabels ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + print(' load ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + + # Remove any GDS_FILE reference (there should not be any?) + print(' property GDS_FILE ""', file=ofile) + # Set boundary using comment layer, to the size of the step box + # This corresponds to the "topbox" rule in the wafflefill(tiled) style + print(' select top cell', file=ofile) + print(' erase comment', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + print(' paint comment', file=ofile) + print(' puts stdout "Writing GDS. . . "', file=ofile) + print(' flush stdout', file=ofile) + print(' update idletasks', file=ofile) + print(' gds write ' + project_with_id + '_fill_pattern_${x}_$y.gds', file=ofile) + + # Reload project top + print(' load ' + project, file=ofile) + + # Remove last generated cell to save memory + print(' cellname delete ' + project_with_id + '_fill_pattern_${x}_$y', file=ofile) + + print(' }', file=ofile) + print('}', file=ofile) + + # Now create simple "fake" views of all the tiles. + print('gds readonly true', file=ofile) + print('gds rescale false', file=ofile) + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' set xlo [expr $xbase + $x * $stepwidth]', file=ofile) + print(' set ylo [expr $ybase + $y * $stepheight]', file=ofile) + print(' set xhi [expr $xlo + $stepwidth]', file=ofile) + print(' set yhi [expr $ylo + $stepheight]', file=ofile) + print(' load ' + project_with_id + '_fill_pattern_${x}_$y -quiet', file=ofile) + print(' box values $xlo $ylo $xhi $yhi', file=ofile) + print(' paint comment', file=ofile) + print(' property FIXED_BBOX "$xlo $ylo $xhi $yhi"', file=ofile) + print(' property GDS_FILE ' + project_with_id + '_fill_pattern_${x}_${y}.gds', file=ofile) + print(' property GDS_START 0', file=ofile) + print(' }', file=ofile) + print('}', file=ofile) + + # Now tile everything back together + print('load ' + project_with_id + '_fill_pattern -quiet', file=ofile) + print('for {set y 0} {$y < $ytiles} {incr y} {', file=ofile) + print(' for {set x 0} {$x < $xtiles} {incr x} {', file=ofile) + print(' box values 0 0 0 0', file=ofile) + print(' getcell ' + project_with_id + '_fill_pattern_${x}_$y child 0 0', file=ofile) + print(' }', file=ofile) + print('}', file=ofile) + + # And write final GDS + print('puts stdout "Writing final GDS"', file=ofile) + + print('cif *hier write disable', file=ofile) + print('cif *array write disable', file=ofile) + if hasgdsdir: + print('gds write ../gds/' + project_with_id + '_fill_pattern.gds', file=ofile) + else: + print('gds write ' + project_with_id + '_fill_pattern.gds', file=ofile) + print('set endtime [orig_clock format [orig_clock seconds] -format "%D %T"]', file=ofile) + print('puts stdout "Ended: $endtime"', file=ofile) + print('quit -noprompt', file=ofile) + + myenv = os.environ.copy() + myenv['MAGTYPE'] = 'mag' + + if not testmode: + # Diagnostic + # print('This script will generate file ' + project_with_id + '_fill_pattern.gds') + print('This script will generate files ' + project_with_id + '_fill_pattern_x_y.gds') + print('Now generating fill patterns. This may take. . . quite. . . a while.', flush=True) + mproc = subprocess.run(['magic', '-dnull', '-noconsole', + '-rcfile', rcfile, magpath + '/generate_fill.tcl'], + stdin = subprocess.DEVNULL, + stdout = subprocess.PIPE, + stderr = subprocess.PIPE, + cwd = magpath, + env = myenv, + universal_newlines = True) + if mproc.stdout: + for line in mproc.stdout.splitlines(): + print(line) + if mproc.stderr: + print('Error message output from magic:') + for line in mproc.stderr.splitlines(): + print(line) + if mproc.returncode != 0: + print('ERROR: Magic exited with status ' + str(mproc.returncode)) + + if not keepmode: + # Remove fill generation script + os.remove(magpath + '/generate_fill.tcl') + # Remove all individual fill tiles, leaving only the composite GDS. + filelist = os.listdir(magpath) + for file in filelist: + if os.path.splitext(magpath + '/' + file)[1] == '.gds': + if file.startswith(project + '_fill_pattern_'): + os.remove(magpath + '/' + file) + + print('Done!') + exit(0) diff --git a/scripts/make_bump_bonds.tcl b/scripts/make_bump_bonds.tcl new file mode 100755 index 00000000..ade81d6b --- /dev/null +++ b/scripts/make_bump_bonds.tcl @@ -0,0 +1,702 @@ +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 +#---------------------------------------------------------------------- +# Assumes running magic -T micross using the micross technology file +# from the open_pdks installation of sky130A +#---------------------------------------------------------------------- +# bump bond pitch is 500um. Bump diameter is set by the technology + +namespace path {::tcl::mathop ::tcl::mathfunc} + +if {[catch {set PDKPATH $env(PDKPATH)}]} { + set PDKPATH "$::env(PDK_ROOT)/sky130A" +} + +source $PDKPATH/libs.tech/magic/current/bump_bond_generator/bump_bond.tcl + +# Caravel dimensions, in microns +set chipwidth 3588 +set chipheight 5188 + +set halfwidth [/ $chipwidth 2] +set halfheight [/ $chipheight 2] + +set columns 6 +set rows 10 + +set bump_pitch 500 + +set llx [- $halfwidth [* [- [/ $columns 2] 0.5] $bump_pitch]] +set lly [- $halfheight [* [- [/ $rows 2] 0.5] $bump_pitch]] + +# Create a new cell +load caravel_bump_bond -quiet + +# Build the bump cells +make_bump_bond 0 +make_bump_bond 45 + +# View the whole chip during generation. This is not strictly +# necessary, but looks nice! +snap internal +box values 0 0 ${chipwidth}um ${chipheight}um +paint glass +view +erase glass +box values 0 0 0 0 +grid 250um 250um 45um 95um + +# Starting from the bottom left-hand corner and scanning across and up, +# these are the orientations of the bump bond pad tapers: +set tapers {} +lappend tapers 180 225 270 270 270 270 +lappend tapers 180 135 225 270 0 0 +lappend tapers 180 135 135 270 315 0 +lappend tapers 180 135 135 315 315 0 +lappend tapers 135 135 0 180 315 0 +lappend tapers 180 135 0 180 315 0 +lappend tapers 180 135 180 315 315 0 +lappend tapers 180 180 135 45 315 0 +lappend tapers 135 135 135 45 45 45 +lappend tapers 90 90 90 90 45 90 + +box values 0 0 0 0 +set t 0 +for {set y 0} {$y < $rows} {incr y} { + for {set x 0} {$x < $columns} {incr x} { + set xpos [+ $llx [* $x $bump_pitch]] + set ypos [+ $lly [* $y $bump_pitch]] + draw_bump_bond $xpos $ypos [lindex $tapers $t] + incr t + } +} + +# The pad at E6 has wires exiting two sides, so put another pad down +# at the other orientation. +set y 4 +set x 4 +set xpos [+ $llx [* $x $bump_pitch]] +set ypos [+ $lly [* $y $bump_pitch]] +draw_bump_bond $xpos $ypos 180 + +select top cell +expand + +# These are the pad Y positions on the left side from bottom to top + +set leftpads {} +lappend leftpads 377.5 588.5 950.5 1166.5 1382.5 1598.5 1814.5 +lappend leftpads 2030.5 2241.5 2452.5 2668.5 2884.5 3100.5 +lappend leftpads 3316.5 3532.5 3748.5 3964.5 4175.5 4386.5 4597.5 4813.5 + +# These are the pad X positions on the top side from left to right + +set toppads {} +lappend toppads 423.5 680.5 937.5 1194.5 1452.5 1704.5 1961.5 2406.5 +lappend toppads 2663.5 2915.5 3172.5 + +# These are the pad Y positions on the right side from bottom to top + +set rightpads {} +lappend rightpads 537.5 763.5 988.5 1214.5 1439.5 1664.5 1890.5 +lappend rightpads 2115.5 2336.5 2556.5 2776.5 3002.5 3227.5 3453.5 +lappend rightpads 3678.5 3903.5 4129.5 4349.5 4575.5 4795.5 + +# These are the pad X positions on the bottom side from left to right + +set bottompads {} +lappend bottompads 431.5 700.5 969.5 1243.5 1512.5 1786.5 2060.5 +lappend bottompads 2334.5 2608.5 2882.5 3151.5 + +set leftpadx 64.6 +set rightpadx 3523.78 +set bottompady 64.6 +set toppady 5123.78 + +set xpos $leftpadx +for {set y 0} {$y < [llength $leftpads]} {incr y} { + set ypos [lindex $leftpads $y] + draw_pad_bond $xpos $ypos +} + +set ypos $toppady +for {set x 0} {$x < [llength $toppads]} {incr x} { + set xpos [lindex $toppads $x] + draw_pad_bond $xpos $ypos +} + +set xpos $rightpadx +for {set y 0} {$y < [llength $rightpads]} {incr y} { + set ypos [lindex $rightpads $y] + draw_pad_bond $xpos $ypos +} + +set ypos $bottompady +for {set x 0} {$x < [llength $bottompads]} {incr x} { + set xpos [lindex $bottompads $x] + draw_pad_bond $xpos $ypos +} + +# Now route between the wirebond pads and the bump bond pads +# routes start centered on the wirebond pad and align to grid points +# on a 1/2 ball pitch, although positions do not need to be on +# integer values. The overlaid grid starts 1/2 pitch to the left +# and below the center of the bottom left bump bond. Grid columns +# are numbered 0 to 12, and grid rows are numbered 0 to 20. To +# convert to a micron unit coordinate, use the to_grid procedure +# defined below. + +set gridllx [- $llx 250.0] +set gridlly [- $lly 250.0] +set gridpitchx 250.0 +set gridpitchy 250.0 + +proc to_grid {x y} { + global gridllx gridlly + set coords [] + catch {lappend coords [+ $gridllx [* 250.0 $x]]} + catch {lappend coords [+ $gridlly [* 250.0 $y]]} + return $coords +} + +# Detailed routing, scanning left to right and from bottom to top. +# (This really needs to be automated. . .) + +set wire_width 40.0 + +# A10 vccd +set coords [list $leftpadx [lindex $leftpads 0]] +lappend coords {*}[to_grid -0.8 1] +lappend coords {*}[to_grid 1 1] +draw_pad_route $coords $wire_width + +# B10 resetb +set coords [list [lindex $bottompads 1] $bottompady] +lappend coords {*}[to_grid 1.9 0.2] +lappend coords {*}[to_grid 2.2 0.2] +lappend coords {*}[to_grid 3 1] +draw_pad_route $coords $wire_width + +# C10 flash csb +set coords [list [lindex $bottompads 4] $bottompady] +lappend coords {*}[to_grid 5 0] +lappend coords {*}[to_grid 5 1] +draw_pad_route $coords $wire_width + +# D10 flash io0 +set coords [list [lindex $bottompads 6] $bottompady] +lappend coords {*}[to_grid 7 0] +lappend coords {*}[to_grid 7 1] +draw_pad_route $coords $wire_width + +# E10 gpio +set coords [list [lindex $bottompads 8] $bottompady] +lappend coords {*}[to_grid 9 0.2] +lappend coords {*}[to_grid 9 1] +draw_pad_route $coords $wire_width + +# F10 vdda +set coords [list [lindex $bottompads 10] $bottompady] +lappend coords {*}[to_grid 11 0.3] +lappend coords {*}[to_grid 11 1] +draw_pad_route $coords $wire_width + +# A9 mprj_io[37] +set coords [list $leftpadx [lindex $leftpads 2]] +lappend coords {*}[to_grid -0.5 3] +lappend coords {*}[to_grid 1 3] +draw_pad_route $coords $wire_width + +# B9 mprj_io[36] +set coords [list $leftpadx [lindex $leftpads 3]] +lappend coords {*}[to_grid -0.6 4] +lappend coords {*}[to_grid 2 4] +lappend coords {*}[to_grid 3 3] +draw_pad_route $coords $wire_width + +# C9 clock +set coords [list [lindex $bottompads 2] $bottompady] +lappend coords {*}[to_grid 3 0.2] +lappend coords {*}[to_grid 3.4 0.2] +lappend coords {*}[to_grid 3.8 0.6] +lappend coords {*}[to_grid 3.8 1.6] +lappend coords {*}[to_grid 4.5 2.3] +lappend coords {*}[to_grid 4.5 2.5] +lappend coords {*}[to_grid 5 3] +draw_pad_route $coords $wire_width + +# D9 flash io1 +set coords [list [lindex $bottompads 7] $bottompady] +lappend coords {*}[to_grid 8 0.1] +lappend coords {*}[to_grid 8 1.3] +lappend coords {*}[to_grid 7 2.3] +lappend coords {*}[to_grid 7 3] +draw_pad_route $coords $wire_width + +# E9 mprj_io[1]/SDO +set coords [list $rightpadx [lindex $rightpads 1]] +lappend coords {*}[to_grid 12.4 2.2] +lappend coords {*}[to_grid 10.5 2.2] +lappend coords {*}[to_grid 9.7 3] +lappend coords {*}[to_grid 9 3] +draw_pad_route $coords $wire_width + +# F9 mprj_io[2]/SDI +set coords [list $rightpadx [lindex $rightpads 2]] +lappend coords {*}[to_grid 12.3 3] +lappend coords {*}[to_grid 11 3] +draw_pad_route $coords $wire_width + +# A8 mprj_io[35] +set coords [list $leftpadx [lindex $leftpads 4]] +lappend coords {*}[to_grid -0.7 5] +lappend coords {*}[to_grid 1 5] +draw_pad_route $coords $wire_width + +# B8 mprj_io[34] +set coords [list $leftpadx [lindex $leftpads 5]] +lappend coords {*}[to_grid -0.7 5.8] +lappend coords {*}[to_grid 2.2 5.8] +lappend coords {*}[to_grid 3 5] +draw_pad_route $coords $wire_width + +# C8 mprj_io[33] +set coords [list $leftpadx [lindex $leftpads 6]] +lappend coords {*}[to_grid -0.3 6.2] +lappend coords {*}[to_grid 3.8 6.2] +lappend coords {*}[to_grid 5 5] +draw_pad_route $coords $wire_width + +# D8 flash clk +set coords [list [lindex $bottompads 5] $bottompady] +lappend coords {*}[to_grid 6 0] +lappend coords {*}[to_grid 6 1] +lappend coords {*}[to_grid 6.2 1.2] +lappend coords {*}[to_grid 6.2 3.5] +lappend coords {*}[to_grid 7 4.3] +lappend coords {*}[to_grid 7 5] +draw_pad_route $coords $wire_width + +# E8 mprj_io[3]/CSB +set coords [list $rightpadx [lindex $rightpads 3]] +lappend coords {*}[to_grid 12.4 4] +lappend coords {*}[to_grid 10 4] +lappend coords {*}[to_grid 9 5] +draw_pad_route $coords $wire_width + +# F8 mrpj_io[4]/SCK +set coords [list $rightpadx [lindex $rightpads 4]] +lappend coords {*}[to_grid 12.5 5] +lappend coords {*}[to_grid 11 5] +draw_pad_route $coords $wire_width + +# A7 mrpj_io[32] +set coords [list $leftpadx [lindex $leftpads 7]] +lappend coords {*}[to_grid -0.2 7] +lappend coords {*}[to_grid 1 7] +draw_pad_route $coords $wire_width + +# B7 vssd2 +set coords [list $leftpadx [lindex $leftpads 8]] +lappend coords {*}[to_grid -0.1 7.8] +lappend coords {*}[to_grid 2.2 7.8] +lappend coords {*}[to_grid 3 7] +draw_pad_route $coords $wire_width + +# C7 vdda2 +set coords [list $leftpadx [lindex $leftpads 9]] +lappend coords {*}[to_grid 0.3 8.2] +lappend coords {*}[to_grid 2.3 8.2] +lappend coords {*}[to_grid 2.5 8] +lappend coords {*}[to_grid 4 8] +lappend coords {*}[to_grid 5 7] +draw_pad_route $coords $wire_width + +# D7 mrpj_io[0]/JTAG +set coords [list $rightpadx [lindex $rightpads 0]] +lappend coords {*}[to_grid 12.8 1.8] +lappend coords {*}[to_grid 10.2 1.8] +lappend coords {*}[to_grid 9.8 2.2] +lappend coords {*}[to_grid 8.6 2.2] +lappend coords {*}[to_grid 8.2 2.6] +lappend coords {*}[to_grid 8.2 5.8] +lappend coords {*}[to_grid 7 7] +draw_pad_route $coords $wire_width + +# E7 mrpj_io[5]/ser_rx +set coords [list $rightpadx [lindex $rightpads 5]] +lappend coords {*}[to_grid 12.6 6] +lappend coords {*}[to_grid 10 6] +lappend coords {*}[to_grid 9 7] +draw_pad_route $coords $wire_width + +# F7 mprj_io[6]/ser_tx +set coords [list $rightpadx [lindex $rightpads 6]] +lappend coords {*}[to_grid 12.7 7] +lappend coords {*}[to_grid 11 7] +draw_pad_route $coords $wire_width + +# A6 mprj_io[31] +set coords [list $leftpadx [lindex $leftpads 10]] +lappend coords {*}[to_grid -0.3 10.3] +lappend coords {*}[to_grid 1 9] +draw_pad_route $coords $wire_width + +# B6 mprj_io[30] +set coords [list $leftpadx [lindex $leftpads 11]] +lappend coords {*}[to_grid -0.5 10.8] +lappend coords {*}[to_grid -0.3 10.8] +lappend coords {*}[to_grid 0.5 10] +lappend coords {*}[to_grid 2 10] +lappend coords {*}[to_grid 3 9] +draw_pad_route $coords $wire_width + +# C6 vssio/vssa/vssd: Connects to D6, D5, C5 +set coords [to_grid 5 9] +lappend coords {*}[to_grid 5.65 9] +lappend coords {*}[to_grid 5.85 9.2] +lappend coords {*}[to_grid 6 9.2] +draw_pad_route $coords $wire_width + +# D6 vssio/vssa/vssd +set coords [to_grid 7 9] +lappend coords {*}[to_grid 6.35 9] +lappend coords {*}[to_grid 6.15 8.8] +lappend coords {*}[to_grid 6 8.8] +draw_pad_route $coords $wire_width + +# D6 vssio/vssa/vssd also goes to: +set coords [list [lindex $bottompads 0] $bottompady] +lappend coords {*}[to_grid 0.9 0.2] +lappend coords {*}[to_grid 1.3 0.2] +lappend coords {*}[to_grid 2 0.9] +lappend coords {*}[to_grid 2 1.5] +lappend coords {*}[to_grid 2.3 1.8] +lappend coords {*}[to_grid 3.5 1.8] +lappend coords {*}[to_grid 4.2 2.5] +lappend coords {*}[to_grid 4.2 3.5] +lappend coords {*}[to_grid 4.5 3.8] +lappend coords {*}[to_grid 5.3 3.8] +lappend coords {*}[to_grid 5.8 3.3] +lappend coords {*}[to_grid 5.8 2.5] +lappend coords {*}[to_grid 5.3 2] +lappend coords {*}[to_grid 4.8 2] +lappend coords {*}[to_grid 4.2 1.4] +lappend coords {*}[to_grid 4.2 0.3] +lappend coords {*}[list [lindex $bottompads 3] $bottompady] +draw_pad_route $coords $wire_width + +# D6 vssio/vssa/vssd also goes to: +set coords [list [lindex $bottompads 9] $bottompady] +lappend coords {*}[to_grid 10 0.3] +lappend coords {*}[to_grid 10 1.4] +lappend coords {*}[to_grid 9.6 1.8] +lappend coords {*}[to_grid 8.5 1.8] +lappend coords {*}[to_grid 7.8 2.5] +lappend coords {*}[to_grid 7.8 5.5] +lappend coords {*}[to_grid 7.3 6] +lappend coords {*}[to_grid 6.2 6] +draw_pad_route $coords $wire_width + +# D6 vssio/vssa/vssd also goes to: +set coords [list [lindex $toppads 5] $toppady] +lappend coords {*}[to_grid 6 19.7] +lappend coords {*}[to_grid 6 16] +lappend coords {*}[to_grid 5.8 15.8] +lappend coords {*}[to_grid 5.8 12.2] +lappend coords {*}[to_grid 6 12] +lappend coords {*}[to_grid 6 8] +lappend coords {*}[to_grid 6.2 7.8] +lappend coords {*}[to_grid 6.2 4.3] +lappend coords {*}[to_grid 5.5 3.6] +draw_pad_route $coords $wire_width + +# E6 vssa1 +set coords [list $rightpadx [lindex $rightpads 7]] +lappend coords {*}[to_grid 12.8 8] +lappend coords {*}[to_grid 10 8] +lappend coords {*}[to_grid 9 9] +draw_pad_route $coords $wire_width + +# E6 vssa1 also goes to +set coords [list [lindex $toppads 9] $toppady] +lappend coords {*}[to_grid 10 19.5] +lappend coords {*}[to_grid 10 18.5] +lappend coords {*}[to_grid 9.5 18] +lappend coords {*}[to_grid 8.5 18] +lappend coords {*}[to_grid 8 17.5] +lappend coords {*}[to_grid 8 16.5] +lappend coords {*}[to_grid 7.5 16] +lappend coords {*}[to_grid 6.7 16] +lappend coords {*}[to_grid 6.2 15.5] +lappend coords {*}[to_grid 6.2 12.6] +lappend coords {*}[to_grid 6.7 12] +lappend coords {*}[to_grid 7.3 12] +lappend coords {*}[to_grid 7.8 11.5] +lappend coords {*}[to_grid 7.8 10.2] +lappend coords {*}[to_grid 8 10] +lappend coords {*}[to_grid 8 9.3] +lappend coords {*}[to_grid 8.3 9] +lappend coords {*}[to_grid 9 9] +draw_pad_route $coords $wire_width + +# F6 vssd1 +set coords [list $rightpadx [lindex $rightpads 8]] +lappend coords {*}[to_grid 12.9 9] +lappend coords {*}[to_grid 11 9] +draw_pad_route $coords $wire_width + +# A5 mprj_io[29] +set coords [list $leftpadx [lindex $leftpads 12]] +lappend coords {*}[to_grid 0.2 11] +lappend coords {*}[to_grid 1 11] +draw_pad_route $coords $wire_width + +# B5 mprj_io[28] +set coords [list $leftpadx [lindex $leftpads 13]] +lappend coords {*}[to_grid 0 12] +lappend coords {*}[to_grid 2 12] +lappend coords {*}[to_grid 3 11] +draw_pad_route $coords $wire_width + +# C5 vssio/vssa/vssd : Connects to D6, C6, D5 +set coords [to_grid 5 11] +lappend coords {*}[to_grid 5.65 11] +lappend coords {*}[to_grid 5.85 11.2] +lappend coords {*}[to_grid 6 11.2] +draw_pad_route $coords $wire_width + +# D5 vssio/vssa/vssd : Connects to D6, C6, C5 +set coords [to_grid 7 11] +lappend coords {*}[to_grid 6.35 11] +lappend coords {*}[to_grid 6.15 10.8] +lappend coords {*}[to_grid 6 10.8] +draw_pad_route $coords $wire_width + +# E5 mprj_io[7]/irq +set coords [list $rightpadx [lindex $rightpads 10]] +lappend coords {*}[to_grid 12.4 10.2] +lappend coords {*}[to_grid 9.8 10.2] +lappend coords {*}[to_grid 9 11] +draw_pad_route $coords $wire_width + +# F5 mprj_io[8]/flash2 csb +set coords [list $rightpadx [lindex $rightpads 11]] +lappend coords {*}[to_grid 12.3 11] +lappend coords {*}[to_grid 11 11] +draw_pad_route $coords $wire_width + +# A4 mprj_io[27] +set coords [list $leftpadx [lindex $leftpads 14]] +lappend coords {*}[to_grid -0.1 13] +lappend coords {*}[to_grid 1 13] +draw_pad_route $coords $wire_width + +# B4 mprj_io[26] +set coords [list $leftpadx [lindex $leftpads 15]] +lappend coords {*}[to_grid -0.2 14] +lappend coords {*}[to_grid 2 14] +lappend coords {*}[to_grid 3 13] +draw_pad_route $coords $wire_width + +# C4 vddio +set coords [list $leftpadx [lindex $leftpads 1]] +lappend coords {*}[to_grid -0.8 2] +lappend coords {*}[to_grid 1.8 2] +lappend coords {*}[to_grid 2 2.2] +lappend coords {*}[to_grid 3.3 2.2] +lappend coords {*}[to_grid 3.8 2.7] +lappend coords {*}[to_grid 3.8 3.7] +lappend coords {*}[to_grid 4.3 4.2] +lappend coords {*}[to_grid 5.3 4.2] +lappend coords {*}[to_grid 5.8 4.7] +lappend coords {*}[to_grid 5.8 7.4] +lappend coords {*}[to_grid 5.2 8] +lappend coords {*}[to_grid 4.7 8] +lappend coords {*}[to_grid 4 8.7] +lappend coords {*}[to_grid 4 13] +draw_pad_route $coords $wire_width + +# C4 vddio is also: +set coords [list $leftpadx [lindex $leftpads 18]] +lappend coords {*}[to_grid 0.1 16.2] +lappend coords {*}[to_grid 1.6 16.2] +lappend coords {*}[to_grid 2 15.8] +lappend coords {*}[to_grid 3.4 15.8] +lappend coords {*}[to_grid 4 15.2] +lappend coords {*}[to_grid 4 13] +lappend coords {*}[to_grid 5 13] +draw_pad_route $coords $wire_width + +# D4 vdda1 +set coords [list $rightpadx [lindex $rightpads 9]] +lappend coords {*}[to_grid 12.8 9.8] +lappend coords {*}[to_grid 9.7 9.8] +lappend coords {*}[to_grid 9.5 10] +lappend coords {*}[to_grid 8.8 10] +lappend coords {*}[to_grid 8.2 10.6] +lappend coords {*}[to_grid 8.2 11.8] +lappend coords {*}[to_grid 7 13] +draw_pad_route $coords $wire_width + +# D4 vdda1 is also: +set coords [list $rightpadx [lindex $rightpads 16]] +lappend coords {*}[to_grid 12.6 15.8] +lappend coords {*}[to_grid 8.4 15.8] +lappend coords {*}[to_grid 8 15.4] +lappend coords {*}[to_grid 8 12.4] +lappend coords {*}[to_grid 7.8 12.2] +draw_pad_route $coords $wire_width + +# E4 mprj_io[9]/flash2 sck +set coords [list $rightpadx [lindex $rightpads 12]] +lappend coords {*}[to_grid 12.4 12] +lappend coords {*}[to_grid 10 12] +lappend coords {*}[to_grid 9 13] +draw_pad_route $coords $wire_width + +# F4 mprj_io[10]/flash2 io0 +set coords [list $rightpadx [lindex $rightpads 13]] +lappend coords {*}[to_grid 12.5 13] +lappend coords {*}[to_grid 11 13] +draw_pad_route $coords $wire_width + +# A3 mprj_io[25] +set coords [list $leftpadx [lindex $leftpads 16]] +lappend coords {*}[to_grid -0.4 15] +lappend coords {*}[to_grid 1 15] +draw_pad_route $coords $wire_width + +# B3 vssa2 +set coords [list $leftpadx [lindex $leftpads 17]] +lappend coords {*}[to_grid -0.4 15.8] +lappend coords {*}[to_grid 0 15.8] +lappend coords {*}[to_grid 1.3 15.8] +lappend coords {*}[to_grid 2.2 15] +lappend coords {*}[to_grid 3 15] +draw_pad_route $coords $wire_width + +# C3 mprj_io[24] +set coords [list $leftpadx [lindex $leftpads 20]] +lappend coords {*}[to_grid 0 18] +lappend coords {*}[to_grid 1.5 18] +lappend coords {*}[to_grid 2 17.5] +lappend coords {*}[to_grid 2 16.5] +lappend coords {*}[to_grid 2.3 16.2] +lappend coords {*}[to_grid 3.8 16.2] +lappend coords {*}[to_grid 5 15] +draw_pad_route $coords $wire_width + +# D3 mprj_io[13] +set coords [list $rightpadx [lindex $rightpads 17]] +lappend coords {*}[to_grid 12 16.2] +lappend coords {*}[to_grid 8.2 16.2] +lappend coords {*}[to_grid 7 15] +draw_pad_route $coords $wire_width + +# E3 mprj_io[11]/flash2 io1 +set coords [list $rightpadx [lindex $rightpads 14]] +lappend coords {*}[to_grid 12.6 14] +lappend coords {*}[to_grid 10 14] +lappend coords {*}[to_grid 9 15] +draw_pad_route $coords $wire_width + +# F3 mprj_io[12] +set coords [list $rightpadx [lindex $rightpads 15]] +lappend coords {*}[to_grid 12.7 15] +lappend coords {*}[to_grid 11 15] +draw_pad_route $coords $wire_width + +# A2 vccd2 +set coords [list $leftpadx [lindex $leftpads 19]] +lappend coords {*}[to_grid -0.4 17.5] +lappend coords {*}[to_grid 0.5 17.5] +lappend coords {*}[to_grid 1 17] +draw_pad_route $coords $wire_width + +# B2 mprj_io[22] +set coords [list [lindex $toppads 1] $toppady] +lappend coords {*}[to_grid 2 19.7] +lappend coords {*}[to_grid 2 18] +lappend coords {*}[to_grid 3 17] +draw_pad_route $coords $wire_width + +# C2 mprj_io[20] +set coords [list [lindex $toppads 3] $toppady] +lappend coords {*}[to_grid 4 19.7] +lappend coords {*}[to_grid 4 18] +lappend coords {*}[to_grid 5 17] +draw_pad_route $coords $wire_width + +# D2 mprj_io[17] +set coords [list [lindex $toppads 7] $toppady] +lappend coords {*}[to_grid 8 19.7] +lappend coords {*}[to_grid 8 18] +lappend coords {*}[to_grid 7 17] +draw_pad_route $coords $wire_width + +# E2 mprj_io[14] +set coords [list $rightpadx [lindex $rightpads 19]] +lappend coords {*}[to_grid 12.6 18.5] +lappend coords {*}[to_grid 12 18.5] +lappend coords {*}[to_grid 11.5 18] +lappend coords {*}[to_grid 10 18] +lappend coords {*}[to_grid 9 17] +draw_pad_route $coords $wire_width + +# F2 vccd1 +set coords [list $rightpadx [lindex $rightpads 18]] +lappend coords {*}[to_grid 12.5 17.5] +lappend coords {*}[to_grid 11.5 17.5] +lappend coords {*}[to_grid 11 17] +draw_pad_route $coords $wire_width + +# A1 mprj_io[23] +set coords [list [lindex $toppads 0] $toppady] +lappend coords {*}[to_grid 1 19.7] +lappend coords {*}[to_grid 1 19] +draw_pad_route $coords $wire_width + +# B1 mprj_io[21] +set coords [list [lindex $toppads 2] $toppady] +lappend coords {*}[to_grid 3 19.7] +lappend coords {*}[to_grid 3 19] +draw_pad_route $coords $wire_width + +# C1 mprj_io[19] +set coords [list [lindex $toppads 4] $toppady] +lappend coords {*}[to_grid 5 19.7] +lappend coords {*}[to_grid 5 19] +draw_pad_route $coords $wire_width + +# D1 mrpj_io[18] +set coords [list [lindex $toppads 6] $toppady] +lappend coords {*}[to_grid 7 19.7] +lappend coords {*}[to_grid 7 19] +draw_pad_route $coords $wire_width + +# E1 mprj_io[16] +set coords [list [lindex $toppads 8] $toppady] +lappend coords {*}[to_grid 9.5 20] +lappend coords {*}[to_grid 9.5 19.5] +lappend coords {*}[to_grid 9 19] +draw_pad_route $coords $wire_width + +# F1 mprj_io[15] +set coords [list [lindex $toppads 10] $toppady] +lappend coords {*}[to_grid 11 19.7] +lappend coords {*}[to_grid 11 19] +draw_pad_route $coords $wire_width + diff --git a/signoff/caravel/caravel_timing.log b/signoff/caravel/caravel_timing.log new file mode 100644 index 00000000..67a5729d --- /dev/null +++ b/signoff/caravel/caravel_timing.log @@ -0,0 +1,23914 @@ +OpenSTA 2.3.1 81ad79bb7b Copyright (c) 2019, Parallax Software, Inc. +License GPLv3: GNU GPL version 3 + +This is free software, and you are free to change and redistribute it +under certain conditions; type `show_copying' for details. +This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found. +Warning: ./verilog/gl/caravel.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28. +Warning: ./verilog/gl/caravel.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30. +Warning: ./verilog/gl/caravel.v line 356, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_195. +Warning: ./verilog/gl/caravel.v line 360, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_197. +Warning: ./verilog/gl/caravel.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] . +Warning: ./verilog/gl/caravel.v line 1252, module sky130_ef_io__vccd_lvc_clamped_pad not found. Creating black box for mgmt_vccd_lvclamp_pad. +Warning: ./verilog/gl/caravel.v line 1267, module sky130_ef_io__vdda_hvc_clamped_pad not found. Creating black box for mgmt_vdda_hvclamp_pad. +Warning: ./verilog/gl/caravel.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1312, module sky130_ef_io__vssa_hvc_clamped_pad not found. Creating black box for mgmt_vssa_hvclamp_pad. +Warning: ./verilog/gl/caravel.v line 1327, module sky130_ef_io__vssd_lvc_clamped_pad not found. Creating black box for mgmt_vssd_lvclamp_pad. +Warning: ./verilog/gl/caravel.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1696, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100. +Warning: ./verilog/gl/caravel.v line 2895, module sky130_ef_io__vccd_lvc_clamped3_pad not found. Creating black box for user1_vccd_lvclamp_pad. +Warning: ./verilog/gl/caravel.v line 2970, module sky130_ef_io__vssd_lvc_clamped3_pad not found. Creating black box for user1_vssd_lvclamp_pad. +Warning: ./verilog/gl/caravel.v line 4647, module user_project_wrapper not found. Creating black box for mprj. +Warning: ./verilog/gl/caravel.v line 4766, module simple_por not found. Creating black box for por. +Warning: /home/ma/ef/caravel_mgmt_soc_litex//verilog/gl/mgmt_core_wrapper.v line 284, instance core port debug_in not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex//verilog/gl/mgmt_core_wrapper.v line 284, instance core port debug_out not found. +_4046a44074550000_p_Instance +soc/DFFRAM +_b052a44074550000_p_Instance +soc/core +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172099, pin debug_rx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172103, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172106, pin debug_rx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172116, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172118, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172119, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172120, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172122, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 187481, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1208423, *167004 not connected to net soc/core/net155. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1208428, *167004 not connected to net soc/core/net155. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1222909, pin debug_tx not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1278905, *167351 not connected to net soc/core/net3128. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1278918, *167351 not connected to net soc/core/net3128. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1279145, *167457 not connected to net soc/core/net3131. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1279154, *167457 not connected to net soc/core/net3131. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280042, *167291 not connected to net soc/core/net3162. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280048, *167291 not connected to net soc/core/net3162. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280071, *167493 not connected to net soc/core/net3164. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280076, *167493 not connected to net soc/core/net3164. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1286768, *167721 not connected to net soc/core/net3368. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1286789, *167721 not connected to net soc/core/net3368. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1351269, *167720 not connected to net soc/core/net4990. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1351275, *167720 not connected to net soc/core/net4990. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1358956, *167491 not connected to net soc/core/net5249. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1358966, *167491 not connected to net soc/core/net5249. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1360485, *167454 not connected to net soc/core/net5303. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1360500, *167454 not connected to net soc/core/net5303. +_e03c9f4074550000_p_Instance +soc +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1661, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1665, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1666, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1667, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1668, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1669, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1671, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1704, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1712, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1716, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1721, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1723, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 2900, *820 not connected to net soc/hk_stb_o. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 2907, *820 not connected to net soc/hk_stb_o. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 24791, pin core not found. +Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 24808, pin core not found. +_c0259f4074550000_p_Instance +rstb_level +_307c984074550000_p_Instance +pll +_00bdb83f74550000_p_Instance +housekeeping +Warning: ./spef/housekeeping.spef line 29129, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29136, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29144, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29151, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29159, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29167, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29175, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +Warning: ./spef/housekeeping.spef line 29181, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +_504d924074550000_p_Instance +mgmt_buffers/powergood_check +_40788a4074550000_p_Instance +mgmt_buffers/mprj_logic_high_inst +_90d1894074550000_p_Instance +mgmt_buffers/mprj2_logic_high_inst +_803f034074550000_p_Instance +mgmt_buffers +_405d5a3f74550000_p_Instance +gpio_control_bidir_1[0] +Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_1\[0\]/zero. +_10ad5a3f74550000_p_Instance +gpio_control_bidir_1[1] +Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_1\[1\]/zero. +_f0875f3f74550000_p_Instance +gpio_control_bidir_2[1] +Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_2\[1\]/zero. +_70fc603f74550000_p_Instance +gpio_control_bidir_2[2] +Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_2\[2\]/zero. +_2094633f74550000_p_Instance +gpio_control_in_1[0] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[0\]/zero. +_8052673f74550000_p_Instance +gpio_control_in_1[10] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[10\]/zero. +_80ec693f74550000_p_Instance +gpio_control_in_1[1] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[1\]/zero. +_40606b3f74550000_p_Instance +gpio_control_in_1[2] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[2\]/zero. +_00f76d3f74550000_p_Instance +gpio_control_in_1[3] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[3\]/zero. +_f08d703f74550000_p_Instance +gpio_control_in_1[4] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[4\]/zero. +_e024733f74550000_p_Instance +gpio_control_in_1[5] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[5\]/zero. +_d0bb753f74550000_p_Instance +gpio_control_in_1[6] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[6\]/zero. +_c052783f74550000_p_Instance +gpio_control_in_1[7] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[7\]/zero. +_b0e97a3f74550000_p_Instance +gpio_control_in_1[8] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[8\]/zero. +_a0807d3f74550000_p_Instance +gpio_control_in_1[9] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[9\]/zero. +_90c87f3f74550000_p_Instance +gpio_control_in_1a[0] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[0\]/zero. +_30ab823f74550000_p_Instance +gpio_control_in_1a[1] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[1\]/zero. +_f041853f74550000_p_Instance +gpio_control_in_1a[2] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[2\]/zero. +_b0d8873f74550000_p_Instance +gpio_control_in_1a[3] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[3\]/zero. +_706f8a3f74550000_p_Instance +gpio_control_in_1a[4] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[4\]/zero. +_30068d3f74550000_p_Instance +gpio_control_in_1a[5] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[5\]/zero. +_f04d8f3f74550000_p_Instance +gpio_control_in_2[0] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[0\]/zero. +_f056933f74550000_p_Instance +gpio_control_in_2[10] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[10\]/zero. +_10f1953f74550000_p_Instance +gpio_control_in_2[11] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[11\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[11\]/zero. +_d064973f74550000_p_Instance +gpio_control_in_2[12] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[12\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[12\]/zero. +_c0fb993f74550000_p_Instance +gpio_control_in_2[13] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[13\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[13\]/zero. +_b0929c3f74550000_p_Instance +gpio_control_in_2[14] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[14\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[14\]/zero. +_a0299f3f74550000_p_Instance +gpio_control_in_2[15] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[15\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[15\]/zero. +_9071a13f74550000_p_Instance +gpio_control_in_2[1] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[1\]/zero. +_3054a43f74550000_p_Instance +gpio_control_in_2[2] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[2\]/zero. +_10eba63f74550000_p_Instance +gpio_control_in_2[3] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[3\]/zero. +_f081a93f74550000_p_Instance +gpio_control_in_2[4] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[4\]/zero. +_d018ac3f74550000_p_Instance +gpio_control_in_2[5] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[5\]/zero. +_b0afae3f74550000_p_Instance +gpio_control_in_2[6] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[6\]/zero. +_a071b23f74550000_p_Instance +gpio_control_in_2[7] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[7\]/zero. +_40deb33f74550000_p_Instance +gpio_control_in_2[8] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[8\]/zero. +_2075b63f74550000_p_Instance +gpio_control_in_2[9] +Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[9\]/zero. +set ::env(IO_PCT) "0.2" +DFFRAMcoresocrstb_levelpllhousekeepingpowergood_checkmprj_logic_high_instmprj2_logic_high_instmgmt_buffersgpio_control_bidir_1[0]gpio_control_bidir_1[1]gpio_control_bidir_2[1]gpio_control_bidir_2[2]gpio_control_in_1[0]gpio_control_in_1[10]gpio_control_in_1[1]gpio_control_in_1[2]gpio_control_in_1[3]gpio_control_in_1[4]gpio_control_in_1[5]gpio_control_in_1[6]gpio_control_in_1[7]gpio_control_in_1[8]gpio_control_in_1[9]gpio_control_in_1a[0]gpio_control_in_1a[1]gpio_control_in_1a[2]gpio_control_in_1a[3]gpio_control_in_1a[4]gpio_control_in_1a[5]gpio_control_in_2[0]gpio_control_in_2[10]gpio_control_in_2[11]gpio_control_in_2[12]gpio_control_in_2[13]gpio_control_in_2[14]gpio_control_in_2[15]gpio_control_in_2[1]gpio_control_in_2[2]gpio_control_in_2[3]gpio_control_in_2[4]gpio_control_in_2[5]gpio_control_in_2[6]gpio_control_in_2[7]gpio_control_in_2[8]gpio_control_in_2[9]set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_1" +set ::env(SYNTH_DRIVING_CELL_PIN) "Y" +set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33.442" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +set_propagated_clock [get_clocks {"clock"}] +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +[INFO]: Setting output delay to: 5.0 +puts "\[INFO\]: Setting input delay to: $input_delay_value" +[INFO]: Setting input delay to: 1 +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +[INFO]: Setting load to: 0.033442 +set_load $cap_load [all_outputs] +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +[INFO]: Setting timing derate to: 0.5 % +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +[INFO]: Setting clock uncertainity to: 0.25 +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +[INFO]: Setting clock transition to: 0.15 +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.38 0.13 9.38 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 15.85 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + 0.25 16.10 clock uncertainty + -5.78 10.33 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.49 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.38 0.13 9.38 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 15.85 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.33 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.49 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 15.85 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.49 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.86 library removal time + 10.86 data required time +----------------------------------------------------------------------------- + 10.86 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.86 library removal time + 10.86 data required time +----------------------------------------------------------------------------- + 10.86 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.86 library removal time + 10.86 data required time +----------------------------------------------------------------------------- + 10.86 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.39 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.39 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 15.85 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 15.85 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.54 10.87 library removal time + 10.87 data required time +----------------------------------------------------------------------------- + 10.87 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.48 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.30 0.07 9.31 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.31 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.48 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.31 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.30 0.07 9.31 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.31 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.48 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.31 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.07 9.32 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.48 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.32 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.07 9.32 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.48 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.32 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.08 9.32 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.49 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.32 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.32 0.08 9.32 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.49 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.32 data arrival time +----------------------------------------------------------------------------- + -1.45 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.32 0.08 9.33 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 15.81 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.06 clock uncertainty + -5.78 10.28 clock reconvergence pessimism + 0.49 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.33 data arrival time +----------------------------------------------------------------------------- + -1.44 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.52 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.52 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.15 9.39 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.52 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.52 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.14 9.39 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.39 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.52 10.77 library removal time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -9.39 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.00 15.77 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.24 clock reconvergence pessimism + 0.52 10.76 library removal time + 10.76 data required time +----------------------------------------------------------------------------- + 10.76 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.38 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.14 9.38 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 9.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 15.85 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.25 16.10 clock uncertainty + -5.78 10.32 clock reconvergence pessimism + 0.25 10.57 library removal time + 10.57 data required time +----------------------------------------------------------------------------- + 10.57 data required time + -9.38 data arrival time +----------------------------------------------------------------------------- + -1.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31369_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 9.05 ^ soc/core/input1/A (sky130_fd_sc_hd__buf_4) + 0.18 0.20 9.25 ^ soc/core/input1/X (sky130_fd_sc_hd__buf_4) + 2 0.06 soc/core/net1 (net) + 0.18 0.02 9.27 ^ soc/core/_28555_/A (sky130_fd_sc_hd__clkinv_4) + 0.12 0.13 9.40 v soc/core/_28555_/Y (sky130_fd_sc_hd__clkinv_4) + 2 0.05 soc/core/core_rst (net) + 0.12 0.01 9.41 v soc/core/_31369_/D (sky130_fd_sc_hd__dfxtp_4) + 9.41 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.08 16.39 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.29 16.68 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 16.68 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 16.82 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 16.82 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.16 16.98 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 16.98 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.16 17.14 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_1_0_core_clk (net) + 0.06 0.00 17.14 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 17.28 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_2_0_core_clk (net) + 0.04 0.00 17.28 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.34 17.62 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 14 0.12 soc/core/clknet_5_2_1_core_clk (net) + 0.36 0.04 17.66 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.22 17.87 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) + 0.04 0.00 17.88 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.01 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) + 0.04 0.00 18.01 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 18.16 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_8_core_clk (net) + 0.06 0.00 18.16 ^ soc/core/_31369_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 18.41 clock uncertainty + -5.78 12.63 clock reconvergence pessimism + -0.07 12.56 library hold time + 12.56 data required time +----------------------------------------------------------------------------- + 12.56 data required time + -9.41 data arrival time +----------------------------------------------------------------------------- + -3.14 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31230_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_19116_/A (sky130_fd_sc_hd__and2_1) + 0.18 0.23 11.79 ^ soc/core/_19116_/X (sky130_fd_sc_hd__and2_1) + 2 0.02 soc/core/_08862_ (net) + 0.18 0.00 11.80 ^ soc/core/_19117_/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.22 12.02 ^ soc/core/_19117_/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.16 soc/core/_08863_ (net) + 0.22 0.07 12.09 ^ soc/core/_25017_/C (sky130_fd_sc_hd__and3_1) + 0.06 0.18 12.27 ^ soc/core/_25017_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_12412_ (net) + 0.06 0.00 12.27 ^ soc/core/_25018_/C1 (sky130_fd_sc_hd__a221o_1) + 0.05 0.10 12.37 ^ soc/core/_25018_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_02951_ (net) + 0.05 0.00 12.37 ^ soc/core/_31230_/D (sky130_fd_sc_hd__dfxtp_2) + 12.37 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) + 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) + 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_109_core_clk (net) + 0.09 0.00 18.31 ^ soc/core/_31230_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.56 clock uncertainty + -5.81 12.75 clock reconvergence pessimism + -0.03 12.73 library hold time + 12.73 data required time +----------------------------------------------------------------------------- + 12.73 data required time + -12.37 data arrival time +----------------------------------------------------------------------------- + -0.35 slack (VIOLATED) + + +Startpoint: housekeeping/_9026_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31741_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.36 10.09 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net356 (net) + 0.07 0.00 10.09 ^ housekeeping/output356/A (sky130_fd_sc_hd__buf_2) + 0.05 0.11 10.21 ^ housekeeping/output356/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[6] (net) + 0.06 0.01 10.21 ^ soc/core/input34/A (sky130_fd_sc_hd__buf_8) + 0.03 0.08 10.30 ^ soc/core/input34/X (sky130_fd_sc_hd__buf_8) + 1 0.01 soc/core/net34 (net) + 0.03 0.00 10.30 ^ soc/core/hold1886/A (sky130_fd_sc_hd__buf_8) + 0.20 0.17 10.47 ^ soc/core/hold1886/X (sky130_fd_sc_hd__buf_8) + 2 0.12 soc/core/net4752 (net) + 0.22 0.05 10.52 ^ soc/core/_23052_/A2 (sky130_fd_sc_hd__a211o_4) + 0.02 0.15 10.67 ^ soc/core/_23052_/X (sky130_fd_sc_hd__a211o_4) + 1 0.00 soc/core/_11337_ (net) + 0.02 0.00 10.67 ^ soc/core/hold879/A (sky130_fd_sc_hd__buf_2) + 0.21 0.20 10.87 ^ soc/core/hold879/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net3745 (net) + 0.21 0.01 10.88 ^ soc/core/_23053_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.14 11.01 ^ soc/core/_23053_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[6] (net) + 0.03 0.00 11.01 ^ soc/core/hold876/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.55 11.56 ^ soc/core/hold876/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3743 (net) + 0.11 0.00 11.56 ^ soc/core/hold877/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.59 12.15 ^ soc/core/hold877/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3744 (net) + 0.13 0.00 12.15 ^ soc/core/hold878/A (sky130_fd_sc_hd__buf_4) + 0.19 0.23 12.38 ^ soc/core/hold878/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net3742 (net) + 0.20 0.01 12.40 ^ soc/core/_31741_/D (sky130_fd_sc_hd__dfxtp_1) + 12.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) + 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) + 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_109_core_clk (net) + 0.09 0.00 18.31 ^ soc/core/_31741_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.56 clock uncertainty + -5.81 12.75 clock reconvergence pessimism + -0.06 12.70 library hold time + 12.70 data required time +----------------------------------------------------------------------------- + 12.70 data required time + -12.40 data arrival time +----------------------------------------------------------------------------- + -0.30 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_34040_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.12 0.00 11.91 ^ soc/core/_19218_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.05 0.08 11.99 v soc/core/_19218_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_08943_ (net) + 0.05 0.00 11.99 v soc/core/_19219_/B (sky130_fd_sc_hd__nor2_1) + 0.28 0.22 12.22 ^ soc/core/_19219_/Y (sky130_fd_sc_hd__nor2_1) + 3 0.02 soc/core/_08944_ (net) + 0.28 0.00 12.22 ^ soc/core/_19238_/A1 (sky130_fd_sc_hd__o211a_1) + 0.07 0.21 12.43 ^ soc/core/_19238_/X (sky130_fd_sc_hd__o211a_1) + 1 0.01 soc/core/_05214_ (net) + 0.07 0.00 12.43 ^ soc/core/_34040_/D (sky130_fd_sc_hd__dfxtp_2) + 12.43 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) + 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) + 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_234_core_clk (net) + 0.06 0.00 18.27 ^ soc/core/_34040_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.52 clock uncertainty + -5.81 12.71 clock reconvergence pessimism + -0.03 12.68 library hold time + 12.68 data required time +----------------------------------------------------------------------------- + 12.68 data required time + -12.43 data arrival time +----------------------------------------------------------------------------- + -0.25 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_34039_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.12 0.00 11.91 ^ soc/core/_19232_/A2 (sky130_fd_sc_hd__a21o_1) + 0.05 0.13 12.04 ^ soc/core/_19232_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08956_ (net) + 0.05 0.00 12.05 ^ soc/core/_19233_/A (sky130_fd_sc_hd__nand2_2) + 0.11 0.10 12.15 v soc/core/_19233_/Y (sky130_fd_sc_hd__nand2_2) + 4 0.03 soc/core/_02167_ (net) + 0.11 0.00 12.15 v soc/core/_19240_/A (sky130_fd_sc_hd__nand2_1) + 0.05 0.08 12.22 ^ soc/core/_19240_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_08961_ (net) + 0.05 0.00 12.22 ^ soc/core/_19241_/C (sky130_fd_sc_hd__and3_1) + 0.06 0.14 12.37 ^ soc/core/_19241_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_08962_ (net) + 0.06 0.00 12.37 ^ soc/core/_19242_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.45 ^ soc/core/_19242_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05213_ (net) + 0.04 0.00 12.45 ^ soc/core/_34039_/D (sky130_fd_sc_hd__dfxtp_1) + 12.45 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) + 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) + 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_234_core_clk (net) + 0.06 0.00 18.27 ^ soc/core/_34039_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.52 clock uncertainty + -5.81 12.71 clock reconvergence pessimism + -0.03 12.68 library hold time + 12.68 data required time +----------------------------------------------------------------------------- + 12.68 data required time + -12.45 data arrival time +----------------------------------------------------------------------------- + -0.24 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35092_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15532_/B (sky130_fd_sc_hd__nand3_1) + 0.05 0.10 12.15 ^ soc/core/_15532_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_06266_ (net) + 0.05 0.00 12.15 ^ soc/core/_35092_/D (sky130_fd_sc_hd__dfxtp_1) + 12.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.01 17.62 ^ soc/core/clkbuf_leaf_194_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.32 17.94 ^ soc/core/clkbuf_leaf_194_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_194_core_clk (net) + 0.09 0.00 17.95 ^ soc/core/_35092_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.20 clock uncertainty + -5.81 12.39 clock reconvergence pessimism + -0.03 12.36 library hold time + 12.36 data required time +----------------------------------------------------------------------------- + 12.36 data required time + -12.15 data arrival time +----------------------------------------------------------------------------- + -0.22 slack (VIOLATED) + + +Startpoint: housekeeping/_9023_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31258_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.38 10.11 ^ housekeeping/_9023_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net353 (net) + 0.09 0.00 10.11 ^ housekeeping/output353/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 10.23 ^ housekeeping/output353/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[3] (net) + 0.06 0.01 10.24 ^ soc/core/input31/A (sky130_fd_sc_hd__buf_8) + 0.17 0.18 10.43 ^ soc/core/input31/X (sky130_fd_sc_hd__buf_8) + 2 0.11 soc/core/net31 (net) + 0.17 0.01 10.43 ^ soc/core/_23078_/B2 (sky130_fd_sc_hd__a22o_1) + 0.07 0.16 10.60 ^ soc/core/_23078_/X (sky130_fd_sc_hd__a22o_1) + 1 0.01 soc/core/_11357_ (net) + 0.07 0.00 10.60 ^ soc/core/_23079_/C1 (sky130_fd_sc_hd__a211o_2) + 0.02 0.09 10.68 ^ soc/core/_23079_/X (sky130_fd_sc_hd__a211o_2) + 1 0.00 soc/core/_11358_ (net) + 0.02 0.00 10.68 ^ soc/core/hold1057/A (sky130_fd_sc_hd__buf_2) + 0.15 0.17 10.85 ^ soc/core/hold1057/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net3923 (net) + 0.15 0.01 10.86 ^ soc/core/_23080_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.12 10.98 ^ soc/core/_23080_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[3] (net) + 0.03 0.00 10.98 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.63 11.61 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3922 (net) + 0.22 0.00 11.61 ^ soc/core/hold1056/A (sky130_fd_sc_hd__buf_6) + 0.19 0.23 11.84 ^ soc/core/hold1056/X (sky130_fd_sc_hd__buf_6) + 6 0.09 soc/core/net3921 (net) + 0.19 0.02 11.86 ^ soc/core/_24949_/B1 (sky130_fd_sc_hd__a221o_1) + 0.11 0.21 12.07 ^ soc/core/_24949_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_02979_ (net) + 0.11 0.00 12.07 ^ soc/core/_31258_/D (sky130_fd_sc_hd__dfxtp_1) + 12.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_16_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_16_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_16_core_clk (net) + 0.06 0.00 17.87 ^ soc/core/_31258_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.12 clock uncertainty + -5.81 12.32 clock reconvergence pessimism + -0.04 12.27 library hold time + 12.27 data required time +----------------------------------------------------------------------------- + 12.27 data required time + -12.07 data arrival time +----------------------------------------------------------------------------- + -0.21 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9043_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6150_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6150_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0293_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9043_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9043_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.20 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35087_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15553_/B1 (sky130_fd_sc_hd__o21a_1) + 0.03 0.12 12.16 v soc/core/_15553_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_06261_ (net) + 0.03 0.00 12.16 v soc/core/_35087_/D (sky130_fd_sc_hd__dfxtp_1) + 12.16 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_224_core_clk (net) + 0.08 0.00 17.96 ^ soc/core/_35087_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.21 clock uncertainty + -5.81 12.40 clock reconvergence pessimism + -0.04 12.36 library hold time + 12.36 data required time +----------------------------------------------------------------------------- + 12.36 data required time + -12.16 data arrival time +----------------------------------------------------------------------------- + -0.20 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9036_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6948_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6948_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0286_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9036_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9036_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.20 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9034_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6954_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6954_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0284_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9034_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.77 ^ housekeeping/_9034_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.20 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9033_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6955_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6955_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0283_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9033_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.77 ^ housekeeping/_9033_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.20 slack (VIOLATED) + + +Startpoint: housekeeping/_9014_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31745_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.36 10.10 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net329 (net) + 0.07 0.00 10.10 ^ housekeeping/output329/A (sky130_fd_sc_hd__buf_2) + 0.06 0.12 10.21 ^ housekeeping/output329/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[10] (net) + 0.07 0.01 10.22 ^ soc/core/input7/A (sky130_fd_sc_hd__buf_12) + 0.22 0.22 10.44 ^ soc/core/input7/X (sky130_fd_sc_hd__buf_12) + 2 0.19 soc/core/net7 (net) + 0.23 0.02 10.47 ^ soc/core/_23016_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.16 10.63 ^ soc/core/_23016_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_11309_ (net) + 0.04 0.00 10.63 ^ soc/core/hold1049/A (sky130_fd_sc_hd__clkdlybuf4s50_1) + 0.08 0.47 11.09 ^ soc/core/hold1049/X (sky130_fd_sc_hd__clkdlybuf4s50_1) + 1 0.01 soc/core/net3915 (net) + 0.08 0.00 11.10 ^ soc/core/_23017_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.08 0.15 11.24 ^ soc/core/_23017_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.02 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[10] (net) + 0.08 0.00 11.24 ^ soc/core/hold1047/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.15 0.60 11.84 ^ soc/core/hold1047/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3914 (net) + 0.15 0.00 11.84 ^ soc/core/hold1048/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.24 12.08 ^ soc/core/hold1048/X (sky130_fd_sc_hd__clkbuf_4) + 6 0.05 soc/core/net3913 (net) + 0.15 0.01 12.09 ^ soc/core/_31745_/D (sky130_fd_sc_hd__dfxtp_1) + 12.09 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_13_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_13_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_13_core_clk (net) + 0.08 0.00 17.89 ^ soc/core/_31745_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.14 clock uncertainty + -5.81 12.33 clock reconvergence pessimism + -0.05 12.28 library hold time + 12.28 data required time +----------------------------------------------------------------------------- + 12.28 data required time + -12.09 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9041_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6327_/A1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.14 10.08 ^ housekeeping/_6327_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0291_ (net) + 0.04 0.00 10.08 ^ housekeeping/_9041_/D (sky130_fd_sc_hd__dfxtp_1) + 10.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.08 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: housekeeping/_9015_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31746_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.29 9.77 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 9.77 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.39 10.16 ^ housekeeping/_9015_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net330 (net) + 0.08 0.00 10.16 ^ housekeeping/output330/A (sky130_fd_sc_hd__buf_2) + 0.06 0.12 10.28 ^ housekeeping/output330/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[11] (net) + 0.06 0.01 10.29 ^ soc/core/input8/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.18 10.47 ^ soc/core/input8/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.15 soc/core/net8 (net) + 0.20 0.06 10.53 ^ soc/core/_23008_/B2 (sky130_fd_sc_hd__a221o_4) + 0.03 0.17 10.70 ^ soc/core/_23008_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11303_ (net) + 0.03 0.00 10.70 ^ soc/core/hold965/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.20 10.91 ^ soc/core/hold965/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.05 soc/core/net3831 (net) + 0.17 0.01 10.91 ^ soc/core/_23009_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.10 0.19 11.10 ^ soc/core/_23009_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[11] (net) + 0.10 0.00 11.10 ^ soc/core/hold963/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.52 11.62 ^ soc/core/hold963/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3830 (net) + 0.05 0.00 11.62 ^ soc/core/hold1776/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.20 0.19 11.80 ^ soc/core/hold1776/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4642 (net) + 0.20 0.00 11.81 ^ soc/core/hold964/A (sky130_fd_sc_hd__buf_4) + 0.21 0.26 12.07 ^ soc/core/hold964/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net3829 (net) + 0.21 0.01 12.08 ^ soc/core/_31746_/D (sky130_fd_sc_hd__dfxtp_1) + 12.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_13_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_13_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_13_core_clk (net) + 0.08 0.00 17.89 ^ soc/core/_31746_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.14 clock uncertainty + -5.81 12.33 clock reconvergence pessimism + -0.06 12.27 library hold time + 12.27 data required time +----------------------------------------------------------------------------- + 12.27 data required time + -12.08 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9038_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6786_/A1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.14 10.08 ^ housekeeping/_6786_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0288_ (net) + 0.04 0.00 10.08 ^ housekeeping/_9038_/D (sky130_fd_sc_hd__dfxtp_1) + 10.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.77 ^ housekeeping/_9038_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.08 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9037_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6947_/A1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.14 10.08 ^ housekeeping/_6947_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0287_ (net) + 0.04 0.00 10.08 ^ housekeeping/_9037_/D (sky130_fd_sc_hd__dfxtp_1) + 10.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.77 ^ housekeeping/_9037_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.08 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9040_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6476_/A1 (sky130_fd_sc_hd__o22a_2) + 0.03 0.15 10.09 ^ housekeeping/_6476_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0290_ (net) + 0.03 0.00 10.09 ^ housekeeping/_9040_/D (sky130_fd_sc_hd__dfxtp_1) + 10.09 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9040_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.09 data arrival time +----------------------------------------------------------------------------- + -0.19 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9042_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6238_/A1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.15 10.09 ^ housekeeping/_6238_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0292_ (net) + 0.04 0.00 10.09 ^ housekeeping/_9042_/D (sky130_fd_sc_hd__dfxtp_1) + 10.09 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.78 ^ housekeeping/_9042_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.03 clock uncertainty + -5.78 10.25 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.09 data arrival time +----------------------------------------------------------------------------- + -0.18 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35091_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15535_/B1 (sky130_fd_sc_hd__o21a_1) + 0.03 0.12 12.16 v soc/core/_15535_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_06265_ (net) + 0.03 0.00 12.16 v soc/core/_35091_/D (sky130_fd_sc_hd__dfxtp_1) + 12.16 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.02 17.63 ^ soc/core/clkbuf_leaf_192_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.31 17.94 ^ soc/core/clkbuf_leaf_192_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 11 0.05 soc/core/clknet_leaf_192_core_clk (net) + 0.08 0.00 17.94 ^ soc/core/_35091_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.19 clock uncertainty + -5.81 12.38 clock reconvergence pessimism + -0.04 12.35 library hold time + 12.35 data required time +----------------------------------------------------------------------------- + 12.35 data required time + -12.16 data arrival time +----------------------------------------------------------------------------- + -0.18 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_34041_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.12 0.00 11.91 ^ soc/core/_19216_/A (sky130_fd_sc_hd__nand2_2) + 0.08 0.10 12.01 v soc/core/_19216_/Y (sky130_fd_sc_hd__nand2_2) + 2 0.02 soc/core/_08941_ (net) + 0.08 0.00 12.01 v soc/core/_19217_/B1 (sky130_fd_sc_hd__a31oi_4) + 0.20 0.23 12.24 ^ soc/core/_19217_/Y (sky130_fd_sc_hd__a31oi_4) + 3 0.02 soc/core/_08942_ (net) + 0.20 0.00 12.24 ^ soc/core/_19225_/A2 (sky130_fd_sc_hd__o22ai_1) + 0.05 0.10 12.34 v soc/core/_19225_/Y (sky130_fd_sc_hd__o22ai_1) + 1 0.00 soc/core/_08950_ (net) + 0.05 0.00 12.34 v soc/core/_19229_/A (sky130_fd_sc_hd__nand2_1) + 0.04 0.06 12.39 ^ soc/core/_19229_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_08954_ (net) + 0.04 0.00 12.40 ^ soc/core/_19231_/A1 (sky130_fd_sc_hd__a21oi_1) + 0.07 0.08 12.47 v soc/core/_19231_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.01 soc/core/_05215_ (net) + 0.07 0.00 12.47 v soc/core/_34041_/D (sky130_fd_sc_hd__dfxtp_1) + 12.47 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) + 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) + 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_234_core_clk (net) + 0.06 0.00 18.27 ^ soc/core/_34041_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.52 clock uncertainty + -5.81 12.71 clock reconvergence pessimism + -0.06 12.65 library hold time + 12.65 data required time +----------------------------------------------------------------------------- + 12.65 data required time + -12.47 data arrival time +----------------------------------------------------------------------------- + -0.18 slack (VIOLATED) + + +Startpoint: housekeeping/_9027_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31742_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.37 10.10 ^ housekeeping/_9027_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net357 (net) + 0.08 0.00 10.10 ^ housekeeping/output357/A (sky130_fd_sc_hd__buf_2) + 0.03 0.10 10.20 ^ housekeeping/output357/X (sky130_fd_sc_hd__buf_2) + 2 0.00 hk_dat_i[7] (net) + 0.03 0.00 10.20 ^ soc/core/hold1250/A (sky130_fd_sc_hd__clkdlybuf4s50_1) + 0.10 0.48 10.68 ^ soc/core/hold1250/X (sky130_fd_sc_hd__clkdlybuf4s50_1) + 1 0.01 soc/core/net4116 (net) + 0.10 0.00 10.68 ^ soc/core/input35/A (sky130_fd_sc_hd__buf_8) + 0.03 0.10 10.78 ^ soc/core/input35/X (sky130_fd_sc_hd__buf_8) + 1 0.01 soc/core/net35 (net) + 0.03 0.00 10.78 ^ soc/core/hold1249/A (sky130_fd_sc_hd__buf_8) + 0.03 0.07 10.85 ^ soc/core/hold1249/X (sky130_fd_sc_hd__buf_8) + 1 0.01 soc/core/net4115 (net) + 0.03 0.00 10.85 ^ soc/core/hold2217/A (sky130_fd_sc_hd__buf_8) + 0.24 0.18 11.04 ^ soc/core/hold2217/X (sky130_fd_sc_hd__buf_8) + 2 0.15 soc/core/net5083 (net) + 0.27 0.07 11.10 ^ soc/core/_23041_/B2 (sky130_fd_sc_hd__a22o_1) + 0.09 0.20 11.31 ^ soc/core/_23041_/X (sky130_fd_sc_hd__a22o_1) + 1 0.01 soc/core/_11328_ (net) + 0.09 0.00 11.31 ^ soc/core/_23042_/C1 (sky130_fd_sc_hd__a211o_2) + 0.03 0.10 11.40 ^ soc/core/_23042_/X (sky130_fd_sc_hd__a211o_2) + 1 0.00 soc/core/_11329_ (net) + 0.03 0.00 11.40 ^ soc/core/hold866/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.17 11.58 ^ soc/core/hold866/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net3732 (net) + 0.16 0.00 11.58 ^ soc/core/_23043_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.13 11.70 ^ soc/core/_23043_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[7] (net) + 0.03 0.00 11.70 ^ soc/core/hold864/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.19 0.61 12.31 ^ soc/core/hold864/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3731 (net) + 0.19 0.00 12.32 ^ soc/core/hold865/A (sky130_fd_sc_hd__buf_6) + 0.16 0.21 12.53 ^ soc/core/hold865/X (sky130_fd_sc_hd__buf_6) + 8 0.08 soc/core/net3730 (net) + 0.17 0.02 12.54 ^ soc/core/_31742_/D (sky130_fd_sc_hd__dfxtp_1) + 12.54 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.02 17.75 ^ soc/core/clkbuf_opt_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_18_0_core_clk (net) + 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.13 ^ soc/core/clkbuf_opt_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_18_1_core_clk (net) + 0.04 0.00 18.13 ^ soc/core/clkbuf_leaf_108_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.19 18.32 ^ soc/core/clkbuf_leaf_108_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.09 soc/core/clknet_leaf_108_core_clk (net) + 0.11 0.01 18.33 ^ soc/core/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.58 clock uncertainty + -5.81 12.77 clock reconvergence pessimism + -0.05 12.72 library hold time + 12.72 data required time +----------------------------------------------------------------------------- + 12.72 data required time + -12.54 data arrival time +----------------------------------------------------------------------------- + -0.18 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35131_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.12 0.00 11.91 ^ soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) + 0.10 0.12 12.03 v soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) + 5 0.02 soc/core/_14980_ (net) + 0.10 0.00 12.03 v soc/core/_15363_/B (sky130_fd_sc_hd__nor3_1) + 0.19 0.21 12.24 ^ soc/core/_15363_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_06305_ (net) + 0.19 0.00 12.24 ^ soc/core/_35131_/D (sky130_fd_sc_hd__dfxtp_4) + 12.24 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.01 17.69 ^ soc/core/clkbuf_leaf_235_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.33 18.02 ^ soc/core/clkbuf_leaf_235_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.06 soc/core/clknet_leaf_235_core_clk (net) + 0.09 0.00 18.02 ^ soc/core/_35131_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 18.27 clock uncertainty + -5.81 12.47 clock reconvergence pessimism + -0.06 12.41 library hold time + 12.41 data required time +----------------------------------------------------------------------------- + 12.41 data required time + -12.24 data arrival time +----------------------------------------------------------------------------- + -0.17 slack (VIOLATED) + + +Startpoint: housekeeping/_9024_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31257_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.37 10.10 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net354 (net) + 0.08 0.00 10.10 ^ housekeeping/output354/A (sky130_fd_sc_hd__buf_2) + 0.05 0.11 10.21 ^ housekeeping/output354/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[4] (net) + 0.06 0.01 10.22 ^ soc/core/input32/A (sky130_fd_sc_hd__buf_8) + 0.19 0.17 10.39 ^ soc/core/input32/X (sky130_fd_sc_hd__buf_8) + 2 0.11 soc/core/net32 (net) + 0.21 0.05 10.44 ^ soc/core/_23069_/B2 (sky130_fd_sc_hd__a22o_1) + 0.08 0.18 10.62 ^ soc/core/_23069_/X (sky130_fd_sc_hd__a22o_1) + 1 0.01 soc/core/_11350_ (net) + 0.08 0.00 10.62 ^ soc/core/_23070_/C1 (sky130_fd_sc_hd__a211o_2) + 0.02 0.09 10.71 ^ soc/core/_23070_/X (sky130_fd_sc_hd__a211o_2) + 1 0.00 soc/core/_11351_ (net) + 0.02 0.00 10.71 ^ soc/core/hold1095/A (sky130_fd_sc_hd__buf_2) + 0.21 0.20 10.92 ^ soc/core/hold1095/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net3961 (net) + 0.21 0.01 10.92 ^ soc/core/_23071_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.14 11.06 ^ soc/core/_23071_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[4] (net) + 0.03 0.00 11.06 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 0.60 11.66 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3960 (net) + 0.18 0.00 11.66 ^ soc/core/hold1094/A (sky130_fd_sc_hd__buf_6) + 0.22 0.24 11.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__buf_6) + 6 0.11 soc/core/net3959 (net) + 0.22 0.02 11.92 ^ soc/core/_24952_/B1 (sky130_fd_sc_hd__a221o_1) + 0.07 0.19 12.12 ^ soc/core/_24952_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_02978_ (net) + 0.07 0.00 12.12 ^ soc/core/_31257_/D (sky130_fd_sc_hd__dfxtp_2) + 12.12 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_16_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_16_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_16_core_clk (net) + 0.06 0.00 17.87 ^ soc/core/_31257_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.12 clock uncertainty + -5.81 12.32 clock reconvergence pessimism + -0.03 12.28 library hold time + 12.28 data required time +----------------------------------------------------------------------------- + 12.28 data required time + -12.12 data arrival time +----------------------------------------------------------------------------- + -0.16 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9017_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.97 ^ housekeeping/_6979_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.11 ^ housekeeping/_6979_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0267_ (net) + 0.04 0.00 10.11 ^ housekeeping/_9017_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 15.77 ^ housekeeping/_9017_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 16.02 clock uncertainty + -5.78 10.24 clock reconvergence pessimism + 0.02 10.27 library hold time + 10.27 data required time +----------------------------------------------------------------------------- + 10.27 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.16 slack (VIOLATED) + + +Startpoint: housekeeping/_9041_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31764_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 9.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 9.86 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.46 10.32 ^ housekeeping/_9041_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.02 housekeeping/net349 (net) + 0.15 0.00 10.32 ^ housekeeping/output349/A (sky130_fd_sc_hd__buf_2) + 0.03 0.12 10.44 ^ housekeeping/output349/X (sky130_fd_sc_hd__buf_2) + 2 0.00 hk_dat_i[29] (net) + 0.03 0.00 10.45 ^ soc/core/input27/A (sky130_fd_sc_hd__buf_4) + 0.02 0.08 10.53 ^ soc/core/input27/X (sky130_fd_sc_hd__buf_4) + 1 0.00 soc/core/net27 (net) + 0.02 0.00 10.53 ^ soc/core/hold1248/A (sky130_fd_sc_hd__buf_4) + 0.19 0.20 10.73 ^ soc/core/hold1248/X (sky130_fd_sc_hd__buf_4) + 2 0.07 soc/core/net4114 (net) + 0.20 0.00 10.74 ^ soc/core/_22863_/B (sky130_fd_sc_hd__and2_1) + 0.07 0.16 10.90 ^ soc/core/_22863_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_11194_ (net) + 0.07 0.00 10.90 ^ soc/core/_22864_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.11 11.01 ^ soc/core/_22864_/X (sky130_fd_sc_hd__a221o_4) + 1 0.01 soc/core/_11195_ (net) + 0.05 0.00 11.01 ^ soc/core/hold1207/A (sky130_fd_sc_hd__buf_12) + 0.20 0.17 11.18 ^ soc/core/hold1207/X (sky130_fd_sc_hd__buf_12) + 2 0.18 soc/core/net4073 (net) + 0.25 0.07 11.25 ^ soc/core/_22865_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.14 11.39 ^ soc/core/_22865_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_11196_ (net) + 0.04 0.00 11.39 ^ soc/core/hold1209/A (sky130_fd_sc_hd__clkdlybuf4s50_1) + 0.09 0.48 11.86 ^ soc/core/hold1209/X (sky130_fd_sc_hd__clkdlybuf4s50_1) + 1 0.01 soc/core/net4075 (net) + 0.09 0.00 11.87 ^ soc/core/_22866_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.11 11.97 ^ soc/core/_22866_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[29] (net) + 0.03 0.00 11.97 ^ soc/core/hold1208/A (sky130_fd_sc_hd__buf_4) + 0.16 0.17 12.14 ^ soc/core/hold1208/X (sky130_fd_sc_hd__buf_4) + 8 0.05 soc/core/net4074 (net) + 0.16 0.01 12.15 ^ soc/core/_31764_/D (sky130_fd_sc_hd__dfxtp_2) + 12.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.62 ^ soc/core/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_12_core_clk (net) + 0.08 0.00 17.89 ^ soc/core/_31764_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.14 clock uncertainty + -5.81 12.33 clock reconvergence pessimism + -0.05 12.28 library hold time + 12.28 data required time +----------------------------------------------------------------------------- + 12.28 data required time + -12.15 data arrival time +----------------------------------------------------------------------------- + -0.13 slack (VIOLATED) + + +Startpoint: housekeeping/_9023_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31738_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.38 10.11 ^ housekeeping/_9023_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net353 (net) + 0.09 0.00 10.11 ^ housekeeping/output353/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 10.23 ^ housekeeping/output353/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[3] (net) + 0.06 0.01 10.24 ^ soc/core/input31/A (sky130_fd_sc_hd__buf_8) + 0.17 0.18 10.43 ^ soc/core/input31/X (sky130_fd_sc_hd__buf_8) + 2 0.11 soc/core/net31 (net) + 0.17 0.01 10.43 ^ soc/core/_23078_/B2 (sky130_fd_sc_hd__a22o_1) + 0.07 0.16 10.60 ^ soc/core/_23078_/X (sky130_fd_sc_hd__a22o_1) + 1 0.01 soc/core/_11357_ (net) + 0.07 0.00 10.60 ^ soc/core/_23079_/C1 (sky130_fd_sc_hd__a211o_2) + 0.02 0.09 10.68 ^ soc/core/_23079_/X (sky130_fd_sc_hd__a211o_2) + 1 0.00 soc/core/_11358_ (net) + 0.02 0.00 10.68 ^ soc/core/hold1057/A (sky130_fd_sc_hd__buf_2) + 0.15 0.17 10.85 ^ soc/core/hold1057/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net3923 (net) + 0.15 0.01 10.86 ^ soc/core/_23080_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.12 10.98 ^ soc/core/_23080_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[3] (net) + 0.03 0.00 10.98 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.63 11.61 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3922 (net) + 0.22 0.00 11.61 ^ soc/core/hold1056/A (sky130_fd_sc_hd__buf_6) + 0.19 0.23 11.84 ^ soc/core/hold1056/X (sky130_fd_sc_hd__buf_6) + 6 0.09 soc/core/net3921 (net) + 0.19 0.00 11.84 ^ soc/core/hold1715/A (sky130_fd_sc_hd__buf_4) + 0.23 0.27 12.11 ^ soc/core/hold1715/X (sky130_fd_sc_hd__buf_4) + 4 0.08 soc/core/net4581 (net) + 0.23 0.01 12.12 ^ soc/core/_31738_/D (sky130_fd_sc_hd__dfxtp_1) + 12.12 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_15_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_15_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_15_core_clk (net) + 0.06 0.00 17.87 ^ soc/core/_31738_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.12 clock uncertainty + -5.81 12.31 clock reconvergence pessimism + -0.07 12.24 library hold time + 12.24 data required time +----------------------------------------------------------------------------- + 12.24 data required time + -12.12 data arrival time +----------------------------------------------------------------------------- + -0.13 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_32669_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.11 0.01 11.69 v soc/core/_22850_/B (sky130_fd_sc_hd__nor2_8) + 0.30 0.25 11.95 ^ soc/core/_22850_/Y (sky130_fd_sc_hd__nor2_8) + 8 0.08 soc/core/_11184_ (net) + 0.30 0.02 11.97 ^ soc/core/_22913_/A (sky130_fd_sc_hd__buf_4) + 0.18 0.27 12.24 ^ soc/core/_22913_/X (sky130_fd_sc_hd__buf_4) + 16 0.07 soc/core/_11231_ (net) + 0.19 0.01 12.24 ^ soc/core/_22953_/S (sky130_fd_sc_hd__mux2_1) + 0.04 0.16 12.40 ^ soc/core/_22953_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_11261_ (net) + 0.04 0.00 12.40 ^ soc/core/_22954_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 12.47 ^ soc/core/_22954_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_03843_ (net) + 0.04 0.00 12.47 ^ soc/core/_32669_/D (sky130_fd_sc_hd__dfxtp_1) + 12.47 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 16.06 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.37 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 16.37 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.57 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 16.58 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 16.81 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_9_0_core_clk (net) + 0.12 0.00 16.81 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.97 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.57 17.54 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 26 0.22 soc/core/clknet_5_18_1_core_clk (net) + 0.64 0.03 17.57 ^ soc/core/clkbuf_opt_34_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.28 17.85 ^ soc/core/clkbuf_opt_34_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_34_0_core_clk (net) + 0.05 0.00 17.85 ^ soc/core/clkbuf_opt_34_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 17.99 ^ soc/core/clkbuf_opt_34_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 soc/core/clknet_opt_34_1_core_clk (net) + 0.05 0.00 17.99 ^ soc/core/clkbuf_leaf_370_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.17 18.16 ^ soc/core/clkbuf_leaf_370_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_370_core_clk (net) + 0.08 0.00 18.17 ^ soc/core/_32669_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.42 clock uncertainty + -5.81 12.61 clock reconvergence pessimism + -0.03 12.58 library hold time + 12.58 data required time +----------------------------------------------------------------------------- + 12.58 data required time + -12.47 data arrival time +----------------------------------------------------------------------------- + -0.11 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_33121_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15392_/B (sky130_fd_sc_hd__nor2_2) + 0.22 0.20 11.89 ^ soc/core/_15392_/Y (sky130_fd_sc_hd__nor2_2) + 1 0.02 soc/core/_06317_ (net) + 0.22 0.00 11.89 ^ soc/core/_15393_/B (sky130_fd_sc_hd__nor2_8) + 0.09 0.11 12.00 v soc/core/_15393_/Y (sky130_fd_sc_hd__nor2_8) + 10 0.09 soc/core/_06318_ (net) + 0.10 0.02 12.01 v soc/core/_21623_/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 12.24 v soc/core/_21623_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.05 soc/core/_10402_ (net) + 0.11 0.00 12.24 v soc/core/_21626_/S (sky130_fd_sc_hd__mux2_1) + 0.04 0.21 12.45 ^ soc/core/_21626_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_10404_ (net) + 0.04 0.00 12.45 ^ soc/core/_21627_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.52 ^ soc/core/_21627_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04295_ (net) + 0.04 0.00 12.52 ^ soc/core/_33121_/D (sky130_fd_sc_hd__dfxtp_2) + 12.52 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 16.06 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 16.38 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.19 16.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 16.57 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 16.79 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 16.79 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.94 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 16.94 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.68 17.63 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.02 17.64 ^ soc/core/clkbuf_opt_39_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.93 ^ soc/core/clkbuf_opt_39_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_39_0_core_clk (net) + 0.06 0.00 17.93 ^ soc/core/clkbuf_opt_39_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 18.07 ^ soc/core/clkbuf_opt_39_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_39_1_core_clk (net) + 0.04 0.00 18.07 ^ soc/core/clkbuf_leaf_270_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 18.22 ^ soc/core/clkbuf_leaf_270_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_270_core_clk (net) + 0.06 0.00 18.22 ^ soc/core/_33121_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.47 clock uncertainty + -5.81 12.66 clock reconvergence pessimism + -0.03 12.63 library hold time + 12.63 data required time +----------------------------------------------------------------------------- + 12.63 data required time + -12.52 data arrival time +----------------------------------------------------------------------------- + -0.11 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_33115_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15392_/B (sky130_fd_sc_hd__nor2_2) + 0.22 0.20 11.89 ^ soc/core/_15392_/Y (sky130_fd_sc_hd__nor2_2) + 1 0.02 soc/core/_06317_ (net) + 0.22 0.00 11.89 ^ soc/core/_15393_/B (sky130_fd_sc_hd__nor2_8) + 0.09 0.11 12.00 v soc/core/_15393_/Y (sky130_fd_sc_hd__nor2_8) + 10 0.09 soc/core/_06318_ (net) + 0.10 0.02 12.01 v soc/core/_21623_/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 12.24 v soc/core/_21623_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.05 soc/core/_10402_ (net) + 0.11 0.00 12.24 v soc/core/_21638_/S (sky130_fd_sc_hd__mux2_1) + 0.04 0.21 12.45 ^ soc/core/_21638_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_10410_ (net) + 0.04 0.00 12.45 ^ soc/core/_21639_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 12.53 ^ soc/core/_21639_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04289_ (net) + 0.04 0.00 12.53 ^ soc/core/_33115_/D (sky130_fd_sc_hd__dfxtp_2) + 12.53 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 16.06 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 16.38 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.19 16.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 16.57 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 16.79 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 16.79 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.94 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 16.94 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.68 17.63 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.02 17.64 ^ soc/core/clkbuf_opt_39_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.93 ^ soc/core/clkbuf_opt_39_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_39_0_core_clk (net) + 0.06 0.00 17.93 ^ soc/core/clkbuf_opt_39_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 18.07 ^ soc/core/clkbuf_opt_39_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_39_1_core_clk (net) + 0.04 0.00 18.07 ^ soc/core/clkbuf_leaf_270_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 18.22 ^ soc/core/clkbuf_leaf_270_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_270_core_clk (net) + 0.06 0.00 18.22 ^ soc/core/_33115_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.47 clock uncertainty + -5.81 12.66 clock reconvergence pessimism + -0.03 12.63 library hold time + 12.63 data required time +----------------------------------------------------------------------------- + 12.63 data required time + -12.53 data arrival time +----------------------------------------------------------------------------- + -0.11 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9030_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6958_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6958_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0280_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9030_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.69 ^ housekeeping/_9030_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9031_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6957_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6957_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0281_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9031_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.01 15.69 ^ housekeeping/_9031_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9028_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6960_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6960_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0278_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9028_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.69 ^ housekeeping/_9028_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9029_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6959_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6959_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0279_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9029_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.69 ^ housekeeping/_9029_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9032_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6956_/A2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.14 10.08 ^ housekeeping/_6956_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0282_ (net) + 0.03 0.00 10.08 ^ housekeeping/_9032_/D (sky130_fd_sc_hd__dfxtp_1) + 10.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.01 15.69 ^ housekeeping/_9032_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.08 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9035_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) + 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_2682_ (net) + 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2683_ (net) + 0.13 0.00 9.94 ^ housekeeping/_6953_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.07 ^ housekeeping/_6953_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0285_ (net) + 0.04 0.00 10.07 ^ housekeeping/_9035_/D (sky130_fd_sc_hd__dfxtp_1) + 10.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.01 15.69 ^ housekeeping/_9035_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.07 data arrival time +----------------------------------------------------------------------------- + -0.09 slack (VIOLATED) + + +Startpoint: housekeeping/_9024_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31739_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.22 0.00 9.73 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.37 10.10 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net354 (net) + 0.08 0.00 10.10 ^ housekeeping/output354/A (sky130_fd_sc_hd__buf_2) + 0.05 0.11 10.21 ^ housekeeping/output354/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[4] (net) + 0.06 0.01 10.22 ^ soc/core/input32/A (sky130_fd_sc_hd__buf_8) + 0.19 0.17 10.39 ^ soc/core/input32/X (sky130_fd_sc_hd__buf_8) + 2 0.11 soc/core/net32 (net) + 0.21 0.05 10.44 ^ soc/core/_23069_/B2 (sky130_fd_sc_hd__a22o_1) + 0.08 0.18 10.62 ^ soc/core/_23069_/X (sky130_fd_sc_hd__a22o_1) + 1 0.01 soc/core/_11350_ (net) + 0.08 0.00 10.62 ^ soc/core/_23070_/C1 (sky130_fd_sc_hd__a211o_2) + 0.02 0.09 10.71 ^ soc/core/_23070_/X (sky130_fd_sc_hd__a211o_2) + 1 0.00 soc/core/_11351_ (net) + 0.02 0.00 10.71 ^ soc/core/hold1095/A (sky130_fd_sc_hd__buf_2) + 0.21 0.20 10.92 ^ soc/core/hold1095/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net3961 (net) + 0.21 0.01 10.92 ^ soc/core/_23071_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.14 11.06 ^ soc/core/_23071_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[4] (net) + 0.03 0.00 11.06 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 0.60 11.66 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3960 (net) + 0.18 0.00 11.66 ^ soc/core/hold1094/A (sky130_fd_sc_hd__buf_6) + 0.22 0.24 11.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__buf_6) + 6 0.11 soc/core/net3959 (net) + 0.22 0.00 11.90 ^ soc/core/hold1707/A (sky130_fd_sc_hd__buf_6) + 0.20 0.24 12.14 ^ soc/core/hold1707/X (sky130_fd_sc_hd__buf_6) + 4 0.10 soc/core/net4573 (net) + 0.21 0.02 12.16 ^ soc/core/_31739_/D (sky130_fd_sc_hd__dfxtp_1) + 12.16 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_6_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.12 soc/core/clknet_5_6_1_core_clk (net) + 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_15_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_15_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_15_core_clk (net) + 0.06 0.00 17.87 ^ soc/core/_31739_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.12 clock uncertainty + -5.81 12.31 clock reconvergence pessimism + -0.06 12.25 library hold time + 12.25 data required time +----------------------------------------------------------------------------- + 12.25 data required time + -12.16 data arrival time +----------------------------------------------------------------------------- + -0.08 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9039_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) + 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_1932_ (net) + 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_1933_ (net) + 0.12 0.00 9.94 ^ housekeeping/_6630_/A1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.15 10.08 ^ housekeeping/_6630_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0289_ (net) + 0.04 0.00 10.08 ^ housekeeping/_9039_/D (sky130_fd_sc_hd__dfxtp_1) + 10.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.01 15.69 ^ housekeeping/_9039_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.08 data arrival time +----------------------------------------------------------------------------- + -0.08 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35077_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15523_/A (sky130_fd_sc_hd__inv_2) + 0.23 0.21 12.25 ^ soc/core/_15523_/Y (sky130_fd_sc_hd__inv_2) + 12 0.05 soc/core/_06408_ (net) + 0.23 0.00 12.25 ^ soc/core/_15585_/B1 (sky130_fd_sc_hd__a21oi_1) + 0.04 0.06 12.31 v soc/core/_15585_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06251_ (net) + 0.04 0.00 12.31 v soc/core/_35077_/D (sky130_fd_sc_hd__dfxtp_1) + 12.31 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.33 17.97 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_225_core_clk (net) + 0.10 0.00 17.97 ^ soc/core/_35077_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.22 clock uncertainty + -5.81 12.42 clock reconvergence pessimism + -0.04 12.38 library hold time + 12.38 data required time +----------------------------------------------------------------------------- + 12.38 data required time + -12.31 data arrival time +----------------------------------------------------------------------------- + -0.07 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35078_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15581_/B (sky130_fd_sc_hd__and3_1) + 0.04 0.18 12.23 v soc/core/_15581_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06450_ (net) + 0.04 0.00 12.23 v soc/core/_15582_/A (sky130_fd_sc_hd__clkbuf_1) + 0.02 0.08 12.30 v soc/core/_15582_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_06252_ (net) + 0.02 0.00 12.30 v soc/core/_35078_/D (sky130_fd_sc_hd__dfxtp_1) + 12.30 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_224_core_clk (net) + 0.08 0.00 17.96 ^ soc/core/_35078_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.21 clock uncertainty + -5.81 12.40 clock reconvergence pessimism + -0.04 12.36 library hold time + 12.36 data required time +----------------------------------------------------------------------------- + 12.36 data required time + -12.30 data arrival time +----------------------------------------------------------------------------- + -0.06 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9018_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.97 ^ housekeeping/_6978_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.11 ^ housekeeping/_6978_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0268_ (net) + 0.04 0.00 10.11 ^ housekeeping/_9018_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.69 ^ housekeeping/_9018_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.94 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9019_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.97 ^ housekeeping/_6977_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 10.11 ^ housekeeping/_6977_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0269_ (net) + 0.04 0.00 10.11 ^ housekeeping/_9019_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.68 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.93 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: housekeeping/_9041_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_32680_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 9.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 9.86 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.46 10.32 ^ housekeeping/_9041_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.02 housekeeping/net349 (net) + 0.15 0.00 10.32 ^ housekeeping/output349/A (sky130_fd_sc_hd__buf_2) + 0.03 0.12 10.44 ^ housekeeping/output349/X (sky130_fd_sc_hd__buf_2) + 2 0.00 hk_dat_i[29] (net) + 0.03 0.00 10.45 ^ soc/core/input27/A (sky130_fd_sc_hd__buf_4) + 0.02 0.08 10.53 ^ soc/core/input27/X (sky130_fd_sc_hd__buf_4) + 1 0.00 soc/core/net27 (net) + 0.02 0.00 10.53 ^ soc/core/hold1248/A (sky130_fd_sc_hd__buf_4) + 0.19 0.20 10.73 ^ soc/core/hold1248/X (sky130_fd_sc_hd__buf_4) + 2 0.07 soc/core/net4114 (net) + 0.20 0.00 10.74 ^ soc/core/_22863_/B (sky130_fd_sc_hd__and2_1) + 0.07 0.16 10.90 ^ soc/core/_22863_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_11194_ (net) + 0.07 0.00 10.90 ^ soc/core/_22864_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.11 11.01 ^ soc/core/_22864_/X (sky130_fd_sc_hd__a221o_4) + 1 0.01 soc/core/_11195_ (net) + 0.05 0.00 11.01 ^ soc/core/hold1207/A (sky130_fd_sc_hd__buf_12) + 0.20 0.17 11.18 ^ soc/core/hold1207/X (sky130_fd_sc_hd__buf_12) + 2 0.18 soc/core/net4073 (net) + 0.25 0.07 11.25 ^ soc/core/_22865_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.14 11.39 ^ soc/core/_22865_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_11196_ (net) + 0.04 0.00 11.39 ^ soc/core/hold1209/A (sky130_fd_sc_hd__clkdlybuf4s50_1) + 0.09 0.48 11.86 ^ soc/core/hold1209/X (sky130_fd_sc_hd__clkdlybuf4s50_1) + 1 0.01 soc/core/net4075 (net) + 0.09 0.00 11.87 ^ soc/core/_22866_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.11 11.97 ^ soc/core/_22866_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[29] (net) + 0.03 0.00 11.97 ^ soc/core/hold1208/A (sky130_fd_sc_hd__buf_4) + 0.16 0.17 12.14 ^ soc/core/hold1208/X (sky130_fd_sc_hd__buf_4) + 8 0.05 soc/core/net4074 (net) + 0.16 0.01 12.15 ^ soc/core/_22867_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.14 12.29 ^ soc/core/_22867_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_11197_ (net) + 0.04 0.00 12.29 ^ soc/core/_22868_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 12.36 ^ soc/core/_22868_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_03854_ (net) + 0.04 0.00 12.36 ^ soc/core/_32680_/D (sky130_fd_sc_hd__dfxtp_1) + 12.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.87 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 15.87 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.18 16.05 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 16.05 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.17 16.23 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.06 0.00 16.23 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 16.36 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.04 0.00 16.36 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.57 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_3_2_core_clk (net) + 0.14 0.01 16.58 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.23 16.81 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_6_0_core_clk (net) + 0.11 0.00 16.81 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.97 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.76 0.66 17.63 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_13_1_core_clk (net) + 0.76 0.02 17.65 ^ soc/core/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.33 17.98 ^ soc/core/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_106_core_clk (net) + 0.10 0.01 17.99 ^ soc/core/_32680_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.24 clock uncertainty + -5.81 12.43 clock reconvergence pessimism + -0.02 12.41 library hold time + 12.41 data required time +----------------------------------------------------------------------------- + 12.41 data required time + -12.36 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9016_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.97 ^ housekeeping/_6980_/A2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.14 10.11 ^ housekeeping/_6980_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0266_ (net) + 0.03 0.00 10.11 ^ housekeeping/_9016_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.68 ^ housekeeping/_9016_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.93 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9013_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.98 ^ housekeeping/_6983_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.14 10.11 ^ housekeeping/_6983_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0263_ (net) + 0.04 0.00 10.11 ^ housekeeping/_9013_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.68 ^ housekeeping/_9013_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.93 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9015_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) + 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 housekeeping/_1931_ (net) + 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) + 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) + 1 0.02 housekeeping/_2690_ (net) + 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.04 housekeeping/_2691_ (net) + 0.14 0.00 9.98 ^ housekeeping/_6981_/A2 (sky130_fd_sc_hd__o22a_1) + 0.04 0.14 10.11 ^ housekeeping/_6981_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0265_ (net) + 0.04 0.00 10.11 ^ housekeeping/_9015_/D (sky130_fd_sc_hd__dfxtp_1) + 10.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.28 0.00 15.68 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 15.93 clock uncertainty + -5.78 10.16 clock reconvergence pessimism + 0.00 10.16 library hold time + 10.16 data required time +----------------------------------------------------------------------------- + 10.16 data required time + -10.11 data arrival time +----------------------------------------------------------------------------- + -0.05 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31243_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.01 11.57 ^ soc/core/_19116_/A (sky130_fd_sc_hd__and2_1) + 0.18 0.23 11.79 ^ soc/core/_19116_/X (sky130_fd_sc_hd__and2_1) + 2 0.02 soc/core/_08862_ (net) + 0.18 0.00 11.80 ^ soc/core/_19117_/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.22 12.02 ^ soc/core/_19117_/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.16 soc/core/_08863_ (net) + 0.22 0.07 12.09 ^ soc/core/_24933_/A (sky130_fd_sc_hd__nand2_1) + 0.10 0.12 12.21 v soc/core/_24933_/Y (sky130_fd_sc_hd__nand2_1) + 2 0.01 soc/core/_12359_ (net) + 0.10 0.00 12.21 v soc/core/_24934_/A (sky130_fd_sc_hd__clkinv_2) + 0.10 0.11 12.32 ^ soc/core/_24934_/Y (sky130_fd_sc_hd__clkinv_2) + 5 0.03 soc/core/_12360_ (net) + 0.10 0.00 12.32 ^ soc/core/_24991_/A2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.14 12.46 ^ soc/core/_24991_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_02964_ (net) + 0.04 0.00 12.46 ^ soc/core/hold1202/A (sky130_fd_sc_hd__clkdlybuf4s25_1) + 0.04 0.23 12.69 ^ soc/core/hold1202/X (sky130_fd_sc_hd__clkdlybuf4s25_1) + 1 0.00 soc/core/net4068 (net) + 0.04 0.00 12.69 ^ soc/core/_31243_/D (sky130_fd_sc_hd__dfxtp_2) + 12.69 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) + 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) + 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_109_core_clk (net) + 0.09 0.00 18.31 ^ soc/core/_31243_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 18.56 clock uncertainty + -5.81 12.75 clock reconvergence pessimism + -0.03 12.73 library hold time + 12.73 data required time +----------------------------------------------------------------------------- + 12.73 data required time + -12.69 data arrival time +----------------------------------------------------------------------------- + -0.04 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35079_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) + 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) + 4 0.03 soc/core/_06316_ (net) + 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) + 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) + 1 0.00 soc/core/_06405_ (net) + 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) + 16 0.06 soc/core/_06406_ (net) + 0.12 0.00 12.04 v soc/core/_15523_/A (sky130_fd_sc_hd__inv_2) + 0.23 0.21 12.25 ^ soc/core/_15523_/Y (sky130_fd_sc_hd__inv_2) + 12 0.05 soc/core/_06408_ (net) + 0.23 0.00 12.25 ^ soc/core/_15577_/B1 (sky130_fd_sc_hd__a21oi_1) + 0.04 0.06 12.32 v soc/core/_15577_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06253_ (net) + 0.04 0.00 12.32 v soc/core/_35079_/D (sky130_fd_sc_hd__dfxtp_1) + 12.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_224_core_clk (net) + 0.08 0.00 17.96 ^ soc/core/_35079_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.21 clock uncertainty + -5.81 12.40 clock reconvergence pessimism + -0.04 12.36 library hold time + 12.36 data required time +----------------------------------------------------------------------------- + 12.36 data required time + -12.32 data arrival time +----------------------------------------------------------------------------- + -0.04 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_33811_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.12 0.63 10.55 v housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.12 0.01 10.56 v housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.03 0.15 10.70 v housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.03 0.01 10.71 v soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.02 0.10 10.81 v soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.02 0.00 10.81 v soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.07 0.14 10.94 v soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.08 0.02 10.96 v soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.09 11.05 ^ soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.07 0.00 11.05 ^ soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.18 0.17 11.22 ^ soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.18 0.00 11.23 ^ soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.11 0.22 11.44 ^ soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.11 0.00 11.44 ^ soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.12 0.20 11.64 ^ soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.12 0.00 11.64 ^ soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.10 0.11 11.75 v soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.11 0.01 11.76 v soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.13 0.14 11.90 ^ soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.13 0.01 11.91 ^ soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.03 0.05 11.96 v soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.03 0.00 11.96 v soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.14 12.10 v soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.08 0.00 12.10 v soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) + 0.09 0.10 12.20 ^ soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) + 5 0.03 soc/core/_14980_ (net) + 0.09 0.00 12.20 ^ soc/core/_28291_/A2 (sky130_fd_sc_hd__o21ai_1) + 0.04 0.06 12.26 v soc/core/_28291_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_14553_ (net) + 0.04 0.00 12.26 v soc/core/_28292_/B1 (sky130_fd_sc_hd__o21ai_1) + 0.10 0.08 12.34 ^ soc/core/_28292_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_02168_ (net) + 0.10 0.00 12.34 ^ soc/core/_29971_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 12.46 ^ soc/core/_29971_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00167_ (net) + 0.04 0.00 12.46 ^ soc/core/_19944_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.11 12.57 ^ soc/core/_19944_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_09434_ (net) + 0.05 0.00 12.57 ^ soc/core/_19945_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 12.65 ^ soc/core/_19945_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04985_ (net) + 0.04 0.00 12.65 ^ soc/core/_33811_/D (sky130_fd_sc_hd__dfxtp_1) + 12.65 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) + 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) + 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_234_core_clk (net) + 0.06 0.00 18.27 ^ soc/core/_33811_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.52 clock uncertainty + -5.81 12.71 clock reconvergence pessimism + -0.03 12.68 library hold time + 12.68 data required time +----------------------------------------------------------------------------- + 12.68 data required time + -12.65 data arrival time +----------------------------------------------------------------------------- + -0.04 slack (VIOLATED) + + +Startpoint: housekeeping/_9119_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_33812_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 7.26 7.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.12 0.63 10.55 v housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) + 2 0.05 housekeeping/net327 (net) + 0.12 0.01 10.56 v housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.03 0.15 10.70 v housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_ack_i (net) + 0.03 0.01 10.71 v soc/core/input5/A (sky130_fd_sc_hd__buf_6) + 0.02 0.10 10.81 v soc/core/input5/X (sky130_fd_sc_hd__buf_6) + 1 0.01 soc/core/net5 (net) + 0.02 0.00 10.81 v soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) + 0.07 0.14 10.94 v soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) + 2 0.07 soc/core/net4914 (net) + 0.08 0.02 10.96 v soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.09 11.05 ^ soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_14967_ (net) + 0.07 0.00 11.05 ^ soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) + 0.18 0.17 11.22 ^ soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3992 (net) + 0.18 0.00 11.23 ^ soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) + 0.11 0.22 11.44 ^ soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) + 1 0.01 soc/core/_14970_ (net) + 0.11 0.00 11.44 ^ soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) + 0.12 0.20 11.64 ^ soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) + 1 0.02 soc/core/_14971_ (net) + 0.12 0.00 11.64 ^ soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) + 0.10 0.11 11.75 v soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) + 10 0.07 soc/core/_14972_ (net) + 0.11 0.01 11.76 v soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) + 0.13 0.14 11.90 ^ soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) + 6 0.07 soc/core/_14977_ (net) + 0.13 0.01 11.91 ^ soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) + 0.03 0.05 11.96 v soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) + 1 0.00 soc/core/_14979_ (net) + 0.03 0.00 11.96 v soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.14 12.10 v soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net4913 (net) + 0.08 0.00 12.10 v soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) + 0.09 0.10 12.20 ^ soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) + 5 0.03 soc/core/_14980_ (net) + 0.09 0.00 12.20 ^ soc/core/_28293_/A3 (sky130_fd_sc_hd__o31a_1) + 0.10 0.15 12.35 ^ soc/core/_28293_/X (sky130_fd_sc_hd__o31a_1) + 1 0.01 soc/core/_02170_ (net) + 0.10 0.00 12.35 ^ soc/core/_29970_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 12.48 ^ soc/core/_29970_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00168_ (net) + 0.04 0.00 12.48 ^ soc/core/_19942_/B (sky130_fd_sc_hd__and2_1) + 0.04 0.10 12.58 ^ soc/core/_19942_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_09433_ (net) + 0.04 0.00 12.58 ^ soc/core/_19943_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 12.65 ^ soc/core/_19943_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04986_ (net) + 0.04 0.00 12.65 ^ soc/core/_33812_/D (sky130_fd_sc_hd__dfxtp_1) + 12.65 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_25_1_core_clk (net) + 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) + 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) + 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_234_core_clk (net) + 0.06 0.00 18.27 ^ soc/core/_33812_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 18.52 clock uncertainty + -5.81 12.71 clock reconvergence pessimism + -0.03 12.68 library hold time + 12.68 data required time +----------------------------------------------------------------------------- + 12.68 data required time + -12.65 data arrival time +----------------------------------------------------------------------------- + -0.03 slack (VIOLATED) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 34.86 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.61 clock uncertainty + 5.78 40.38 clock reconvergence pessimism + 0.26 40.65 library recovery time + 40.65 data required time +----------------------------------------------------------------------------- + 40.65 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 34.86 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.61 clock uncertainty + 5.78 40.38 clock reconvergence pessimism + 0.26 40.65 library recovery time + 40.65 data required time +----------------------------------------------------------------------------- + 40.65 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 34.86 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.61 clock uncertainty + 5.78 40.38 clock reconvergence pessimism + 0.26 40.65 library recovery time + 40.65 data required time +----------------------------------------------------------------------------- + 40.65 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 34.86 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.61 clock uncertainty + 5.78 40.38 clock reconvergence pessimism + 0.26 40.65 library recovery time + 40.65 data required time +----------------------------------------------------------------------------- + 40.65 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.01 34.86 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.61 clock uncertainty + 5.78 40.38 clock reconvergence pessimism + 0.26 40.65 library recovery time + 40.65 data required time +----------------------------------------------------------------------------- + 40.65 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.43 0.00 34.85 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.60 clock uncertainty + 5.78 40.37 clock reconvergence pessimism + 0.26 40.64 library recovery time + 40.64 data required time +----------------------------------------------------------------------------- + 40.64 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.39 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.23 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.43 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.16 15.26 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.45 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.16 15.26 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.45 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.16 15.26 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.45 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.46 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 34.92 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.46 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.38 0.15 15.25 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 34.92 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.26 40.71 library recovery time + 40.71 data required time +----------------------------------------------------------------------------- + 40.71 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.46 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.38 0.15 15.25 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.01 34.92 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.27 40.72 library recovery time + 40.72 data required time +----------------------------------------------------------------------------- + 40.72 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.40 0.16 15.26 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.16 15.26 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.16 15.26 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.26 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.26 data arrival time +----------------------------------------------------------------------------- + 25.48 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.49 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.32 0.09 15.19 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.19 data arrival time +----------------------------------------------------------------------------- + 25.49 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.49 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.39 0.15 15.25 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.54 0.00 34.92 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.67 clock uncertainty + 5.78 40.45 clock reconvergence pessimism + 0.29 40.74 library recovery time + 40.74 data required time +----------------------------------------------------------------------------- + 40.74 data required time + -15.25 data arrival time +----------------------------------------------------------------------------- + 25.49 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.32 0.09 15.19 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.19 data arrival time +----------------------------------------------------------------------------- + 25.49 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.08 15.18 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.18 data arrival time +----------------------------------------------------------------------------- + 25.50 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.08 15.18 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.18 data arrival time +----------------------------------------------------------------------------- + 25.50 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.31 0.08 15.18 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.18 data arrival time +----------------------------------------------------------------------------- + 25.50 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.30 0.08 15.18 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.18 data arrival time +----------------------------------------------------------------------------- + 25.51 slack (MET) + + +Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) + 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net197 (net) + 0.30 0.08 15.17 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 15.17 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.78 40.41 clock reconvergence pessimism + 0.27 40.68 library recovery time + 40.68 data required time +----------------------------------------------------------------------------- + 40.68 data required time + -15.17 data arrival time +----------------------------------------------------------------------------- + 25.51 slack (MET) + + +Startpoint: soc/core/_34850_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_csb (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.23 soc/core/clknet_5_31_1_core_clk (net) + 0.68 0.02 17.60 ^ soc/core/clkbuf_leaf_182_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.32 17.91 ^ soc/core/clkbuf_leaf_182_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_182_core_clk (net) + 0.09 0.00 17.91 ^ soc/core/_34850_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.32 18.23 v soc/core/_34850_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/mgmtsoc_litespisdrphycore_count[0] (net) + 0.03 0.00 18.24 v soc/core/hold2067/A (sky130_fd_sc_hd__clkdlybuf4s50_1) + 0.09 0.47 18.71 v soc/core/hold2067/X (sky130_fd_sc_hd__clkdlybuf4s50_1) + 2 0.01 soc/core/net4933 (net) + 0.09 0.00 18.71 v soc/core/_16744_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 18.78 ^ soc/core/_16744_/Y (sky130_fd_sc_hd__inv_2) + 2 0.00 soc/core/_07380_ (net) + 0.04 0.00 18.78 ^ soc/core/_16745_/C (sky130_fd_sc_hd__and3_2) + 0.13 0.26 19.03 ^ soc/core/_16745_/X (sky130_fd_sc_hd__and3_2) + 3 0.02 soc/core/_07381_ (net) + 0.13 0.00 19.03 ^ soc/core/_16748_/A (sky130_fd_sc_hd__nand2_4) + 0.11 0.13 19.16 v soc/core/_16748_/Y (sky130_fd_sc_hd__nand2_4) + 6 0.05 soc/core/net243 (net) + 0.11 0.00 19.17 v soc/core/output243/A (sky130_fd_sc_hd__buf_2) + 0.02 0.15 19.32 v soc/core/output243/X (sky130_fd_sc_hd__buf_2) + 1 0.00 flash_csb_core (net) + 0.03 0.00 19.32 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4) + 0.09 0.19 19.51 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4) + 1 0.06 housekeeping/net84 (net) + 0.09 0.02 19.53 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 19.87 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net253 (net) + 0.08 0.00 19.87 v housekeeping/output253/A (sky130_fd_sc_hd__buf_2) + 0.11 0.20 20.07 v housekeeping/output253/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_csb_frame (net) + 0.16 0.06 20.13 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.19 10.41 30.54 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_csb (net) + 13.19 0.00 30.54 v flash_csb (out) + 30.54 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -30.54 data arrival time +----------------------------------------------------------------------------- + -10.79 slack (VIOLATED) + + +Startpoint: soc/core/_31272_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_io0 (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.23 soc/core/clknet_5_31_1_core_clk (net) + 0.68 0.02 17.60 ^ soc/core/clkbuf_opt_47_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.27 17.87 ^ soc/core/clkbuf_opt_47_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_47_0_core_clk (net) + 0.05 0.00 17.87 ^ soc/core/clkbuf_opt_47_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.00 ^ soc/core/clkbuf_opt_47_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_47_1_core_clk (net) + 0.04 0.00 18.00 ^ soc/core/clkbuf_leaf_179_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 18.13 ^ soc/core/clkbuf_leaf_179_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.02 soc/core/clknet_leaf_179_core_clk (net) + 0.04 0.00 18.13 ^ soc/core/_31272_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.30 18.43 v soc/core/_31272_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/net244 (net) + 0.03 0.00 18.43 v soc/core/output244/A (sky130_fd_sc_hd__buf_2) + 0.02 0.11 18.55 v soc/core/output244/X (sky130_fd_sc_hd__buf_2) + 1 0.00 flash_io0_do_core (net) + 0.03 0.00 18.55 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4) + 0.10 0.21 18.76 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4) + 5 0.08 housekeeping/net85 (net) + 0.10 0.01 18.77 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2) + 0.09 0.35 19.12 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net255 (net) + 0.09 0.00 19.12 v housekeeping/output255/A (sky130_fd_sc_hd__buf_2) + 0.11 0.20 19.32 v housekeeping/output255/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_io0_do (net) + 0.16 0.06 19.38 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.19 10.41 29.79 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_io0 (net) + 13.19 0.00 29.79 v flash_io0 (out) + 29.79 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -29.79 data arrival time +----------------------------------------------------------------------------- + -10.04 slack (VIOLATED) + + +Startpoint: soc/core/_31372_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_clk (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.23 soc/core/clknet_5_31_1_core_clk (net) + 0.68 0.02 17.60 ^ soc/core/clkbuf_leaf_182_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.32 17.91 ^ soc/core/clkbuf_leaf_182_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_182_core_clk (net) + 0.09 0.00 17.91 ^ soc/core/_31372_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.37 18.28 v soc/core/_31372_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/net242 (net) + 0.07 0.00 18.28 v soc/core/output242/A (sky130_fd_sc_hd__buf_2) + 0.03 0.14 18.42 v soc/core/output242/X (sky130_fd_sc_hd__buf_2) + 1 0.00 flash_clk_core (net) + 0.03 0.01 18.43 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6) + 0.10 0.19 18.62 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6) + 11 0.11 housekeeping/net83 (net) + 0.10 0.01 18.62 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 18.95 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/net251 (net) + 0.07 0.00 18.95 v housekeeping/output251/A (sky130_fd_sc_hd__clkbuf_1) + 0.25 0.23 19.19 v housekeeping/output251/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.04 flash_clk_frame (net) + 0.27 0.06 19.25 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.19 10.48 29.73 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_clk (net) + 13.19 0.00 29.73 v flash_clk (out) + 29.73 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -29.73 data arrival time +----------------------------------------------------------------------------- + -9.98 slack (VIOLATED) + + +Startpoint: soc/core/_34054_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 10.20 8.03 8.03 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.25 soc/core/clknet_5_28_1_core_clk (net) + 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_217_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.30 17.94 ^ soc/core/clkbuf_leaf_217_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.03 soc/core/clknet_leaf_217_core_clk (net) + 0.07 0.00 17.94 ^ soc/core/_34054_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.15 0.42 18.36 ^ soc/core/_34054_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/grant[0] (net) + 0.15 0.00 18.36 ^ soc/core/_15118_/A (sky130_fd_sc_hd__inv_2) + 0.05 0.07 18.44 v soc/core/_15118_/Y (sky130_fd_sc_hd__inv_2) + 3 0.01 soc/core/_14796_ (net) + 0.05 0.00 18.44 v soc/core/_15119_/B (sky130_fd_sc_hd__nor2_1) + 0.18 0.17 18.61 ^ soc/core/_15119_/Y (sky130_fd_sc_hd__nor2_1) + 2 0.01 soc/core/_14797_ (net) + 0.18 0.00 18.61 ^ soc/core/_15317_/A (sky130_fd_sc_hd__buf_6) + 0.20 0.25 18.86 ^ soc/core/_15317_/X (sky130_fd_sc_hd__buf_6) + 16 0.10 soc/core/_14981_ (net) + 0.20 0.02 18.88 ^ soc/core/_27297_/A (sky130_fd_sc_hd__buf_6) + 0.16 0.23 19.11 ^ soc/core/_27297_/X (sky130_fd_sc_hd__buf_6) + 8 0.08 soc/core/_13944_ (net) + 0.16 0.01 19.12 ^ soc/core/_27304_/B1 (sky130_fd_sc_hd__a22oi_4) + 0.09 0.10 19.22 v soc/core/_27304_/Y (sky130_fd_sc_hd__a22oi_4) + 2 0.02 soc/core/_02581_ (net) + 0.09 0.00 19.22 v soc/core/_29918_/A0 (sky130_fd_sc_hd__mux2_2) + 0.12 0.38 19.60 v soc/core/_29918_/X (sky130_fd_sc_hd__mux2_2) + 2 0.03 soc/core/_02582_ (net) + 0.12 0.00 19.60 v soc/core/_15102_/A (sky130_fd_sc_hd__clkinv_4) + 0.03 0.06 19.67 ^ soc/core/_15102_/Y (sky130_fd_sc_hd__clkinv_4) + 1 0.00 soc/core/net641 (net) + 0.03 0.00 19.67 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.09 19.76 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1788 (net) + 0.06 0.00 19.76 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 19.84 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1787 (net) + 0.04 0.00 19.84 ^ soc/core/repeater1786/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 19.93 ^ soc/core/repeater1786/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1786 (net) + 0.05 0.00 19.93 ^ soc/core/repeater1785/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 20.02 ^ soc/core/repeater1785/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1785 (net) + 0.05 0.00 20.02 ^ soc/core/repeater1784/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 20.12 ^ soc/core/repeater1784/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1784 (net) + 0.06 0.00 20.12 ^ soc/core/repeater1783/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 20.22 ^ soc/core/repeater1783/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1783 (net) + 0.05 0.00 20.22 ^ soc/core/repeater1782/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 20.31 ^ soc/core/repeater1782/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1782 (net) + 0.05 0.00 20.31 ^ soc/core/repeater1781/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 20.40 ^ soc/core/repeater1781/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1781 (net) + 0.05 0.00 20.40 ^ soc/core/repeater1780/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 20.48 ^ soc/core/repeater1780/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1780 (net) + 0.05 0.00 20.48 ^ soc/core/repeater1779/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 20.58 ^ soc/core/repeater1779/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1779 (net) + 0.05 0.00 20.58 ^ soc/core/repeater1778/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 20.66 ^ soc/core/repeater1778/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1778 (net) + 0.04 0.00 20.66 ^ soc/core/repeater1777/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 20.76 ^ soc/core/repeater1777/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1777 (net) + 0.07 0.00 20.76 ^ soc/core/repeater1741/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 20.89 ^ soc/core/repeater1741/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1741 (net) + 0.09 0.00 20.89 ^ soc/core/repeater1740/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.00 ^ soc/core/repeater1740/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1740 (net) + 0.06 0.00 21.00 ^ soc/core/repeater1739/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 21.10 ^ soc/core/repeater1739/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1739 (net) + 0.06 0.00 21.10 ^ soc/core/repeater1738/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.21 ^ soc/core/repeater1738/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1738 (net) + 0.07 0.00 21.21 ^ soc/core/repeater1737/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 21.33 ^ soc/core/repeater1737/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1737 (net) + 0.08 0.00 21.33 ^ soc/core/repeater1736/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 21.46 ^ soc/core/repeater1736/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1736 (net) + 0.09 0.00 21.46 ^ soc/core/repeater1735/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 21.59 ^ soc/core/repeater1735/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1735 (net) + 0.09 0.00 21.59 ^ soc/core/repeater1734/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.71 ^ soc/core/repeater1734/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1734 (net) + 0.06 0.00 21.71 ^ soc/core/repeater1733/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 21.83 ^ soc/core/repeater1733/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1733 (net) + 0.08 0.00 21.83 ^ soc/core/repeater1732/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 21.97 ^ soc/core/repeater1732/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1732 (net) + 0.10 0.00 21.97 ^ soc/core/repeater1731/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 22.08 ^ soc/core/repeater1731/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1731 (net) + 0.06 0.00 22.08 ^ soc/core/repeater1730/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 22.23 ^ soc/core/repeater1730/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1730 (net) + 0.12 0.00 22.23 ^ soc/core/repeater1729/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.10 22.33 ^ soc/core/repeater1729/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1729 (net) + 0.04 0.00 22.33 ^ soc/core/repeater1728/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.41 ^ soc/core/repeater1728/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1728 (net) + 0.04 0.00 22.41 ^ soc/core/repeater1727/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 22.52 ^ soc/core/repeater1727/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1727 (net) + 0.08 0.00 22.52 ^ soc/core/repeater1726/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 22.62 ^ soc/core/repeater1726/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1726 (net) + 0.05 0.00 22.62 ^ soc/core/repeater1725/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.71 ^ soc/core/repeater1725/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1725 (net) + 0.05 0.00 22.71 ^ soc/core/repeater1724/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.80 ^ soc/core/repeater1724/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1724 (net) + 0.05 0.00 22.80 ^ soc/core/repeater1723/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.89 ^ soc/core/repeater1723/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1723 (net) + 0.05 0.00 22.89 ^ soc/core/repeater1722/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.98 ^ soc/core/repeater1722/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1722 (net) + 0.05 0.00 22.98 ^ soc/core/repeater1721/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 23.10 ^ soc/core/repeater1721/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1721 (net) + 0.08 0.00 23.10 ^ soc/core/repeater1720/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 23.21 ^ soc/core/repeater1720/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1720 (net) + 0.06 0.00 23.21 ^ soc/core/repeater1719/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.29 ^ soc/core/repeater1719/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1719 (net) + 0.04 0.00 23.29 ^ soc/core/repeater1718/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 23.38 ^ soc/core/repeater1718/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1718 (net) + 0.05 0.00 23.38 ^ soc/core/repeater1717/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.46 ^ soc/core/repeater1717/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1717 (net) + 0.04 0.00 23.46 ^ soc/core/repeater1716/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 23.55 ^ soc/core/repeater1716/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1716 (net) + 0.05 0.00 23.55 ^ soc/core/repeater1715/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 23.65 ^ soc/core/repeater1715/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1715 (net) + 0.06 0.00 23.65 ^ soc/core/repeater1714/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 23.75 ^ soc/core/repeater1714/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1714 (net) + 0.06 0.00 23.75 ^ soc/core/repeater1713/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.84 ^ soc/core/repeater1713/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1713 (net) + 0.04 0.00 23.84 ^ soc/core/repeater1712/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 23.92 ^ soc/core/repeater1712/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1712 (net) + 0.05 0.00 23.92 ^ soc/core/repeater1711/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 24.01 ^ soc/core/repeater1711/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1711 (net) + 0.05 0.00 24.01 ^ soc/core/repeater1710/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 24.10 ^ soc/core/repeater1710/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1710 (net) + 0.05 0.00 24.10 ^ soc/core/repeater1709/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 24.19 ^ soc/core/repeater1709/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1709 (net) + 0.05 0.00 24.19 ^ soc/core/repeater1708/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 24.28 ^ soc/core/repeater1708/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1708 (net) + 0.06 0.00 24.29 ^ soc/core/repeater1707/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 24.38 ^ soc/core/repeater1707/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1707 (net) + 0.05 0.00 24.38 ^ soc/core/repeater1706/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 24.51 ^ soc/core/repeater1706/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1706 (net) + 0.10 0.00 24.51 ^ soc/core/repeater1705/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 24.62 ^ soc/core/repeater1705/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1705 (net) + 0.07 0.00 24.62 ^ soc/core/repeater1704/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 24.73 ^ soc/core/repeater1704/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1704 (net) + 0.07 0.00 24.73 ^ soc/core/repeater1703/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 24.84 ^ soc/core/repeater1703/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1703 (net) + 0.07 0.00 24.84 ^ soc/core/repeater1702/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 24.96 ^ soc/core/repeater1702/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1702 (net) + 0.08 0.00 24.96 ^ soc/core/repeater1701/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 25.08 ^ soc/core/repeater1701/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1701 (net) + 0.07 0.00 25.08 ^ soc/core/repeater1700/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 25.20 ^ soc/core/repeater1700/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1700 (net) + 0.08 0.00 25.20 ^ soc/core/repeater1699/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 25.32 ^ soc/core/repeater1699/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1699 (net) + 0.08 0.00 25.32 ^ soc/core/repeater1698/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 25.42 ^ soc/core/repeater1698/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1698 (net) + 0.05 0.00 25.42 ^ soc/core/repeater1697/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 25.51 ^ soc/core/repeater1697/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1697 (net) + 0.05 0.00 25.51 ^ soc/core/repeater1696/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 25.59 ^ soc/core/repeater1696/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1696 (net) + 0.04 0.00 25.59 ^ soc/core/repeater1695/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 25.68 ^ soc/core/repeater1695/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1695 (net) + 0.05 0.00 25.68 ^ soc/core/repeater1694/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 25.77 ^ soc/core/repeater1694/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1694 (net) + 0.05 0.00 25.77 ^ soc/core/repeater1693/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 25.86 ^ soc/core/repeater1693/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1693 (net) + 0.04 0.00 25.86 ^ soc/core/repeater1692/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 25.94 ^ soc/core/repeater1692/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1692 (net) + 0.05 0.00 25.94 ^ soc/core/repeater1691/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 26.03 ^ soc/core/repeater1691/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1691 (net) + 0.05 0.00 26.03 ^ soc/core/repeater1690/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 26.12 ^ soc/core/repeater1690/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1690 (net) + 0.05 0.00 26.12 ^ soc/core/repeater1689/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 26.22 ^ soc/core/repeater1689/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1689 (net) + 0.06 0.00 26.22 ^ soc/core/repeater1688/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 26.30 ^ soc/core/repeater1688/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1688 (net) + 0.04 0.00 26.30 ^ soc/core/repeater1687/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 26.39 ^ soc/core/repeater1687/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1687 (net) + 0.04 0.00 26.39 ^ soc/core/repeater1686/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 26.47 ^ soc/core/repeater1686/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1686 (net) + 0.05 0.00 26.47 ^ soc/core/repeater1685/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 26.56 ^ soc/core/repeater1685/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1685 (net) + 0.05 0.00 26.56 ^ soc/core/repeater1684/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 26.65 ^ soc/core/repeater1684/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1684 (net) + 0.05 0.00 26.65 ^ soc/core/repeater1683/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 26.73 ^ soc/core/repeater1683/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1683 (net) + 0.04 0.00 26.73 ^ soc/core/repeater1682/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 26.82 ^ soc/core/repeater1682/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1682 (net) + 0.05 0.00 26.82 ^ soc/core/repeater1681/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 26.90 ^ soc/core/repeater1681/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1681 (net) + 0.04 0.00 26.90 ^ soc/core/repeater1680/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 26.98 ^ soc/core/repeater1680/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1680 (net) + 0.04 0.00 26.98 ^ soc/core/repeater1679/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 27.07 ^ soc/core/repeater1679/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1679 (net) + 0.05 0.00 27.07 ^ soc/core/repeater1678/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 27.15 ^ soc/core/repeater1678/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1678 (net) + 0.04 0.00 27.15 ^ soc/core/_28864_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 27.23 ^ soc/core/_28864_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net705 (net) + 0.04 0.00 27.23 ^ soc/core/output705/A (sky130_fd_sc_hd__buf_2) + 0.04 0.11 27.33 ^ soc/core/output705/X (sky130_fd_sc_hd__buf_2) + 3 0.01 mprj_adr_o_core[6] (net) + 0.04 0.00 27.34 ^ housekeeping/input160/A (sky130_fd_sc_hd__buf_4) + 0.18 0.21 27.55 ^ housekeeping/input160/X (sky130_fd_sc_hd__buf_4) + 13 0.06 housekeeping/net160 (net) + 0.18 0.01 27.56 ^ housekeeping/_7903_/C (sky130_fd_sc_hd__or4_1) + 0.04 0.14 27.71 ^ housekeeping/_7903_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3534_ (net) + 0.04 0.00 27.71 ^ housekeeping/_7904_/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 27.93 ^ housekeeping/_7904_/X (sky130_fd_sc_hd__clkbuf_4) + 9 0.05 housekeeping/_3535_ (net) + 0.16 0.00 27.93 ^ housekeeping/_8215_/B (sky130_fd_sc_hd__or2_2) + 0.11 0.20 28.14 ^ housekeeping/_8215_/X (sky130_fd_sc_hd__or2_2) + 3 0.02 housekeeping/_3845_ (net) + 0.11 0.00 28.14 ^ housekeeping/_8217_/C1 (sky130_fd_sc_hd__o2111ai_1) + 0.09 0.12 28.26 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 housekeeping/_3847_ (net) + 0.09 0.00 28.26 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2) + 0.09 0.41 28.67 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2) + 1 0.02 housekeeping/_3850_ (net) + 0.09 0.00 28.67 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2) + 0.14 0.71 29.39 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2) + 1 0.01 housekeeping/_3854_ (net) + 0.14 0.00 29.39 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1) + 0.10 0.57 29.96 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3857_ (net) + 0.10 0.00 29.96 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1) + 0.09 0.57 30.53 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3861_ (net) + 0.09 0.00 30.53 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1) + 0.11 0.59 31.12 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3867_ (net) + 0.11 0.00 31.12 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1) + 0.10 0.58 31.70 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3871_ (net) + 0.10 0.00 31.70 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1) + 0.08 0.43 32.13 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1) + 1 0.01 housekeeping/_3875_ (net) + 0.08 0.00 32.13 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1) + 0.06 0.39 32.52 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3878_ (net) + 0.06 0.00 32.52 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.23 32.75 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3881_ (net) + 0.04 0.00 32.75 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.22 32.98 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3883_ (net) + 0.04 0.00 32.98 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.22 33.20 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3885_ (net) + 0.04 0.00 33.20 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.23 33.43 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3887_ (net) + 0.05 0.00 33.43 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 33.67 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3889_ (net) + 0.05 0.00 33.67 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.23 33.90 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3891_ (net) + 0.04 0.00 33.90 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.29 34.18 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3893_ (net) + 0.09 0.00 34.18 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.24 34.43 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3895_ (net) + 0.04 0.00 34.43 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 34.67 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3897_ (net) + 0.05 0.00 34.67 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.23 34.90 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3899_ (net) + 0.05 0.00 34.90 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1) + 0.08 0.27 35.17 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3901_ (net) + 0.08 0.00 35.17 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 35.42 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3903_ (net) + 0.05 0.00 35.42 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2) + 0.17 0.45 35.86 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2) + 1 0.05 housekeeping/_3905_ (net) + 0.17 0.01 35.88 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1) + 0.05 0.20 36.08 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 housekeeping/_3910_ (net) + 0.05 0.00 36.08 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1) + 0.05 0.21 36.29 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3911_ (net) + 0.05 0.00 36.29 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1) + 0.06 0.24 36.54 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3913_ (net) + 0.06 0.00 36.54 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.20 36.74 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3914_ (net) + 0.04 0.00 36.74 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.20 36.94 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3915_ (net) + 0.04 0.00 36.94 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1) + 0.06 0.31 37.25 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3916_ (net) + 0.06 0.00 37.25 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1) + 0.06 0.23 37.48 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3917_ (net) + 0.06 0.00 37.48 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1) + 0.04 0.20 37.68 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 housekeeping/_3918_ (net) + 0.04 0.00 37.68 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1) + 0.05 0.21 37.89 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1) + 1 0.01 housekeeping/_3919_ (net) + 0.05 0.00 37.89 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.21 38.10 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3920_ (net) + 0.04 0.00 38.10 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1) + 0.06 0.31 38.41 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3921_ (net) + 0.06 0.00 38.41 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1) + 0.06 0.08 38.49 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 housekeeping/_3922_ (net) + 0.06 0.00 38.49 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1) + 0.06 0.12 38.61 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3924_ (net) + 0.06 0.00 38.61 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1) + 0.04 0.12 38.73 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 housekeeping/_3925_ (net) + 0.04 0.00 38.73 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1) + 0.06 0.07 38.80 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.01 housekeeping/_0179_ (net) + 0.06 0.00 38.80 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4) + 0.11 0.37 39.17 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4) + 1 0.04 housekeeping/_4401_ (net) + 0.11 0.00 39.17 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1) + 0.05 0.24 39.42 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 housekeeping/_0904_ (net) + 0.05 0.00 39.42 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1) + 39.42 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 34.89 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 34.64 clock uncertainty + 5.81 40.44 clock reconvergence pessimism + -0.04 40.41 library setup time + 40.41 data required time +----------------------------------------------------------------------------- + 40.41 data required time + -39.42 data arrival time +----------------------------------------------------------------------------- + 0.99 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31659_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.63 0.23 33.33 ^ soc/core/_30355_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.74 34.07 v soc/core/_30355_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01269_ (net) + 0.13 0.00 34.07 v soc/core/_30356_/A3 (sky130_fd_sc_hd__mux4_2) + 0.16 0.64 34.71 v soc/core/_30356_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01270_ (net) + 0.16 0.00 34.71 v soc/core/_29062_/A1 (sky130_fd_sc_hd__mux2_4) + 0.12 0.39 35.10 v soc/core/_29062_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[12] (net) + 0.12 0.01 35.11 v soc/core/_31659_/D (sky130_fd_sc_hd__dfxtp_1) + 35.11 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_20_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.26 soc/core/clknet_5_20_1_core_clk (net) + 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_287_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.82 ^ soc/core/clkbuf_leaf_287_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_287_core_clk (net) + 0.10 0.00 36.82 ^ soc/core/_31659_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.36 clock reconvergence pessimism + -0.13 38.23 library setup time + 38.23 data required time +----------------------------------------------------------------------------- + 38.23 data required time + -35.11 data arrival time +----------------------------------------------------------------------------- + 3.12 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31656_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.63 0.23 33.33 ^ soc/core/_30325_/S0 (sky130_fd_sc_hd__mux4_2) + 0.13 0.69 34.02 v soc/core/_30325_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01239_ (net) + 0.13 0.00 34.03 v soc/core/_30326_/A3 (sky130_fd_sc_hd__mux4_2) + 0.17 0.66 34.68 v soc/core/_30326_/X (sky130_fd_sc_hd__mux4_2) + 2 0.04 soc/core/_01240_ (net) + 0.17 0.01 34.69 v soc/core/_29059_/A1 (sky130_fd_sc_hd__mux2_2) + 0.10 0.39 35.07 v soc/core/_29059_/X (sky130_fd_sc_hd__mux2_2) + 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[9] (net) + 0.10 0.00 35.07 v soc/core/_31656_/D (sky130_fd_sc_hd__dfxtp_1) + 35.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_22_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.24 soc/core/clknet_5_22_1_core_clk (net) + 0.70 0.01 36.46 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 36.77 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.09 soc/core/clknet_leaf_283_core_clk (net) + 0.11 0.00 36.77 ^ soc/core/_31656_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.52 clock uncertainty + 1.80 38.32 clock reconvergence pessimism + -0.12 38.20 library setup time + 38.20 data required time +----------------------------------------------------------------------------- + 38.20 data required time + -35.07 data arrival time +----------------------------------------------------------------------------- + 3.13 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31664_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.60 0.20 33.30 ^ soc/core/_30402_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.73 34.03 v soc/core/_30402_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01316_ (net) + 0.13 0.00 34.03 v soc/core/_30406_/A0 (sky130_fd_sc_hd__mux4_2) + 0.17 0.65 34.68 v soc/core/_30406_/X (sky130_fd_sc_hd__mux4_2) + 2 0.04 soc/core/_01320_ (net) + 0.18 0.01 34.69 v soc/core/_29067_/A1 (sky130_fd_sc_hd__mux2_4) + 0.12 0.40 35.09 v soc/core/_29067_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[17] (net) + 0.12 0.01 35.10 v soc/core/_31664_/D (sky130_fd_sc_hd__dfxtp_1) + 35.10 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_322_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31664_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.13 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -35.10 data arrival time +----------------------------------------------------------------------------- + 3.15 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31661_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.63 0.23 33.33 ^ soc/core/_30375_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.73 34.06 v soc/core/_30375_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01289_ (net) + 0.12 0.00 34.06 v soc/core/_30376_/A3 (sky130_fd_sc_hd__mux4_2) + 0.15 0.62 34.68 v soc/core/_30376_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01290_ (net) + 0.15 0.00 34.68 v soc/core/_29064_/A1 (sky130_fd_sc_hd__mux2_2) + 0.11 0.39 35.07 v soc/core/_29064_/X (sky130_fd_sc_hd__mux2_2) + 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[14] (net) + 0.11 0.00 35.07 v soc/core/_31661_/D (sky130_fd_sc_hd__dfxtp_2) + 35.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_292_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31661_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.13 38.26 library setup time + 38.26 data required time +----------------------------------------------------------------------------- + 38.26 data required time + -35.07 data arrival time +----------------------------------------------------------------------------- + 3.19 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31666_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.62 0.22 33.32 ^ soc/core/_30419_/S0 (sky130_fd_sc_hd__mux4_1) + 0.10 0.69 34.01 v soc/core/_30419_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01333_ (net) + 0.10 0.00 34.01 v soc/core/_30421_/A2 (sky130_fd_sc_hd__mux4_1) + 0.12 0.58 34.60 v soc/core/_30421_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01335_ (net) + 0.12 0.00 34.60 v soc/core/_29069_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.41 35.00 v soc/core/_29069_/X (sky130_fd_sc_hd__mux2_1) + 1 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[19] (net) + 0.13 0.00 35.00 v soc/core/_31666_/D (sky130_fd_sc_hd__dfxtp_4) + 35.00 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_292_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31666_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.14 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -35.00 data arrival time +----------------------------------------------------------------------------- + 3.24 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31665_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.59 0.19 33.29 ^ soc/core/_30413_/S0 (sky130_fd_sc_hd__mux4_1) + 0.14 0.74 34.03 v soc/core/_30413_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01327_ (net) + 0.14 0.00 34.03 v soc/core/_30416_/A1 (sky130_fd_sc_hd__mux4_2) + 0.12 0.58 34.61 v soc/core/_30416_/X (sky130_fd_sc_hd__mux4_2) + 1 0.02 soc/core/_01330_ (net) + 0.12 0.00 34.61 v soc/core/_29068_/A1 (sky130_fd_sc_hd__mux2_4) + 0.12 0.38 34.99 v soc/core/_29068_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[18] (net) + 0.12 0.01 34.99 v soc/core/_31665_/D (sky130_fd_sc_hd__dfxtp_1) + 34.99 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_324_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.29 36.83 ^ soc/core/clkbuf_leaf_324_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_324_core_clk (net) + 0.09 0.00 36.83 ^ soc/core/_31665_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.38 clock reconvergence pessimism + -0.13 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -34.99 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31678_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) + 72 0.42 soc/core/net814 (net) + 0.70 0.30 33.10 ^ soc/core/_30540_/S0 (sky130_fd_sc_hd__mux4_1) + 0.10 0.70 33.80 v soc/core/_30540_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01454_ (net) + 0.10 0.00 33.80 v soc/core/_30541_/A3 (sky130_fd_sc_hd__mux4_2) + 0.21 0.69 34.49 v soc/core/_30541_/X (sky130_fd_sc_hd__mux4_2) + 2 0.06 soc/core/_01455_ (net) + 0.22 0.02 34.51 v soc/core/_29081_/A0 (sky130_fd_sc_hd__mux2_8) + 0.21 0.54 35.05 v soc/core/_29081_/X (sky130_fd_sc_hd__mux2_8) + 2 0.21 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[31] (net) + 0.22 0.04 35.09 v soc/core/_31678_/D (sky130_fd_sc_hd__dfxtp_1) + 35.09 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_349_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_349_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_349_core_clk (net) + 0.10 0.00 36.97 ^ soc/core/_31678_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.72 clock uncertainty + 1.80 38.52 clock reconvergence pessimism + -0.18 38.34 library setup time + 38.34 data required time +----------------------------------------------------------------------------- + 38.34 data required time + -35.09 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31663_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.61 0.21 33.31 ^ soc/core/_30394_/S0 (sky130_fd_sc_hd__mux4_1) + 0.10 0.69 33.99 v soc/core/_30394_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01308_ (net) + 0.10 0.00 34.00 v soc/core/_30396_/A2 (sky130_fd_sc_hd__mux4_2) + 0.13 0.59 34.58 v soc/core/_30396_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01310_ (net) + 0.13 0.00 34.58 v soc/core/_29066_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.34 34.93 v soc/core/_29066_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[16] (net) + 0.07 0.00 34.93 v soc/core/_31663_/D (sky130_fd_sc_hd__dfxtp_4) + 34.93 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_292_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31663_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.11 38.28 library setup time + 38.28 data required time +----------------------------------------------------------------------------- + 38.28 data required time + -34.93 data arrival time +----------------------------------------------------------------------------- + 3.35 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31677_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) + 72 0.42 soc/core/net814 (net) + 0.69 0.29 33.09 ^ soc/core/_30529_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.75 33.84 v soc/core/_30529_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01443_ (net) + 0.13 0.00 33.84 v soc/core/_30531_/A2 (sky130_fd_sc_hd__mux4_2) + 0.19 0.68 34.52 v soc/core/_30531_/X (sky130_fd_sc_hd__mux4_2) + 2 0.05 soc/core/_01445_ (net) + 0.20 0.01 34.53 v soc/core/_29080_/A0 (sky130_fd_sc_hd__mux2_8) + 0.15 0.50 35.02 v soc/core/_29080_/X (sky130_fd_sc_hd__mux2_8) + 2 0.14 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[30] (net) + 0.15 0.02 35.04 v soc/core/_31677_/D (sky130_fd_sc_hd__dfxtp_1) + 35.04 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_5_0_core_clk (net) + 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.19 soc/core/clknet_5_5_1_core_clk (net) + 0.56 0.02 36.64 ^ soc/core/clkbuf_leaf_387_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.27 36.91 ^ soc/core/clkbuf_leaf_387_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.06 soc/core/clknet_leaf_387_core_clk (net) + 0.09 0.00 36.91 ^ soc/core/_31677_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.66 clock uncertainty + 1.87 38.54 clock reconvergence pessimism + -0.15 38.39 library setup time + 38.39 data required time +----------------------------------------------------------------------------- + 38.39 data required time + -35.04 data arrival time +----------------------------------------------------------------------------- + 3.35 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31669_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) + 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) + 80 0.43 soc/core/net811 (net) + 0.48 0.06 33.16 ^ soc/core/_30448_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.70 33.86 v soc/core/_30448_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01362_ (net) + 0.12 0.00 33.86 v soc/core/_30451_/A1 (sky130_fd_sc_hd__mux4_1) + 0.11 0.59 34.45 v soc/core/_30451_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01365_ (net) + 0.11 0.00 34.45 v soc/core/_29072_/A0 (sky130_fd_sc_hd__mux2_2) + 0.13 0.40 34.85 v soc/core/_29072_/X (sky130_fd_sc_hd__mux2_2) + 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[22] (net) + 0.13 0.01 34.85 v soc/core/_31669_/D (sky130_fd_sc_hd__dfxtp_1) + 34.85 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_356_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_356_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_356_core_clk (net) + 0.10 0.00 36.83 ^ soc/core/_31669_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.38 clock reconvergence pessimism + -0.13 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -34.85 data arrival time +----------------------------------------------------------------------------- + 3.39 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31676_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) + 72 0.42 soc/core/net814 (net) + 0.69 0.29 33.09 ^ soc/core/_30518_/S0 (sky130_fd_sc_hd__mux4_1) + 0.11 0.71 33.79 v soc/core/_30518_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01432_ (net) + 0.11 0.00 33.79 v soc/core/_30521_/A1 (sky130_fd_sc_hd__mux4_2) + 0.17 0.62 34.42 v soc/core/_30521_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01435_ (net) + 0.17 0.00 34.42 v soc/core/_29079_/A0 (sky130_fd_sc_hd__mux2_8) + 0.19 0.50 34.93 v soc/core/_29079_/X (sky130_fd_sc_hd__mux2_8) + 2 0.18 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[29] (net) + 0.19 0.03 34.96 v soc/core/_31676_/D (sky130_fd_sc_hd__dfxtp_1) + 34.96 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_5_0_core_clk (net) + 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.19 soc/core/clknet_5_5_1_core_clk (net) + 0.56 0.01 36.64 ^ soc/core/clkbuf_leaf_385_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.28 36.92 ^ soc/core/clkbuf_leaf_385_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.07 soc/core/clknet_leaf_385_core_clk (net) + 0.10 0.00 36.92 ^ soc/core/_31676_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.67 clock uncertainty + 1.87 38.54 clock reconvergence pessimism + -0.16 38.38 library setup time + 38.38 data required time +----------------------------------------------------------------------------- + 38.38 data required time + -34.96 data arrival time +----------------------------------------------------------------------------- + 3.42 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31675_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) + 72 0.42 soc/core/net814 (net) + 0.62 0.23 33.03 ^ soc/core/_30508_/S0 (sky130_fd_sc_hd__mux4_2) + 0.14 0.71 33.75 v soc/core/_30508_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01422_ (net) + 0.14 0.00 33.75 v soc/core/_30511_/A1 (sky130_fd_sc_hd__mux4_2) + 0.15 0.61 34.36 v soc/core/_30511_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01425_ (net) + 0.15 0.00 34.37 v soc/core/_29078_/A0 (sky130_fd_sc_hd__mux2_8) + 0.15 0.48 34.84 v soc/core/_29078_/X (sky130_fd_sc_hd__mux2_8) + 2 0.14 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[28] (net) + 0.15 0.02 34.86 v soc/core/_31675_/D (sky130_fd_sc_hd__dfxtp_1) + 34.86 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_350_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.95 ^ soc/core/clkbuf_leaf_350_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_350_core_clk (net) + 0.10 0.00 36.95 ^ soc/core/_31675_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.70 clock uncertainty + 1.80 38.50 clock reconvergence pessimism + -0.15 38.35 library setup time + 38.35 data required time +----------------------------------------------------------------------------- + 38.35 data required time + -34.86 data arrival time +----------------------------------------------------------------------------- + 3.49 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31655_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.55 0.17 32.86 ^ soc/core/_30314_/S0 (sky130_fd_sc_hd__mux4_2) + 0.13 0.69 33.55 v soc/core/_30314_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01228_ (net) + 0.13 0.00 33.55 v soc/core/_30316_/A2 (sky130_fd_sc_hd__mux4_2) + 0.17 0.64 34.19 v soc/core/_30316_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01230_ (net) + 0.17 0.00 34.20 v soc/core/_29058_/A1 (sky130_fd_sc_hd__mux2_8) + 0.11 0.42 34.62 v soc/core/_29058_/X (sky130_fd_sc_hd__mux2_8) + 2 0.06 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[8] (net) + 0.11 0.02 34.63 v soc/core/_31655_/D (sky130_fd_sc_hd__dfxtp_1) + 34.63 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_22_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.24 soc/core/clknet_5_22_1_core_clk (net) + 0.71 0.04 36.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 36.78 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_286_core_clk (net) + 0.08 0.00 36.78 ^ soc/core/_31655_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.53 clock uncertainty + 1.80 38.33 clock reconvergence pessimism + -0.13 38.20 library setup time + 38.20 data required time +----------------------------------------------------------------------------- + 38.20 data required time + -34.63 data arrival time +----------------------------------------------------------------------------- + 3.56 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31673_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) + 72 0.42 soc/core/net814 (net) + 0.50 0.12 32.92 ^ soc/core/_30489_/S0 (sky130_fd_sc_hd__mux4_2) + 0.13 0.68 33.60 v soc/core/_30489_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01403_ (net) + 0.13 0.00 33.61 v soc/core/_30491_/A2 (sky130_fd_sc_hd__mux4_2) + 0.12 0.57 34.17 v soc/core/_30491_/X (sky130_fd_sc_hd__mux4_2) + 1 0.01 soc/core/_01405_ (net) + 0.12 0.00 34.18 v soc/core/_29076_/A0 (sky130_fd_sc_hd__mux2_8) + 0.13 0.43 34.61 v soc/core/_29076_/X (sky130_fd_sc_hd__mux2_8) + 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[26] (net) + 0.13 0.02 34.63 v soc/core/_31673_/D (sky130_fd_sc_hd__dfxtp_1) + 34.63 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_346_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_346_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_346_core_clk (net) + 0.10 0.00 36.97 ^ soc/core/_31673_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.72 clock uncertainty + 1.80 38.51 clock reconvergence pessimism + -0.14 38.38 library setup time + 38.38 data required time +----------------------------------------------------------------------------- + 38.38 data required time + -34.63 data arrival time +----------------------------------------------------------------------------- + 3.75 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31667_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) + 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) + 70 0.40 soc/core/net812 (net) + 0.48 0.11 32.80 ^ soc/core/_30435_/S0 (sky130_fd_sc_hd__mux4_2) + 0.15 0.70 33.50 v soc/core/_30435_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01349_ (net) + 0.15 0.00 33.50 v soc/core/_30436_/A3 (sky130_fd_sc_hd__mux4_1) + 0.10 0.55 34.06 v soc/core/_30436_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01350_ (net) + 0.10 0.00 34.06 v soc/core/_29070_/A1 (sky130_fd_sc_hd__mux2_1) + 0.10 0.38 34.43 v soc/core/_29070_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[20] (net) + 0.10 0.00 34.43 v soc/core/_31667_/D (sky130_fd_sc_hd__dfxtp_1) + 34.43 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_322_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31667_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.12 38.27 library setup time + 38.27 data required time +----------------------------------------------------------------------------- + 38.27 data required time + -34.43 data arrival time +----------------------------------------------------------------------------- + 3.83 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31287_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.49 0.16 32.55 ^ soc/core/_30726_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.71 33.26 v soc/core/_30726_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00901_ (net) + 0.12 0.00 33.26 v soc/core/_30727_/A3 (sky130_fd_sc_hd__mux4_2) + 0.15 0.63 33.89 v soc/core/_30727_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00902_ (net) + 0.15 0.00 33.89 v soc/core/_29377_/A1 (sky130_fd_sc_hd__mux2_8) + 0.12 0.42 34.31 v soc/core/_29377_/X (sky130_fd_sc_hd__mux2_8) + 2 0.07 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[14] (net) + 0.13 0.02 34.33 v soc/core/_31287_/D (sky130_fd_sc_hd__dfxtp_2) + 34.33 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_328_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.27 36.81 ^ soc/core/clkbuf_leaf_328_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_328_core_clk (net) + 0.07 0.00 36.81 ^ soc/core/_31287_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.56 clock uncertainty + 1.80 38.36 clock reconvergence pessimism + -0.14 38.22 library setup time + 38.22 data required time +----------------------------------------------------------------------------- + 38.22 data required time + -34.33 data arrival time +----------------------------------------------------------------------------- + 3.89 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31285_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.49 0.15 32.55 ^ soc/core/_30706_/S0 (sky130_fd_sc_hd__mux4_1) + 0.11 0.69 33.24 v soc/core/_30706_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00881_ (net) + 0.11 0.00 33.24 v soc/core/_30707_/A3 (sky130_fd_sc_hd__mux4_2) + 0.19 0.68 33.92 v soc/core/_30707_/X (sky130_fd_sc_hd__mux4_2) + 2 0.05 soc/core/_00882_ (net) + 0.19 0.01 33.92 v soc/core/_29375_/A1 (sky130_fd_sc_hd__mux2_4) + 0.12 0.42 34.34 v soc/core/_29375_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[12] (net) + 0.13 0.01 34.35 v soc/core/_31285_/D (sky130_fd_sc_hd__dfxtp_2) + 34.35 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_20_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.26 soc/core/clknet_5_20_1_core_clk (net) + 0.76 0.02 36.52 ^ soc/core/clkbuf_leaf_321_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_321_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.08 soc/core/clknet_leaf_321_core_clk (net) + 0.10 0.00 36.83 ^ soc/core/_31285_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.58 clock uncertainty + 1.80 38.38 clock reconvergence pessimism + -0.13 38.24 library setup time + 38.24 data required time +----------------------------------------------------------------------------- + 38.24 data required time + -34.35 data arrival time +----------------------------------------------------------------------------- + 3.89 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31282_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.48 0.15 32.55 ^ soc/core/_30673_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.70 33.24 v soc/core/_30673_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00848_ (net) + 0.12 0.00 33.24 v soc/core/_30677_/A0 (sky130_fd_sc_hd__mux4_2) + 0.18 0.65 33.90 v soc/core/_30677_/X (sky130_fd_sc_hd__mux4_2) + 2 0.04 soc/core/_00852_ (net) + 0.18 0.00 33.90 v soc/core/_29372_/A1 (sky130_fd_sc_hd__mux2_1) + 0.10 0.41 34.31 v soc/core/_29372_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[9] (net) + 0.10 0.00 34.31 v soc/core/_31282_/D (sky130_fd_sc_hd__dfxtp_4) + 34.31 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_20_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.26 soc/core/clknet_5_20_1_core_clk (net) + 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_319_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 36.82 ^ soc/core/clkbuf_leaf_319_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_319_core_clk (net) + 0.10 0.00 36.82 ^ soc/core/_31282_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.57 clock uncertainty + 1.80 38.36 clock reconvergence pessimism + -0.12 38.24 library setup time + 38.24 data required time +----------------------------------------------------------------------------- + 38.24 data required time + -34.31 data arrival time +----------------------------------------------------------------------------- + 3.93 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31281_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.48 0.14 32.54 ^ soc/core/_30664_/S0 (sky130_fd_sc_hd__mux4_2) + 0.15 0.70 33.24 v soc/core/_30664_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00839_ (net) + 0.15 0.01 33.25 v soc/core/_30667_/A1 (sky130_fd_sc_hd__mux4_2) + 0.14 0.60 33.85 v soc/core/_30667_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_00842_ (net) + 0.14 0.00 33.85 v soc/core/_29371_/A1 (sky130_fd_sc_hd__mux2_4) + 0.13 0.39 34.24 v soc/core/_29371_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[8] (net) + 0.13 0.01 34.25 v soc/core/_31281_/D (sky130_fd_sc_hd__dfxtp_4) + 34.25 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_22_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.24 soc/core/clknet_5_22_1_core_clk (net) + 0.71 0.04 36.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 36.78 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_286_core_clk (net) + 0.08 0.00 36.78 ^ soc/core/_31281_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.53 clock uncertainty + 1.80 38.33 clock reconvergence pessimism + -0.14 38.19 library setup time + 38.19 data required time +----------------------------------------------------------------------------- + 38.19 data required time + -34.25 data arrival time +----------------------------------------------------------------------------- + 3.94 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31648_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.63 0.23 32.38 ^ soc/core/_30245_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.72 33.10 v soc/core/_30245_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01159_ (net) + 0.12 0.00 33.10 v soc/core/_30246_/A3 (sky130_fd_sc_hd__mux4_2) + 0.17 0.65 33.75 v soc/core/_30246_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01160_ (net) + 0.17 0.01 33.75 v soc/core/_29051_/A1 (sky130_fd_sc_hd__mux2_8) + 0.12 0.45 34.20 v soc/core/_29051_/X (sky130_fd_sc_hd__mux2_8) + 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[1] (net) + 0.14 0.04 34.24 v soc/core/_31648_/D (sky130_fd_sc_hd__dfxtp_1) + 34.24 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_355_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 36.83 ^ soc/core/clkbuf_leaf_355_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_355_core_clk (net) + 0.10 0.00 36.83 ^ soc/core/_31648_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.14 38.23 library setup time + 38.23 data required time +----------------------------------------------------------------------------- + 38.23 data required time + -34.24 data arrival time +----------------------------------------------------------------------------- + 4.00 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31291_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.44 0.10 32.50 ^ soc/core/_30764_/S0 (sky130_fd_sc_hd__mux4_2) + 0.15 0.70 33.20 v soc/core/_30764_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00939_ (net) + 0.15 0.00 33.20 v soc/core/_30767_/A1 (sky130_fd_sc_hd__mux4_1) + 0.12 0.62 33.82 v soc/core/_30767_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00942_ (net) + 0.12 0.00 33.82 v soc/core/_29381_/A1 (sky130_fd_sc_hd__mux2_2) + 0.13 0.40 34.22 v soc/core/_29381_/X (sky130_fd_sc_hd__mux2_2) + 2 0.03 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[18] (net) + 0.13 0.01 34.23 v soc/core/_31291_/D (sky130_fd_sc_hd__dfxtp_1) + 34.23 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_322_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31291_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.14 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -34.23 data arrival time +----------------------------------------------------------------------------- + 4.02 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31649_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.67 0.26 32.41 ^ soc/core/_30253_/S0 (sky130_fd_sc_hd__mux4_2) + 0.16 0.73 33.14 v soc/core/_30253_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01167_ (net) + 0.16 0.00 33.14 v soc/core/_30256_/A1 (sky130_fd_sc_hd__mux4_2) + 0.22 0.70 33.84 v soc/core/_30256_/X (sky130_fd_sc_hd__mux4_2) + 2 0.06 soc/core/_01170_ (net) + 0.22 0.01 33.86 v soc/core/_29052_/A1 (sky130_fd_sc_hd__mux2_4) + 0.13 0.45 34.30 v soc/core/_29052_/X (sky130_fd_sc_hd__mux2_4) + 2 0.07 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[2] (net) + 0.13 0.00 34.31 v soc/core/_31649_/D (sky130_fd_sc_hd__dfxtp_1) + 34.31 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_335_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.30 36.94 ^ soc/core/clkbuf_leaf_335_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_335_core_clk (net) + 0.08 0.00 36.94 ^ soc/core/_31649_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.69 clock uncertainty + 1.80 38.48 clock reconvergence pessimism + -0.14 38.35 library setup time + 38.35 data required time +----------------------------------------------------------------------------- + 38.35 data required time + -34.31 data arrival time +----------------------------------------------------------------------------- + 4.04 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31290_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.44 0.11 32.50 ^ soc/core/_30753_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.71 33.21 v soc/core/_30753_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00928_ (net) + 0.13 0.00 33.21 v soc/core/_30757_/A0 (sky130_fd_sc_hd__mux4_2) + 0.12 0.58 33.79 v soc/core/_30757_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_00932_ (net) + 0.12 0.00 33.79 v soc/core/_29380_/A1 (sky130_fd_sc_hd__mux2_4) + 0.11 0.37 34.16 v soc/core/_29380_/X (sky130_fd_sc_hd__mux2_4) + 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[17] (net) + 0.12 0.01 34.17 v soc/core/_31290_/D (sky130_fd_sc_hd__dfxtp_1) + 34.17 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_20_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.26 soc/core/clknet_5_20_1_core_clk (net) + 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_287_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.82 ^ soc/core/clkbuf_leaf_287_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_287_core_clk (net) + 0.10 0.00 36.82 ^ soc/core/_31290_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.36 clock reconvergence pessimism + -0.13 38.23 library setup time + 38.23 data required time +----------------------------------------------------------------------------- + 38.23 data required time + -34.17 data arrival time +----------------------------------------------------------------------------- + 4.06 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31674_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.70 0.29 32.44 ^ soc/core/_30505_/S0 (sky130_fd_sc_hd__mux4_1) + 0.14 0.76 33.19 v soc/core/_30505_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01419_ (net) + 0.14 0.00 33.19 v soc/core/_30506_/A3 (sky130_fd_sc_hd__mux4_2) + 0.11 0.58 33.77 v soc/core/_30506_/X (sky130_fd_sc_hd__mux4_2) + 1 0.01 soc/core/_01420_ (net) + 0.11 0.00 33.77 v soc/core/_29077_/A1 (sky130_fd_sc_hd__mux2_8) + 0.15 0.44 34.21 v soc/core/_29077_/X (sky130_fd_sc_hd__mux2_8) + 2 0.13 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[27] (net) + 0.15 0.03 34.24 v soc/core/_31674_/D (sky130_fd_sc_hd__dfxtp_1) + 34.24 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_5_0_core_clk (net) + 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.19 soc/core/clknet_5_5_1_core_clk (net) + 0.56 0.01 36.64 ^ soc/core/clkbuf_leaf_385_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.28 36.92 ^ soc/core/clkbuf_leaf_385_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.07 soc/core/clknet_leaf_385_core_clk (net) + 0.10 0.00 36.92 ^ soc/core/_31674_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.67 clock uncertainty + 1.87 38.54 clock reconvergence pessimism + -0.15 38.40 library setup time + 38.40 data required time +----------------------------------------------------------------------------- + 38.40 data required time + -34.24 data arrival time +----------------------------------------------------------------------------- + 4.16 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31672_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.68 0.27 32.42 ^ soc/core/_30480_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.73 33.16 v soc/core/_30480_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01394_ (net) + 0.13 0.00 33.16 v soc/core/_30481_/A3 (sky130_fd_sc_hd__mux4_1) + 0.12 0.58 33.74 v soc/core/_30481_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01395_ (net) + 0.12 0.00 33.74 v soc/core/_29075_/A0 (sky130_fd_sc_hd__mux2_8) + 0.14 0.45 34.19 v soc/core/_29075_/X (sky130_fd_sc_hd__mux2_8) + 2 0.12 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[25] (net) + 0.14 0.01 34.20 v soc/core/_31672_/D (sky130_fd_sc_hd__dfxtp_1) + 34.20 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_349_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_349_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_349_core_clk (net) + 0.10 0.00 36.97 ^ soc/core/_31672_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.72 clock uncertainty + 1.80 38.51 clock reconvergence pessimism + -0.14 38.37 library setup time + 38.37 data required time +----------------------------------------------------------------------------- + 38.37 data required time + -34.20 data arrival time +----------------------------------------------------------------------------- + 4.17 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31660_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.59 0.18 32.33 ^ soc/core/_30365_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.73 33.06 v soc/core/_30365_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01279_ (net) + 0.13 0.00 33.06 v soc/core/_30366_/A3 (sky130_fd_sc_hd__mux4_2) + 0.11 0.57 33.63 v soc/core/_30366_/X (sky130_fd_sc_hd__mux4_2) + 1 0.01 soc/core/_01280_ (net) + 0.11 0.00 33.63 v soc/core/_29063_/A1 (sky130_fd_sc_hd__mux2_1) + 0.11 0.39 34.02 v soc/core/_29063_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[13] (net) + 0.11 0.00 34.02 v soc/core/_31660_/D (sky130_fd_sc_hd__dfxtp_1) + 34.02 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_328_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.27 36.81 ^ soc/core/clkbuf_leaf_328_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_328_core_clk (net) + 0.07 0.00 36.82 ^ soc/core/_31660_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.36 clock reconvergence pessimism + -0.13 38.23 library setup time + 38.23 data required time +----------------------------------------------------------------------------- + 38.23 data required time + -34.02 data arrival time +----------------------------------------------------------------------------- + 4.20 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31657_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.59 0.18 32.33 ^ soc/core/_30333_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.73 33.06 v soc/core/_30333_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01247_ (net) + 0.13 0.00 33.06 v soc/core/_30336_/A1 (sky130_fd_sc_hd__mux4_1) + 0.12 0.61 33.67 v soc/core/_30336_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01250_ (net) + 0.12 0.00 33.67 v soc/core/_29060_/A1 (sky130_fd_sc_hd__mux2_1) + 0.09 0.37 34.04 v soc/core/_29060_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[10] (net) + 0.09 0.00 34.04 v soc/core/_31657_/D (sky130_fd_sc_hd__dfxtp_1) + 34.04 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_20_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.26 soc/core/clknet_5_20_1_core_clk (net) + 0.76 0.02 36.52 ^ soc/core/clkbuf_leaf_316_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.29 36.82 ^ soc/core/clkbuf_leaf_316_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_316_core_clk (net) + 0.09 0.00 36.82 ^ soc/core/_31657_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.12 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -34.04 data arrival time +----------------------------------------------------------------------------- + 4.21 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31668_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.58 0.18 32.32 ^ soc/core/_30445_/S0 (sky130_fd_sc_hd__mux4_1) + 0.14 0.74 33.06 v soc/core/_30445_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01359_ (net) + 0.14 0.00 33.06 v soc/core/_30446_/A3 (sky130_fd_sc_hd__mux4_1) + 0.10 0.55 33.61 v soc/core/_30446_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01360_ (net) + 0.10 0.00 33.61 v soc/core/_29071_/A1 (sky130_fd_sc_hd__mux2_1) + 0.12 0.40 34.01 v soc/core/_29071_/X (sky130_fd_sc_hd__mux2_1) + 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[21] (net) + 0.12 0.00 34.01 v soc/core/_31668_/D (sky130_fd_sc_hd__dfxtp_1) + 34.01 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_322_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31668_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.13 38.26 library setup time + 38.26 data required time +----------------------------------------------------------------------------- + 38.26 data required time + -34.01 data arrival time +----------------------------------------------------------------------------- + 4.24 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31293_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) + 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) + 68 0.36 soc/core/net792 (net) + 0.40 0.02 32.42 ^ soc/core/_30786_/S0 (sky130_fd_sc_hd__mux4_2) + 0.16 0.70 33.12 v soc/core/_30786_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00961_ (net) + 0.16 0.00 33.12 v soc/core/_30787_/A3 (sky130_fd_sc_hd__mux4_1) + 0.09 0.54 33.66 v soc/core/_30787_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_00962_ (net) + 0.09 0.00 33.66 v soc/core/_29383_/A1 (sky130_fd_sc_hd__mux2_1) + 0.06 0.32 33.97 v soc/core/_29383_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[20] (net) + 0.06 0.00 33.97 v soc/core/_31293_/D (sky130_fd_sc_hd__dfxtp_1) + 33.97 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_322_core_clk (net) + 0.09 0.00 36.84 ^ soc/core/_31293_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.59 clock uncertainty + 1.80 38.39 clock reconvergence pessimism + -0.11 38.28 library setup time + 38.28 data required time +----------------------------------------------------------------------------- + 38.28 data required time + -33.97 data arrival time +----------------------------------------------------------------------------- + 4.31 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31301_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.54 0.15 32.14 ^ soc/core/_30864_/S0 (sky130_fd_sc_hd__mux4_2) + 0.14 0.70 32.85 v soc/core/_30864_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01039_ (net) + 0.15 0.00 32.85 v soc/core/_30867_/A1 (sky130_fd_sc_hd__mux4_1) + 0.10 0.58 33.43 v soc/core/_30867_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01042_ (net) + 0.10 0.00 33.43 v soc/core/_29391_/A1 (sky130_fd_sc_hd__mux2_8) + 0.13 0.42 33.84 v soc/core/_29391_/X (sky130_fd_sc_hd__mux2_8) + 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[28] (net) + 0.15 0.03 33.88 v soc/core/_31301_/D (sky130_fd_sc_hd__dfxtp_2) + 33.88 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 36.04 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 36.20 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_5_4_0_core_clk (net) + 0.08 0.00 36.20 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.45 0.41 36.61 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 18 0.15 soc/core/clknet_5_4_1_core_clk (net) + 0.45 0.00 36.62 ^ soc/core/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.24 36.86 ^ soc/core/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_397_core_clk (net) + 0.07 0.00 36.86 ^ soc/core/_31301_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.61 clock uncertainty + 1.87 38.48 clock reconvergence pessimism + -0.15 38.33 library setup time + 38.33 data required time +----------------------------------------------------------------------------- + 38.33 data required time + -33.88 data arrival time +----------------------------------------------------------------------------- + 4.45 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31651_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.46 0.02 32.17 ^ soc/core/_30274_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.70 32.88 v soc/core/_30274_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01188_ (net) + 0.12 0.00 32.88 v soc/core/_30276_/A2 (sky130_fd_sc_hd__mux4_2) + 0.17 0.64 33.52 v soc/core/_30276_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01190_ (net) + 0.17 0.00 33.52 v soc/core/_29054_/A1 (sky130_fd_sc_hd__mux2_4) + 0.10 0.39 33.91 v soc/core/_29054_/X (sky130_fd_sc_hd__mux2_4) + 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[4] (net) + 0.10 0.01 33.92 v soc/core/_31651_/D (sky130_fd_sc_hd__dfxtp_1) + 33.92 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_350_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.95 ^ soc/core/clkbuf_leaf_350_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_350_core_clk (net) + 0.10 0.00 36.95 ^ soc/core/_31651_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.70 clock uncertainty + 1.80 38.50 clock reconvergence pessimism + -0.12 38.37 library setup time + 38.37 data required time +----------------------------------------------------------------------------- + 38.37 data required time + -33.92 data arrival time +----------------------------------------------------------------------------- + 4.45 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31289_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.61 0.19 32.14 ^ soc/core/_30746_/S0 (sky130_fd_sc_hd__mux4_2) + 0.14 0.70 32.84 v soc/core/_30746_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_00921_ (net) + 0.14 0.00 32.84 v soc/core/_30747_/A3 (sky130_fd_sc_hd__mux4_2) + 0.14 0.61 33.45 v soc/core/_30747_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_00922_ (net) + 0.14 0.00 33.45 v soc/core/_29379_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.34 33.80 v soc/core/_29379_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[16] (net) + 0.07 0.00 33.80 v soc/core/_31289_/D (sky130_fd_sc_hd__dfxtp_1) + 33.80 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_280_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_280_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_280_core_clk (net) + 0.08 0.00 36.83 ^ soc/core/_31289_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.11 38.26 library setup time + 38.26 data required time +----------------------------------------------------------------------------- + 38.26 data required time + -33.80 data arrival time +----------------------------------------------------------------------------- + 4.46 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31671_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) + 76 0.44 soc/core/net815 (net) + 0.57 0.17 32.32 ^ soc/core/_30469_/S0 (sky130_fd_sc_hd__mux4_1) + 0.13 0.73 33.05 v soc/core/_30469_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01383_ (net) + 0.13 0.00 33.05 v soc/core/_30471_/A2 (sky130_fd_sc_hd__mux4_2) + 0.12 0.58 33.63 v soc/core/_30471_/X (sky130_fd_sc_hd__mux4_2) + 1 0.02 soc/core/_01385_ (net) + 0.12 0.00 33.63 v soc/core/_29074_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.31 33.95 v soc/core/_29074_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[24] (net) + 0.06 0.00 33.95 v soc/core/_31671_/D (sky130_fd_sc_hd__dfxtp_4) + 33.95 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 36.04 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 36.20 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_5_4_0_core_clk (net) + 0.08 0.00 36.20 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.45 0.41 36.61 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 18 0.15 soc/core/clknet_5_4_1_core_clk (net) + 0.45 0.01 36.62 ^ soc/core/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.26 36.89 ^ soc/core/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.08 soc/core/clknet_leaf_396_core_clk (net) + 0.10 0.00 36.89 ^ soc/core/_31671_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.64 clock uncertainty + 1.87 38.51 clock reconvergence pessimism + -0.10 38.41 library setup time + 38.41 data required time +----------------------------------------------------------------------------- + 38.41 data required time + -33.95 data arrival time +----------------------------------------------------------------------------- + 4.46 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31292_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.61 0.19 32.14 ^ soc/core/_30776_/S0 (sky130_fd_sc_hd__mux4_1) + 0.11 0.71 32.85 v soc/core/_30776_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_00951_ (net) + 0.11 0.00 32.85 v soc/core/_30777_/A3 (sky130_fd_sc_hd__mux4_2) + 0.14 0.61 33.45 v soc/core/_30777_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_00952_ (net) + 0.14 0.00 33.46 v soc/core/_29382_/A1 (sky130_fd_sc_hd__mux2_1) + 0.06 0.34 33.79 v soc/core/_29382_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[19] (net) + 0.06 0.00 33.79 v soc/core/_31292_/D (sky130_fd_sc_hd__dfxtp_1) + 33.79 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.27 soc/core/clknet_5_23_1_core_clk (net) + 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_280_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_280_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_280_core_clk (net) + 0.08 0.00 36.83 ^ soc/core/_31292_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.11 38.26 library setup time + 38.26 data required time +----------------------------------------------------------------------------- + 38.26 data required time + -33.79 data arrival time +----------------------------------------------------------------------------- + 4.47 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31295_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) + 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) + 70 0.42 soc/core/net795 (net) + 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) + 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net794 (net) + 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) + 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) + 78 0.45 soc/core/net793 (net) + 0.55 0.12 32.07 ^ soc/core/_30806_/S0 (sky130_fd_sc_hd__mux4_2) + 0.15 0.71 32.79 v soc/core/_30806_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00981_ (net) + 0.15 0.00 32.79 v soc/core/_30807_/A3 (sky130_fd_sc_hd__mux4_1) + 0.09 0.55 33.34 v soc/core/_30807_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_00982_ (net) + 0.09 0.00 33.34 v soc/core/_29385_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.34 33.67 v soc/core/_29385_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[22] (net) + 0.08 0.00 33.67 v soc/core/_31295_/D (sky130_fd_sc_hd__dfxtp_1) + 33.67 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_3_5_2_core_clk (net) + 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_22_0_core_clk (net) + 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.24 soc/core/clknet_5_22_1_core_clk (net) + 0.70 0.03 36.48 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.26 36.74 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 5 0.02 soc/core/clknet_leaf_285_core_clk (net) + 0.06 0.00 36.74 ^ soc/core/_31295_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.49 clock uncertainty + 1.80 38.29 clock reconvergence pessimism + -0.12 38.17 library setup time + 38.17 data required time +----------------------------------------------------------------------------- + 38.17 data required time + -33.67 data arrival time +----------------------------------------------------------------------------- + 4.49 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.61 0.21 32.21 ^ soc/core/_30869_/S0 (sky130_fd_sc_hd__mux4_1) + 0.11 0.71 32.91 v soc/core/_30869_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01044_ (net) + 0.11 0.00 32.91 v soc/core/_30872_/A1 (sky130_fd_sc_hd__mux4_2) + 0.17 0.62 33.53 v soc/core/_30872_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01047_ (net) + 0.17 0.00 33.53 v soc/core/_29392_/A0 (sky130_fd_sc_hd__mux2_4) + 0.13 0.42 33.96 v soc/core/_29392_/X (sky130_fd_sc_hd__mux2_4) + 2 0.06 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[29] (net) + 0.13 0.01 33.97 v soc/core/_31302_/D (sky130_fd_sc_hd__dfxtp_4) + 33.97 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_1_0_core_clk (net) + 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_2_0_core_clk (net) + 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 14 0.12 soc/core/clknet_5_2_1_core_clk (net) + 0.36 0.03 36.56 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.20 36.75 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) + 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 36.87 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) + 0.04 0.00 36.88 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.13 37.01 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_8_core_clk (net) + 0.06 0.00 37.01 ^ soc/core/_31302_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.76 clock uncertainty + 1.87 38.63 clock reconvergence pessimism + -0.15 38.49 library setup time + 38.49 data required time +----------------------------------------------------------------------------- + 38.49 data required time + -33.97 data arrival time +----------------------------------------------------------------------------- + 4.51 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31670_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.48 0.01 32.16 ^ soc/core/_30459_/S0 (sky130_fd_sc_hd__mux4_1) + 0.11 0.69 32.85 v soc/core/_30459_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01373_ (net) + 0.11 0.00 32.85 v soc/core/_30461_/A2 (sky130_fd_sc_hd__mux4_1) + 0.10 0.56 33.41 v soc/core/_30461_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01375_ (net) + 0.10 0.00 33.41 v soc/core/_29073_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 33.74 v soc/core/_29073_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[23] (net) + 0.07 0.00 33.74 v soc/core/_31670_/D (sky130_fd_sc_hd__dfxtp_1) + 33.74 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_356_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_356_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_356_core_clk (net) + 0.10 0.00 36.83 ^ soc/core/_31670_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.58 clock uncertainty + 1.80 38.38 clock reconvergence pessimism + -0.11 38.27 library setup time + 38.27 data required time +----------------------------------------------------------------------------- + 38.27 data required time + -33.74 data arrival time +----------------------------------------------------------------------------- + 4.53 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31304_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.60 0.21 32.20 ^ soc/core/_30893_/S0 (sky130_fd_sc_hd__mux4_2) + 0.14 0.70 32.90 v soc/core/_30893_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01068_ (net) + 0.14 0.00 32.90 v soc/core/_30897_/A0 (sky130_fd_sc_hd__mux4_2) + 0.18 0.66 33.56 v soc/core/_30897_/X (sky130_fd_sc_hd__mux4_2) + 2 0.04 soc/core/_01072_ (net) + 0.18 0.01 33.57 v soc/core/_29394_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.38 33.95 v soc/core/_29394_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[31] (net) + 0.08 0.00 33.95 v soc/core/_31304_/D (sky130_fd_sc_hd__dfxtp_4) + 33.95 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_1_0_core_clk (net) + 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_2_0_core_clk (net) + 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 14 0.12 soc/core/clknet_5_2_1_core_clk (net) + 0.36 0.04 36.56 ^ soc/core/clkbuf_opt_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.19 36.75 ^ soc/core/clkbuf_opt_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_6_0_core_clk (net) + 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.11 36.87 ^ soc/core/clkbuf_opt_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_6_1_core_clk (net) + 0.04 0.00 36.87 ^ soc/core/clkbuf_leaf_6_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.13 36.99 ^ soc/core/clkbuf_leaf_6_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.03 soc/core/clknet_leaf_6_core_clk (net) + 0.05 0.00 36.99 ^ soc/core/_31304_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.74 clock uncertainty + 1.87 38.62 clock reconvergence pessimism + -0.13 38.49 library setup time + 38.49 data required time +----------------------------------------------------------------------------- + 38.49 data required time + -33.95 data arrival time +----------------------------------------------------------------------------- + 4.54 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31658_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.66 0.25 31.78 ^ soc/core/_30340_/S0 (sky130_fd_sc_hd__mux4_2) + 0.16 0.73 32.51 v soc/core/_30340_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_01254_ (net) + 0.16 0.00 32.52 v soc/core/_30341_/A3 (sky130_fd_sc_hd__mux4_2) + 0.21 0.71 33.23 v soc/core/_30341_/X (sky130_fd_sc_hd__mux4_2) + 2 0.06 soc/core/_01255_ (net) + 0.21 0.01 33.24 v soc/core/_29061_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.45 33.69 v soc/core/_29061_/X (sky130_fd_sc_hd__mux2_1) + 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[11] (net) + 0.13 0.00 33.69 v soc/core/_31658_/D (sky130_fd_sc_hd__dfxtp_2) + 33.69 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_327_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_327_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_327_core_clk (net) + 0.08 0.00 36.83 ^ soc/core/_31658_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.58 clock uncertainty + 1.80 38.38 clock reconvergence pessimism + -0.14 38.24 library setup time + 38.24 data required time +----------------------------------------------------------------------------- + 38.24 data required time + -33.69 data arrival time +----------------------------------------------------------------------------- + 4.55 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31303_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.59 0.20 32.19 ^ soc/core/_30881_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.72 32.91 v soc/core/_30881_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01056_ (net) + 0.12 0.00 32.91 v soc/core/_30882_/A3 (sky130_fd_sc_hd__mux4_2) + 0.13 0.60 33.51 v soc/core/_30882_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01057_ (net) + 0.13 0.00 33.51 v soc/core/_29393_/A0 (sky130_fd_sc_hd__mux2_4) + 0.12 0.39 33.90 v soc/core/_29393_/X (sky130_fd_sc_hd__mux2_4) + 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[30] (net) + 0.12 0.01 33.91 v soc/core/_31303_/D (sky130_fd_sc_hd__dfxtp_1) + 33.91 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_1_0_core_clk (net) + 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_2_0_core_clk (net) + 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 14 0.12 soc/core/clknet_5_2_1_core_clk (net) + 0.36 0.03 36.56 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.20 36.75 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) + 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 36.87 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) + 0.04 0.00 36.88 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.13 37.01 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_8_core_clk (net) + 0.06 0.00 37.01 ^ soc/core/_31303_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.76 clock uncertainty + 1.87 38.63 clock reconvergence pessimism + -0.14 38.49 library setup time + 38.49 data required time +----------------------------------------------------------------------------- + 38.49 data required time + -33.91 data arrival time +----------------------------------------------------------------------------- + 4.58 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31662_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.66 0.25 31.78 ^ soc/core/_30380_/S0 (sky130_fd_sc_hd__mux4_2) + 0.13 0.70 32.47 v soc/core/_30380_/X (sky130_fd_sc_hd__mux4_2) + 2 0.02 soc/core/_01294_ (net) + 0.13 0.00 32.48 v soc/core/_30381_/A3 (sky130_fd_sc_hd__mux4_2) + 0.18 0.67 33.15 v soc/core/_30381_/X (sky130_fd_sc_hd__mux4_2) + 2 0.04 soc/core/_01295_ (net) + 0.18 0.01 33.16 v soc/core/_29065_/A0 (sky130_fd_sc_hd__mux2_2) + 0.12 0.42 33.57 v soc/core/_29065_/X (sky130_fd_sc_hd__mux2_2) + 2 0.03 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[15] (net) + 0.12 0.01 33.58 v soc/core/_31662_/D (sky130_fd_sc_hd__dfxtp_1) + 33.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_354_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.82 ^ soc/core/clkbuf_leaf_354_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_354_core_clk (net) + 0.09 0.00 36.82 ^ soc/core/_31662_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.13 38.24 library setup time + 38.24 data required time +----------------------------------------------------------------------------- + 38.24 data required time + -33.58 data arrival time +----------------------------------------------------------------------------- + 4.66 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31654_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) + 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) + 66 0.40 soc/core/net816 (net) + 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) + 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net813 (net) + 0.48 0.01 32.15 ^ soc/core/_30305_/S0 (sky130_fd_sc_hd__mux4_2) + 0.12 0.66 32.81 v soc/core/_30305_/X (sky130_fd_sc_hd__mux4_2) + 1 0.02 soc/core/_01219_ (net) + 0.12 0.00 32.81 v soc/core/_30306_/A3 (sky130_fd_sc_hd__mux4_1) + 0.08 0.51 33.33 v soc/core/_30306_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01220_ (net) + 0.08 0.00 33.33 v soc/core/_29057_/A1 (sky130_fd_sc_hd__mux2_1) + 0.09 0.36 33.69 v soc/core/_29057_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[7] (net) + 0.09 0.00 33.69 v soc/core/_31654_/D (sky130_fd_sc_hd__dfxtp_1) + 33.69 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_351_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.30 36.93 ^ soc/core/clkbuf_leaf_351_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_351_core_clk (net) + 0.08 0.00 36.93 ^ soc/core/_31654_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.68 clock uncertainty + 1.80 38.48 clock reconvergence pessimism + -0.12 38.36 library setup time + 38.36 data required time +----------------------------------------------------------------------------- + 38.36 data required time + -33.69 data arrival time +----------------------------------------------------------------------------- + 4.66 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31300_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.46 0.01 32.00 ^ soc/core/_30855_/S0 (sky130_fd_sc_hd__mux4_2) + 0.12 0.65 32.65 v soc/core/_30855_/X (sky130_fd_sc_hd__mux4_2) + 1 0.02 soc/core/_01030_ (net) + 0.12 0.00 32.65 v soc/core/_30857_/A2 (sky130_fd_sc_hd__mux4_1) + 0.09 0.53 33.19 v soc/core/_30857_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01032_ (net) + 0.09 0.00 33.19 v soc/core/_29390_/A1 (sky130_fd_sc_hd__mux2_4) + 0.08 0.32 33.51 v soc/core/_29390_/X (sky130_fd_sc_hd__mux2_4) + 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[27] (net) + 0.08 0.00 33.51 v soc/core/_31300_/D (sky130_fd_sc_hd__dfxtp_4) + 33.51 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 35.94 ^ soc/core/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 36.11 ^ soc/core/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_0_0_core_clk (net) + 0.09 0.00 36.11 ^ soc/core/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 36.25 ^ soc/core/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_1_0_core_clk (net) + 0.04 0.00 36.25 ^ soc/core/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.33 36.57 ^ soc/core/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 14 0.12 soc/core/clknet_5_1_1_core_clk (net) + 0.35 0.01 36.58 ^ soc/core/clkbuf_leaf_403_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.24 36.82 ^ soc/core/clkbuf_leaf_403_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_403_core_clk (net) + 0.09 0.00 36.83 ^ soc/core/_31300_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 36.58 clock uncertainty + 1.87 38.45 clock reconvergence pessimism + -0.12 38.33 library setup time + 38.33 data required time +----------------------------------------------------------------------------- + 38.33 data required time + -33.51 data arrival time +----------------------------------------------------------------------------- + 4.82 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31647_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[15] (net) + 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.05 soc/core/net2501 (net) + 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2500 (net) + 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2499 (net) + 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2498 (net) + 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net2497 (net) + 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) + 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 soc/core/_11276_ (net) + 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) + 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_11277_ (net) + 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) + 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) + 1 0.00 soc/core/_11278_ (net) + 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4058 (net) + 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) + 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net4057 (net) + 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) + 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) + 8 0.07 soc/core/net4056 (net) + 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) + 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 soc/core/_01130_ (net) + 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_01131_ (net) + 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) + 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) + 8 0.09 soc/core/_01132_ (net) + 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) + 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) + 78 0.44 soc/core/net817 (net) + 0.53 0.13 31.66 ^ soc/core/_30233_/S0 (sky130_fd_sc_hd__mux4_2) + 0.20 0.77 32.43 v soc/core/_30233_/X (sky130_fd_sc_hd__mux4_2) + 2 0.05 soc/core/_01147_ (net) + 0.20 0.01 32.44 v soc/core/_30236_/A1 (sky130_fd_sc_hd__mux4_1) + 0.09 0.58 33.02 v soc/core/_30236_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01150_ (net) + 0.09 0.00 33.02 v soc/core/_29050_/A1 (sky130_fd_sc_hd__mux2_1) + 0.10 0.38 33.40 v soc/core/_29050_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[0] (net) + 0.10 0.00 33.40 v soc/core/_31647_/D (sky130_fd_sc_hd__dfxtp_1) + 33.40 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_17_1_core_clk (net) + 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_354_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 36.82 ^ soc/core/clkbuf_leaf_354_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_354_core_clk (net) + 0.09 0.00 36.82 ^ soc/core/_31647_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.57 clock uncertainty + 1.80 38.37 clock reconvergence pessimism + -0.12 38.25 library setup time + 38.25 data required time +----------------------------------------------------------------------------- + 38.25 data required time + -33.40 data arrival time +----------------------------------------------------------------------------- + 4.84 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31299_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[20] (net) + 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) + 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) + 2 0.29 soc/core/_11247_ (net) + 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) + 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) + 2 0.15 soc/core/_11248_ (net) + 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_11249_ (net) + 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) + 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.02 soc/core/net4009 (net) + 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) + 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) + 6 0.07 soc/core/net4008 (net) + 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00742_ (net) + 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) + 1 0.01 soc/core/_00743_ (net) + 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) + 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) + 8 0.10 soc/core/_00744_ (net) + 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) + 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) + 76 0.42 soc/core/net798 (net) + 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) + 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) + 74 0.46 soc/core/net797 (net) + 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) + 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) + 76 0.43 soc/core/net796 (net) + 0.46 0.01 32.00 ^ soc/core/_30843_/S0 (sky130_fd_sc_hd__mux4_1) + 0.12 0.70 32.71 v soc/core/_30843_/X (sky130_fd_sc_hd__mux4_1) + 1 0.01 soc/core/_01018_ (net) + 0.12 0.00 32.71 v soc/core/_30847_/A0 (sky130_fd_sc_hd__mux4_1) + 0.09 0.54 33.25 v soc/core/_30847_/X (sky130_fd_sc_hd__mux4_1) + 1 0.00 soc/core/_01022_ (net) + 0.09 0.00 33.25 v soc/core/_29389_/A1 (sky130_fd_sc_hd__mux2_4) + 0.06 0.28 33.53 v soc/core/_29389_/X (sky130_fd_sc_hd__mux2_4) + 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[26] (net) + 0.06 0.00 33.53 v soc/core/hold2474/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.23 33.76 v soc/core/hold2474/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net5340 (net) + 0.12 0.01 33.77 v soc/core/_31299_/D (sky130_fd_sc_hd__dfxtp_2) + 33.77 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.18 soc/core/clknet_2_0_1_core_clk (net) + 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_0_core_clk (net) + 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_0_1_core_clk (net) + 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_3_0_2_core_clk (net) + 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.02 soc/core/clknet_4_1_0_core_clk (net) + 0.06 0.00 36.09 ^ soc/core/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 36.21 ^ soc/core/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_3_0_core_clk (net) + 0.04 0.00 36.21 ^ soc/core/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.44 0.38 36.60 ^ soc/core/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 20 0.15 soc/core/clknet_5_3_1_core_clk (net) + 0.44 0.02 36.61 ^ soc/core/clkbuf_opt_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.23 36.85 ^ soc/core/clkbuf_opt_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.04 soc/core/clknet_opt_12_0_core_clk (net) + 0.07 0.01 36.85 ^ soc/core/clkbuf_opt_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 36.99 ^ soc/core/clkbuf_opt_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.04 soc/core/clknet_opt_12_1_core_clk (net) + 0.06 0.00 37.00 ^ soc/core/clkbuf_leaf_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.16 37.16 ^ soc/core/clkbuf_leaf_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_1_core_clk (net) + 0.09 0.00 37.16 ^ soc/core/_31299_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 36.91 clock uncertainty + 1.87 38.78 clock reconvergence pessimism + -0.14 38.65 library setup time + 38.65 data required time +----------------------------------------------------------------------------- + 38.65 data required time + -33.77 data arrival time +----------------------------------------------------------------------------- + 4.87 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_31286_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 6.03 5.21 17.71 v clock (in) + 1 1.12 clock (net) + 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_2_1_1_core_clk (net) + 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 28 0.18 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) + 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) + 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_leaf_21_core_clk (net) + 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[21] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[21] (net) + 0.00 0.00 26.69 v soc/core/_22926_/B (sky130_fd_sc_hd__and2_4) + 0.29 0.38 27.07 v soc/core/_22926_/X (sky130_fd_sc_hd__and2_4) + 2 0.24 soc/core/_11241_ (net) + 0.29 0.01 27.08 v soc/core/_22927_/C1 (sky130_fd_sc_hd__a221o_4) + 0.17 0.59 27.66 v soc/core/_22927_/X (sky130_fd_sc_hd__a221o_4) + 2 0.10 soc/core/_11242_ (net) + 0.17 0.01 27.67 v soc/core/_22928_/C1 (sky130_fd_sc_hd__a221o_4) + 0.12 0.47 28.14 v soc/core/_22928_/X (sky130_fd_sc_hd__a221o_4) + 2 0.04 soc/core/_11243_ (net) + 0.12 0.01 28.15 v soc/core/_22929_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.11 0.53 28.68 v soc/core/_22929_/X (sky130_fd_sc_hd__a2111o_4) + 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[21] (net) + 0.11 0.00 28.68 v soc/core/hold1026/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.68 29.36 v soc/core/hold1026/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 soc/core/net3893 (net) + 0.11 0.00 29.36 v soc/core/hold1027/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.25 29.61 v soc/core/hold1027/X (sky130_fd_sc_hd__clkbuf_4) + 6 0.05 soc/core/net3892 (net) + 0.11 0.01 29.62 v soc/core/_29694_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.36 29.98 v soc/core/_29694_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/_00745_ (net) + 0.09 0.00 29.98 v soc/core/_29695_/A0 (sky130_fd_sc_hd__mux2_8) + 0.12 0.40 30.38 v soc/core/_29695_/X (sky130_fd_sc_hd__mux2_8) + 4 0.07 soc/core/_00746_ (net) + 0.12 0.00 30.38 v soc/core/repeater805/A (sky130_fd_sc_hd__buf_12) + 0.14 0.20 30.58 v soc/core/repeater805/X (sky130_fd_sc_hd__buf_12) + 72 0.37 soc/core/net805 (net) + 0.26 0.11 30.69 v soc/core/repeater804/A (sky130_fd_sc_hd__buf_12) + 0.15 0.29 30.98 v soc/core/repeater804/X (sky130_fd_sc_hd__buf_12) + 82 0.41 soc/core/net804 (net) + 0.28 0.12 31.10 v soc/core/repeater801/A (sky130_fd_sc_hd__buf_12) + 0.11 0.28 31.38 v soc/core/repeater801/X (sky130_fd_sc_hd__buf_12) + 90 0.44 soc/core/net801 (net) + 0.47 0.23 31.61 v soc/core/repeater800/A (sky130_fd_sc_hd__buf_12) + 0.10 0.35 31.96 v soc/core/repeater800/X (sky130_fd_sc_hd__buf_12) + 54 0.27 soc/core/net800 (net) + 0.20 0.08 32.04 v soc/core/_30715_/S1 (sky130_fd_sc_hd__mux4_2) + 0.12 0.41 32.45 v soc/core/_30715_/X (sky130_fd_sc_hd__mux4_2) + 1 0.01 soc/core/_00890_ (net) + 0.12 0.00 32.45 v soc/core/_30717_/A2 (sky130_fd_sc_hd__mux4_2) + 0.16 0.63 33.08 v soc/core/_30717_/X (sky130_fd_sc_hd__mux4_2) + 2 0.03 soc/core/_00892_ (net) + 0.16 0.01 33.08 v soc/core/_29376_/A1 (sky130_fd_sc_hd__mux2_4) + 0.10 0.38 33.46 v soc/core/_29376_/X (sky130_fd_sc_hd__mux2_4) + 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[13] (net) + 0.10 0.01 33.47 v soc/core/_31286_/D (sky130_fd_sc_hd__dfxtp_1) + 33.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 10.20 7.26 32.26 ^ clock (in) + 1 1.12 clock (net) + 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.01 clock_core (net) + 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_190_ (net) + 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) + 4 0.01 clocking/net10 (net) + 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.03 soc/core/clknet_0_core_clk (net) + 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_16_1_core_clk (net) + 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_335_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.30 36.94 ^ soc/core/clkbuf_leaf_335_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_335_core_clk (net) + 0.08 0.00 36.94 ^ soc/core/_31286_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 36.69 clock uncertainty + 1.80 38.48 clock reconvergence pessimism + -0.13 38.36 library setup time + 38.36 data required time +----------------------------------------------------------------------------- + 38.36 data required time + -33.47 data arrival time +----------------------------------------------------------------------------- + 4.89 slack (MET) + + +worst slack -10.79 +worst slack -3.14