diff --git a/def/caravel_clocking.def b/def/caravel_clocking.def index 95284de4..2c57708b 100644 --- a/def/caravel_clocking.def +++ b/def/caravel_clocking.def @@ -44,375 +44,357 @@ VIAS 4 ; - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ; - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ; END VIAS -COMPONENTS 867 ; - - ANTENNA__274__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 72220 19040 ) FS ; - - ANTENNA__278__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 40480 5440 ) N ; - - ANTENNA__310__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 46920 2720 ) FS ; - - ANTENNA__313__A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 10880 ) N ; - - ANTENNA__315__S sky130_fd_sc_hd__diode_2 + PLACED ( 16100 10880 ) N ; - - ANTENNA__317__S sky130_fd_sc_hd__diode_2 + PLACED ( 11960 16320 ) N ; - - ANTENNA__338__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 16560 16320 ) N ; - - ANTENNA__343__S sky130_fd_sc_hd__diode_2 + PLACED ( 40940 51680 ) FS ; - - ANTENNA__350__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 57500 16320 ) N ; - - ANTENNA__385__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 78200 0 ) FN ; - - ANTENNA__386__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 76820 10880 ) N ; - - ANTENNA__404__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 42320 13600 ) FS ; - - ANTENNA__405__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 35880 16320 ) N ; - - ANTENNA__414__D sky130_fd_sc_hd__diode_2 + PLACED ( 82340 13600 ) FS ; - - ANTENNA__421__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 79120 48960 ) N ; - - ANTENNA__422__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 73140 46240 ) FS ; - - ANTENNA__423__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 66240 51680 ) FS ; - - ANTENNA__424__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 58880 24480 ) FS ; - - ANTENNA__425__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 49680 46240 ) FS ; - - ANTENNA__426__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 48960 ) N ; - - ANTENNA__427__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 69460 8160 ) FS ; - - ANTENNA__428__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 73140 19040 ) FS ; - - ANTENNA__429__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 73600 24480 ) FS ; - - ANTENNA__430__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 10880 ) N ; - - ANTENNA__431__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 76820 16320 ) N ; - - ANTENNA__432__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 21760 ) N ; - - ANTENNA__433__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 79580 32640 ) N ; - - ANTENNA__434__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 73140 40800 ) FS ; - - ANTENNA__435__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 61640 46240 ) FS ; - - ANTENNA__436__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 38080 ) N ; - - ANTENNA__437__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 48960 ) N ; - - ANTENNA__438__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 66240 43520 ) N ; - - ANTENNA__439__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 24480 ) FS ; - - ANTENNA__440__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 72680 2720 ) S ; - - ANTENNA__441__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 82800 2720 ) S ; - - ANTENNA__442__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 52900 5440 ) N ; - - ANTENNA__443__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 60260 13600 ) FS ; - - ANTENNA__444__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 11040 19040 ) FS ; - - ANTENNA__445__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 13600 ) FS ; - - ANTENNA__446__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 8160 ) FS ; - - ANTENNA__447__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 8160 ) FS ; - - ANTENNA__448__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 17940 8160 ) FS ; - - ANTENNA__449__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 11040 2720 ) S ; - - ANTENNA__450__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 25760 2720 ) S ; - - ANTENNA__451__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 19320 10880 ) N ; - - ANTENNA__452__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 23000 19040 ) FS ; - - ANTENNA__453__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 36800 24480 ) FS ; - - ANTENNA__454__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 40940 10880 ) N ; - - ANTENNA__455__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 75440 38080 ) N ; - - ANTENNA__456__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 11960 38080 ) N ; - - ANTENNA__457__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 16100 51680 ) FS ; - - ANTENNA__458__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 46240 ) FS ; - - ANTENNA__459__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 29900 46240 ) FS ; - - ANTENNA__460__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 29920 ) FS ; - - ANTENNA__461__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 12420 24480 ) FS ; - - ANTENNA__462__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 32640 ) N ; - - ANTENNA__463__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 19320 24480 ) FS ; - - ANTENNA__464__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 29440 24480 ) FS ; - - ANTENNA__465__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 27200 ) N ; - - ANTENNA__466__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 52900 43520 ) N ; - - ANTENNA__467__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 41400 35360 ) FS ; - - ANTENNA__468__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 79120 27200 ) N ; - - ANTENNA__469__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 58880 29920 ) FS ; - - ANTENNA__470__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 67160 19040 ) FS ; +COMPONENTS 871 ; + - ANTENNA__283__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 76360 16320 ) N ; + - ANTENNA__322__A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 10880 ) FN ; + - ANTENNA__323__B_N sky130_fd_sc_hd__diode_2 + PLACED ( 87860 13600 ) FS ; + - ANTENNA__347__S sky130_fd_sc_hd__diode_2 + PLACED ( 42320 48960 ) N ; + - ANTENNA__349__B sky130_fd_sc_hd__diode_2 + PLACED ( 58880 24480 ) FS ; + - ANTENNA__421__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 36340 0 ) N ; + - ANTENNA__422__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 38180 8160 ) FS ; + - ANTENNA__425__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 22540 16320 ) N ; + - ANTENNA__439__D sky130_fd_sc_hd__diode_2 + PLACED ( 88780 13600 ) FS ; + - ANTENNA__445__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 79120 48960 ) N ; + - ANTENNA__446__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 72680 46240 ) FS ; + - ANTENNA__447__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 64860 48960 ) N ; + - ANTENNA__448__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 59340 21760 ) N ; + - ANTENNA__449__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 54280 51680 ) FS ; + - ANTENNA__450__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 43700 48960 ) N ; + - ANTENNA__451__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 16320 ) N ; + - ANTENNA__452__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 84180 19040 ) FS ; + - ANTENNA__453__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 61180 19040 ) FS ; + - ANTENNA__454__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 84180 13600 ) FS ; + - ANTENNA__455__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 85100 19040 ) FS ; + - ANTENNA__456__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 66240 21760 ) N ; + - ANTENNA__457__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 76820 27200 ) N ; + - ANTENNA__458__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 67620 40800 ) FS ; + - ANTENNA__459__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 58880 46240 ) FS ; + - ANTENNA__460__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 32640 ) N ; + - ANTENNA__461__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 81880 35360 ) FS ; + - ANTENNA__462__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 78200 43520 ) N ; + - ANTENNA__463__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 43240 21760 ) N ; + - ANTENNA__464__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 79580 5440 ) N ; + - ANTENNA__465__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 69000 2720 ) FS ; + - ANTENNA__466__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 48300 2720 ) FS ; + - ANTENNA__467__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 66240 10880 ) N ; + - ANTENNA__468__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 11960 16320 ) N ; + - ANTENNA__469__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 16100 21760 ) N ; + - ANTENNA__470__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 29900 19040 ) FS ; + - ANTENNA__471__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 58880 13600 ) FS ; + - ANTENNA__472__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 18400 5440 ) N ; + - ANTENNA__473__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 12420 0 ) FN ; + - ANTENNA__474__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 13600 ) FS ; + - ANTENNA__475__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 37260 0 ) FN ; + - ANTENNA__476__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 23000 2720 ) FS ; + - ANTENNA__477__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 24840 16320 ) N ; + - ANTENNA__478__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 39100 16320 ) N ; + - ANTENNA__479__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 72220 32640 ) N ; + - ANTENNA__480__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 14720 43520 ) N ; + - ANTENNA__481__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 11040 46240 ) FS ; + - ANTENNA__482__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 19780 48960 ) N ; + - ANTENNA__483__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 28980 48960 ) N ; + - ANTENNA__484__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 19780 27200 ) N ; + - ANTENNA__485__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 16100 27200 ) N ; + - ANTENNA__486__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 10580 29920 ) FS ; + - ANTENNA__487__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 28980 32640 ) N ; + - ANTENNA__488__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 30360 38080 ) N ; + - ANTENNA__489__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 36800 46240 ) FS ; + - ANTENNA__490__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 66240 38080 ) N ; + - ANTENNA__491__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 45540 29920 ) FS ; + - ANTENNA__492__SET_B sky130_fd_sc_hd__diode_2 + PLACED ( 70840 24480 ) FS ; + - ANTENNA__493__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 54740 27200 ) N ; + - ANTENNA__494__RESET_B sky130_fd_sc_hd__diode_2 + PLACED ( 69920 27200 ) N ; - ANTENNA_clkbuf_0_ext_clk_A sky130_fd_sc_hd__diode_2 + PLACED ( 48760 46240 ) S ; - - ANTENNA_clkbuf_0_pll_clk90_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 35360 ) FS ; - - ANTENNA_clkbuf_0_pll_clk_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 24480 ) S ; - - ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 2720 ) S ; + - ANTENNA_clkbuf_0_pll_clk90_A sky130_fd_sc_hd__diode_2 + PLACED ( 59800 38080 ) N ; + - ANTENNA_clkbuf_0_pll_clk_A sky130_fd_sc_hd__diode_2 + PLACED ( 52440 27200 ) N ; + - ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 0 ) FN ; - ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 88780 51680 ) S ; - ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 6440 43520 ) FN ; - ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 32640 ) FN ; - ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 40800 ) S ; - ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 48960 ) FN ; - - ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 10880 ) FN ; - - ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 19040 ) S ; - - ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 24480 ) S ; - - FILLER_0_103 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 0 ) N ; - - FILLER_0_105 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 0 ) N ; + - ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 89700 13600 ) S ; + - ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 16320 ) FN ; + - ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 27200 ) FN ; + - FILLER_0_101 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 0 ) N ; + - FILLER_0_105 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 0 ) N ; - FILLER_0_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 0 ) N ; - - FILLER_0_118 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 0 ) N ; - - FILLER_0_135 sky130_fd_sc_hd__decap_8 + PLACED ( 62100 0 ) N ; - - FILLER_0_14 sky130_fd_sc_hd__decap_12 + PLACED ( 6440 0 ) N ; - - FILLER_0_150 sky130_fd_sc_hd__decap_6 + PLACED ( 69000 0 ) N ; - - FILLER_0_157 sky130_fd_sc_hd__decap_12 + PLACED ( 72220 0 ) N ; - - FILLER_0_180 sky130_fd_sc_hd__fill_2 + PLACED ( 82800 0 ) N ; - - FILLER_0_190 sky130_fd_sc_hd__decap_4 + PLACED ( 87400 0 ) N ; - - FILLER_0_194 sky130_fd_sc_hd__fill_1 + PLACED ( 89240 0 ) N ; - - FILLER_0_196 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 0 ) N ; - - FILLER_0_27 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 0 ) N ; + - FILLER_0_113 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 0 ) N ; + - FILLER_0_122 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 0 ) N ; + - FILLER_0_131 sky130_fd_sc_hd__fill_1 + PLACED ( 60260 0 ) N ; + - FILLER_0_142 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 0 ) N ; + - FILLER_0_144 sky130_fd_sc_hd__decap_12 + PLACED ( 66240 0 ) N ; + - FILLER_0_157 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 0 ) N ; + - FILLER_0_165 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 0 ) N ; + - FILLER_0_17 sky130_fd_sc_hd__decap_8 + PLACED ( 7820 0 ) N ; + - FILLER_0_170 sky130_fd_sc_hd__decap_12 + PLACED ( 78200 0 ) N ; + - FILLER_0_189 sky130_fd_sc_hd__decap_6 + PLACED ( 86940 0 ) N ; + - FILLER_0_196 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 0 ) N ; + - FILLER_0_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 0 ) N ; + - FILLER_0_29 sky130_fd_sc_hd__decap_3 + PLACED ( 13340 0 ) N ; - FILLER_0_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 0 ) N ; - FILLER_0_40 sky130_fd_sc_hd__decap_12 + PLACED ( 18400 0 ) N ; - - FILLER_0_53 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 0 ) N ; - - FILLER_0_57 sky130_fd_sc_hd__fill_1 + PLACED ( 26220 0 ) N ; - - FILLER_0_69 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 0 ) N ; - - FILLER_0_77 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 0 ) N ; - - FILLER_0_79 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 0 ) N ; - - FILLER_0_92 sky130_fd_sc_hd__decap_6 + PLACED ( 42320 0 ) N ; - - FILLER_0_98 sky130_fd_sc_hd__fill_1 + PLACED ( 45080 0 ) N ; - - FILLER_10_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 27200 ) N ; - - FILLER_10_125 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 27200 ) N ; - - FILLER_10_137 sky130_fd_sc_hd__decap_6 + PLACED ( 63020 27200 ) N ; - - FILLER_10_14 sky130_fd_sc_hd__decap_12 + PLACED ( 6440 27200 ) N ; - - FILLER_10_144 sky130_fd_sc_hd__decap_8 + PLACED ( 66240 27200 ) N ; - - FILLER_10_152 sky130_fd_sc_hd__fill_2 + PLACED ( 69920 27200 ) N ; - - FILLER_10_161 sky130_fd_sc_hd__decap_8 + PLACED ( 74060 27200 ) N ; - - FILLER_10_170 sky130_fd_sc_hd__fill_2 + PLACED ( 78200 27200 ) N ; - - FILLER_10_200 sky130_fd_sc_hd__fill_2 + PLACED ( 92000 27200 ) N ; - - FILLER_10_3 sky130_fd_sc_hd__decap_3 + PLACED ( 1380 27200 ) N ; - - FILLER_10_32 sky130_fd_sc_hd__fill_1 + PLACED ( 14720 27200 ) N ; - - FILLER_10_92 sky130_fd_sc_hd__decap_3 + PLACED ( 42320 27200 ) N ; - - FILLER_11_125 sky130_fd_sc_hd__decap_3 + PLACED ( 57500 29920 ) FS ; - - FILLER_11_151 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 29920 ) FS ; - - FILLER_11_174 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 29920 ) FS ; - - FILLER_11_178 sky130_fd_sc_hd__fill_1 + PLACED ( 81880 29920 ) FS ; + - FILLER_0_61 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 0 ) N ; + - FILLER_0_66 sky130_fd_sc_hd__decap_3 + PLACED ( 30360 0 ) N ; + - FILLER_0_83 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 0 ) N ; + - FILLER_10_116 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 27200 ) N ; + - FILLER_10_118 sky130_fd_sc_hd__fill_1 + PLACED ( 54280 27200 ) N ; + - FILLER_10_141 sky130_fd_sc_hd__fill_2 + PLACED ( 64860 27200 ) N ; + - FILLER_10_151 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 27200 ) N ; + - FILLER_10_166 sky130_fd_sc_hd__fill_1 + PLACED ( 76360 27200 ) N ; + - FILLER_10_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 27200 ) N ; + - FILLER_10_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 27200 ) N ; + - FILLER_10_3 sky130_fd_sc_hd__decap_4 + PLACED ( 1380 27200 ) N ; + - FILLER_10_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 27200 ) N ; + - FILLER_10_40 sky130_fd_sc_hd__decap_3 + PLACED ( 18400 27200 ) N ; + - FILLER_10_7 sky130_fd_sc_hd__fill_1 + PLACED ( 3220 27200 ) N ; + - FILLER_10_73 sky130_fd_sc_hd__fill_2 + PLACED ( 33580 27200 ) N ; + - FILLER_10_92 sky130_fd_sc_hd__fill_2 + PLACED ( 42320 27200 ) N ; + - FILLER_11_101 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 29920 ) FS ; + - FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 29920 ) FS ; + - FILLER_11_117 sky130_fd_sc_hd__decap_8 + PLACED ( 53820 29920 ) FS ; + - FILLER_11_125 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 29920 ) FS ; + - FILLER_11_131 sky130_fd_sc_hd__decap_3 + PLACED ( 60260 29920 ) FS ; + - FILLER_11_143 sky130_fd_sc_hd__decap_3 + PLACED ( 65780 29920 ) FS ; + - FILLER_11_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 29920 ) FS ; + - FILLER_11_157 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 29920 ) FS ; + - FILLER_11_193 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 29920 ) FS ; - FILLER_11_201 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 29920 ) FS ; - FILLER_11_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 29920 ) FS ; - - FILLER_11_27 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 29920 ) FS ; - - FILLER_11_46 sky130_fd_sc_hd__decap_6 + PLACED ( 21160 29920 ) FS ; - - FILLER_11_66 sky130_fd_sc_hd__decap_3 + PLACED ( 30360 29920 ) FS ; - - FILLER_11_79 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 29920 ) FS ; - - FILLER_11_83 sky130_fd_sc_hd__fill_1 + PLACED ( 38180 29920 ) FS ; + - FILLER_11_30 sky130_fd_sc_hd__decap_3 + PLACED ( 13800 29920 ) FS ; + - FILLER_11_42 sky130_fd_sc_hd__fill_1 + PLACED ( 19320 29920 ) FS ; + - FILLER_11_49 sky130_fd_sc_hd__decap_3 + PLACED ( 22540 29920 ) FS ; + - FILLER_11_53 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 29920 ) FS ; + - FILLER_11_75 sky130_fd_sc_hd__decap_3 + PLACED ( 34500 29920 ) FS ; + - FILLER_11_79 sky130_fd_sc_hd__fill_2 + PLACED ( 36340 29920 ) FS ; - FILLER_12_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 32640 ) N ; - FILLER_12_116 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 32640 ) N ; - - FILLER_12_134 sky130_fd_sc_hd__fill_2 + PLACED ( 61640 32640 ) N ; - FILLER_12_139 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 32640 ) N ; - - FILLER_12_14 sky130_fd_sc_hd__decap_8 + PLACED ( 6440 32640 ) N ; - - FILLER_12_170 sky130_fd_sc_hd__decap_3 + PLACED ( 78200 32640 ) N ; + - FILLER_12_14 sky130_fd_sc_hd__decap_3 + PLACED ( 6440 32640 ) N ; + - FILLER_12_144 sky130_fd_sc_hd__decap_8 + PLACED ( 66240 32640 ) N ; + - FILLER_12_152 sky130_fd_sc_hd__fill_1 + PLACED ( 69920 32640 ) N ; + - FILLER_12_159 sky130_fd_sc_hd__fill_1 + PLACED ( 73140 32640 ) N ; - FILLER_12_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 32640 ) N ; - - FILLER_12_22 sky130_fd_sc_hd__fill_1 + PLACED ( 10120 32640 ) N ; - - FILLER_12_25 sky130_fd_sc_hd__fill_2 + PLACED ( 11500 32640 ) N ; - - FILLER_12_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 32640 ) N ; - - FILLER_12_40 sky130_fd_sc_hd__decap_3 + PLACED ( 18400 32640 ) N ; - - FILLER_12_60 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 32640 ) N ; - - FILLER_12_66 sky130_fd_sc_hd__fill_1 + PLACED ( 30360 32640 ) N ; + - FILLER_12_3 sky130_fd_sc_hd__decap_4 + PLACED ( 1380 32640 ) N ; + - FILLER_12_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 32640 ) N ; + - FILLER_12_40 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 32640 ) N ; + - FILLER_12_59 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 32640 ) N ; + - FILLER_12_7 sky130_fd_sc_hd__fill_1 + PLACED ( 3220 32640 ) N ; + - FILLER_12_92 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 32640 ) N ; - FILLER_13_101 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 35360 ) FS ; - FILLER_13_105 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 35360 ) FS ; - - FILLER_13_131 sky130_fd_sc_hd__decap_12 + PLACED ( 60260 35360 ) FS ; - - FILLER_13_143 sky130_fd_sc_hd__decap_3 + PLACED ( 65780 35360 ) FS ; - - FILLER_13_178 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 35360 ) FS ; - - FILLER_13_183 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 35360 ) FS ; - - FILLER_13_195 sky130_fd_sc_hd__decap_6 + PLACED ( 89700 35360 ) FS ; - - FILLER_13_201 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 35360 ) FS ; - - FILLER_13_31 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 35360 ) FS ; - - FILLER_13_39 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 35360 ) FS ; - - FILLER_13_43 sky130_fd_sc_hd__fill_1 + PLACED ( 19780 35360 ) FS ; - - FILLER_13_53 sky130_fd_sc_hd__fill_2 + PLACED ( 24380 35360 ) FS ; - - FILLER_13_68 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 35360 ) FS ; - - FILLER_13_76 sky130_fd_sc_hd__fill_2 + PLACED ( 34960 35360 ) FS ; - - FILLER_13_79 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 35360 ) FS ; - - FILLER_13_89 sky130_fd_sc_hd__fill_1 + PLACED ( 40940 35360 ) FS ; + - FILLER_13_126 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 35360 ) FS ; + - FILLER_13_138 sky130_fd_sc_hd__decap_8 + PLACED ( 63480 35360 ) FS ; + - FILLER_13_146 sky130_fd_sc_hd__fill_1 + PLACED ( 67160 35360 ) FS ; + - FILLER_13_15 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 35360 ) FS ; + - FILLER_13_180 sky130_fd_sc_hd__fill_2 + PLACED ( 82800 35360 ) FS ; + - FILLER_13_19 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 35360 ) FS ; + - FILLER_13_190 sky130_fd_sc_hd__decap_12 + PLACED ( 87400 35360 ) FS ; + - FILLER_13_3 sky130_fd_sc_hd__decap_12 + PLACED ( 1380 35360 ) FS ; + - FILLER_13_34 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 35360 ) FS ; + - FILLER_13_49 sky130_fd_sc_hd__decap_3 + PLACED ( 22540 35360 ) FS ; + - FILLER_13_61 sky130_fd_sc_hd__fill_2 + PLACED ( 28060 35360 ) FS ; + - FILLER_13_68 sky130_fd_sc_hd__fill_2 + PLACED ( 31280 35360 ) FS ; - FILLER_14_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 38080 ) N ; - - FILLER_14_115 sky130_fd_sc_hd__fill_2 + PLACED ( 52900 38080 ) N ; - - FILLER_14_118 sky130_fd_sc_hd__decap_4 + PLACED ( 54280 38080 ) N ; - - FILLER_14_122 sky130_fd_sc_hd__fill_1 + PLACED ( 56120 38080 ) N ; - - FILLER_14_14 sky130_fd_sc_hd__decap_4 + PLACED ( 6440 38080 ) N ; - - FILLER_14_144 sky130_fd_sc_hd__decap_4 + PLACED ( 66240 38080 ) N ; - - FILLER_14_148 sky130_fd_sc_hd__fill_1 + PLACED ( 68080 38080 ) N ; - - FILLER_14_166 sky130_fd_sc_hd__decap_3 + PLACED ( 76360 38080 ) N ; - - FILLER_14_18 sky130_fd_sc_hd__fill_1 + PLACED ( 8280 38080 ) N ; + - FILLER_14_116 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 38080 ) N ; + - FILLER_14_132 sky130_fd_sc_hd__decap_4 + PLACED ( 60720 38080 ) N ; + - FILLER_14_142 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 38080 ) N ; + - FILLER_14_146 sky130_fd_sc_hd__decap_4 + PLACED ( 67160 38080 ) N ; + - FILLER_14_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 38080 ) N ; - FILLER_14_196 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 38080 ) N ; - - FILLER_14_22 sky130_fd_sc_hd__fill_1 + PLACED ( 10120 38080 ) N ; - FILLER_14_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 38080 ) N ; - - FILLER_14_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 38080 ) N ; + - FILLER_14_36 sky130_fd_sc_hd__decap_3 + PLACED ( 16560 38080 ) N ; - FILLER_14_40 sky130_fd_sc_hd__decap_12 + PLACED ( 18400 38080 ) N ; - - FILLER_14_52 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 38080 ) N ; - - FILLER_14_56 sky130_fd_sc_hd__fill_1 + PLACED ( 25760 38080 ) N ; - - FILLER_14_61 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 38080 ) N ; - - FILLER_14_74 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 38080 ) N ; - - FILLER_14_78 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 38080 ) N ; - - FILLER_14_84 sky130_fd_sc_hd__fill_1 + PLACED ( 38640 38080 ) N ; - - FILLER_15_105 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 40800 ) FS ; - - FILLER_15_134 sky130_fd_sc_hd__fill_2 + PLACED ( 61640 40800 ) FS ; - - FILLER_15_148 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 40800 ) FS ; - - FILLER_15_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 40800 ) FS ; - - FILLER_15_157 sky130_fd_sc_hd__fill_2 + PLACED ( 72220 40800 ) FS ; - - FILLER_15_183 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 40800 ) FS ; - - FILLER_15_195 sky130_fd_sc_hd__fill_2 + PLACED ( 89700 40800 ) FS ; - - FILLER_15_31 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 40800 ) FS ; - - FILLER_15_50 sky130_fd_sc_hd__fill_2 + PLACED ( 23000 40800 ) FS ; - - FILLER_15_79 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 40800 ) FS ; - - FILLER_15_83 sky130_fd_sc_hd__fill_1 + PLACED ( 38180 40800 ) FS ; - - FILLER_15_88 sky130_fd_sc_hd__decap_4 + PLACED ( 40480 40800 ) FS ; - - FILLER_16_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 43520 ) N ; - - FILLER_16_114 sky130_fd_sc_hd__fill_1 + PLACED ( 52440 43520 ) N ; - - FILLER_16_139 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 43520 ) N ; - - FILLER_16_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 43520 ) N ; + - FILLER_14_52 sky130_fd_sc_hd__decap_6 + PLACED ( 23920 38080 ) N ; + - FILLER_14_89 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 38080 ) N ; + - FILLER_14_92 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 38080 ) N ; + - FILLER_15_113 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 40800 ) FS ; + - FILLER_15_146 sky130_fd_sc_hd__fill_1 + PLACED ( 67160 40800 ) FS ; + - FILLER_15_160 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 40800 ) FS ; + - FILLER_15_192 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 40800 ) FS ; + - FILLER_15_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 40800 ) FS ; + - FILLER_15_53 sky130_fd_sc_hd__decap_3 + PLACED ( 24380 40800 ) FS ; + - FILLER_15_59 sky130_fd_sc_hd__decap_3 + PLACED ( 27140 40800 ) FS ; + - FILLER_15_70 sky130_fd_sc_hd__fill_1 + PLACED ( 32200 40800 ) FS ; + - FILLER_15_79 sky130_fd_sc_hd__fill_2 + PLACED ( 36340 40800 ) FS ; + - FILLER_16_104 sky130_fd_sc_hd__fill_1 + PLACED ( 47840 43520 ) N ; + - FILLER_16_127 sky130_fd_sc_hd__fill_2 + PLACED ( 58420 43520 ) N ; + - FILLER_16_142 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 43520 ) N ; + - FILLER_16_165 sky130_fd_sc_hd__fill_1 + PLACED ( 75900 43520 ) N ; - FILLER_16_196 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 43520 ) N ; - - FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 43520 ) N ; - - FILLER_16_35 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 43520 ) N ; - - FILLER_16_40 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 43520 ) N ; - - FILLER_16_53 sky130_fd_sc_hd__fill_1 + PLACED ( 24380 43520 ) N ; - - FILLER_16_63 sky130_fd_sc_hd__fill_2 + PLACED ( 28980 43520 ) N ; - - FILLER_16_66 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 43520 ) N ; - - FILLER_16_90 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 43520 ) N ; - - FILLER_16_92 sky130_fd_sc_hd__fill_2 + PLACED ( 42320 43520 ) N ; + - FILLER_16_3 sky130_fd_sc_hd__decap_6 + PLACED ( 1380 43520 ) N ; + - FILLER_16_34 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 43520 ) N ; + - FILLER_16_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 43520 ) N ; + - FILLER_16_40 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 43520 ) N ; + - FILLER_16_50 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 43520 ) N ; + - FILLER_16_62 sky130_fd_sc_hd__decap_3 + PLACED ( 28520 43520 ) N ; + - FILLER_16_66 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 43520 ) N ; + - FILLER_16_78 sky130_fd_sc_hd__fill_2 + PLACED ( 35880 43520 ) N ; + - FILLER_16_88 sky130_fd_sc_hd__decap_3 + PLACED ( 40480 43520 ) N ; + - FILLER_16_9 sky130_fd_sc_hd__fill_1 + PLACED ( 4140 43520 ) N ; - FILLER_17_102 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 46240 ) FS ; - FILLER_17_105 sky130_fd_sc_hd__fill_1 + PLACED ( 48300 46240 ) FS ; - - FILLER_17_131 sky130_fd_sc_hd__decap_3 + PLACED ( 60260 46240 ) FS ; - - FILLER_17_157 sky130_fd_sc_hd__fill_2 + PLACED ( 72220 46240 ) FS ; + - FILLER_17_135 sky130_fd_sc_hd__fill_1 + PLACED ( 62100 46240 ) FS ; + - FILLER_17_157 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 46240 ) FS ; + - FILLER_17_181 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 46240 ) FS ; - FILLER_17_191 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 46240 ) FS ; - FILLER_17_199 sky130_fd_sc_hd__decap_3 + PLACED ( 91540 46240 ) FS ; - - FILLER_17_40 sky130_fd_sc_hd__decap_3 + PLACED ( 18400 46240 ) FS ; - - FILLER_17_50 sky130_fd_sc_hd__fill_2 + PLACED ( 23000 46240 ) FS ; - - FILLER_17_53 sky130_fd_sc_hd__decap_3 + PLACED ( 24380 46240 ) FS ; - - FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 46240 ) FS ; - - FILLER_17_72 sky130_fd_sc_hd__decap_6 + PLACED ( 33120 46240 ) FS ; - - FILLER_18_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 48960 ) N ; - - FILLER_18_142 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 48960 ) N ; - - FILLER_18_144 sky130_fd_sc_hd__fill_1 + PLACED ( 66240 48960 ) N ; - - FILLER_18_166 sky130_fd_sc_hd__decap_3 + PLACED ( 76360 48960 ) N ; + - FILLER_17_47 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 46240 ) FS ; + - FILLER_17_51 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 46240 ) FS ; + - FILLER_17_75 sky130_fd_sc_hd__decap_3 + PLACED ( 34500 46240 ) FS ; + - FILLER_17_79 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 46240 ) FS ; + - FILLER_18_138 sky130_fd_sc_hd__decap_3 + PLACED ( 63480 48960 ) N ; + - FILLER_18_165 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 48960 ) N ; + - FILLER_18_170 sky130_fd_sc_hd__fill_2 + PLACED ( 78200 48960 ) N ; - FILLER_18_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 48960 ) N ; - - FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 48960 ) N ; - - FILLER_18_35 sky130_fd_sc_hd__fill_1 + PLACED ( 16100 48960 ) N ; - - FILLER_18_56 sky130_fd_sc_hd__fill_2 + PLACED ( 25760 48960 ) N ; - - FILLER_18_64 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 48960 ) N ; + - FILLER_18_3 sky130_fd_sc_hd__fill_1 + PLACED ( 1380 48960 ) N ; + - FILLER_18_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 48960 ) N ; + - FILLER_18_45 sky130_fd_sc_hd__decap_12 + PLACED ( 20700 48960 ) N ; + - FILLER_18_57 sky130_fd_sc_hd__decap_6 + PLACED ( 26220 48960 ) N ; - FILLER_18_87 sky130_fd_sc_hd__decap_4 + PLACED ( 40020 48960 ) N ; + - FILLER_18_9 sky130_fd_sc_hd__fill_1 + PLACED ( 4140 48960 ) N ; + - FILLER_18_94 sky130_fd_sc_hd__fill_1 + PLACED ( 43240 48960 ) N ; - FILLER_19_101 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 51680 ) FS ; + - FILLER_19_105 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 51680 ) FS ; - FILLER_19_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 51680 ) FS ; + - FILLER_19_112 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 51680 ) FS ; - FILLER_19_116 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 51680 ) FS ; - - FILLER_19_127 sky130_fd_sc_hd__decap_3 + PLACED ( 58420 51680 ) FS ; - - FILLER_19_14 sky130_fd_sc_hd__fill_1 + PLACED ( 6440 51680 ) FS ; + - FILLER_19_120 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 51680 ) FS ; + - FILLER_19_128 sky130_fd_sc_hd__fill_2 + PLACED ( 58880 51680 ) FS ; + - FILLER_19_14 sky130_fd_sc_hd__decap_6 + PLACED ( 6440 51680 ) FS ; - FILLER_19_140 sky130_fd_sc_hd__decap_3 + PLACED ( 64400 51680 ) FS ; - - FILLER_19_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 51680 ) FS ; - - FILLER_19_160 sky130_fd_sc_hd__fill_2 + PLACED ( 73600 51680 ) FS ; - - FILLER_19_167 sky130_fd_sc_hd__fill_2 + PLACED ( 76820 51680 ) FS ; + - FILLER_19_144 sky130_fd_sc_hd__fill_1 + PLACED ( 66240 51680 ) FS ; + - FILLER_19_154 sky130_fd_sc_hd__fill_2 + PLACED ( 70840 51680 ) FS ; + - FILLER_19_160 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 51680 ) FS ; + - FILLER_19_166 sky130_fd_sc_hd__decap_3 + PLACED ( 76360 51680 ) FS ; - FILLER_19_178 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 51680 ) FS ; - FILLER_19_188 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 51680 ) FS ; - FILLER_19_192 sky130_fd_sc_hd__fill_1 + PLACED ( 88320 51680 ) FS ; - - FILLER_19_20 sky130_fd_sc_hd__decap_6 + PLACED ( 9200 51680 ) FS ; - - FILLER_19_27 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 51680 ) FS ; + - FILLER_19_20 sky130_fd_sc_hd__fill_1 + PLACED ( 9200 51680 ) FS ; + - FILLER_19_24 sky130_fd_sc_hd__fill_2 + PLACED ( 11040 51680 ) FS ; + - FILLER_19_27 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 51680 ) FS ; - FILLER_19_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 51680 ) FS ; - - FILLER_19_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 51680 ) FS ; - FILLER_19_47 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 51680 ) FS ; - FILLER_19_51 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 51680 ) FS ; - FILLER_19_53 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 51680 ) FS ; - - FILLER_19_66 sky130_fd_sc_hd__decap_12 + PLACED ( 30360 51680 ) FS ; - - FILLER_19_86 sky130_fd_sc_hd__decap_3 + PLACED ( 39560 51680 ) FS ; - - FILLER_1_114 sky130_fd_sc_hd__decap_8 + PLACED ( 52440 2720 ) FS ; - - FILLER_1_122 sky130_fd_sc_hd__decap_3 + PLACED ( 56120 2720 ) FS ; - - FILLER_1_129 sky130_fd_sc_hd__fill_1 + PLACED ( 59340 2720 ) FS ; - - FILLER_1_15 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 2720 ) FS ; - - FILLER_1_157 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 2720 ) FS ; - - FILLER_1_183 sky130_fd_sc_hd__fill_2 + PLACED ( 84180 2720 ) FS ; - - FILLER_1_194 sky130_fd_sc_hd__decap_3 + PLACED ( 89240 2720 ) FS ; - - FILLER_1_23 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 2720 ) FS ; - - FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 1380 2720 ) FS ; - - FILLER_1_85 sky130_fd_sc_hd__fill_2 + PLACED ( 39100 2720 ) FS ; - - FILLER_1_97 sky130_fd_sc_hd__fill_1 + PLACED ( 44620 2720 ) FS ; - - FILLER_2_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 5440 ) N ; - - FILLER_2_113 sky130_fd_sc_hd__fill_2 + PLACED ( 51980 5440 ) N ; - - FILLER_2_138 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 5440 ) N ; - - FILLER_2_14 sky130_fd_sc_hd__decap_4 + PLACED ( 6440 5440 ) N ; - - FILLER_2_142 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 5440 ) N ; - - FILLER_2_144 sky130_fd_sc_hd__fill_1 + PLACED ( 66240 5440 ) N ; - - FILLER_2_148 sky130_fd_sc_hd__decap_8 + PLACED ( 68080 5440 ) N ; - - FILLER_2_156 sky130_fd_sc_hd__fill_1 + PLACED ( 71760 5440 ) N ; - - FILLER_2_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 5440 ) N ; - - FILLER_2_18 sky130_fd_sc_hd__fill_1 + PLACED ( 8280 5440 ) N ; + - FILLER_19_66 sky130_fd_sc_hd__fill_1 + PLACED ( 30360 51680 ) FS ; + - FILLER_19_72 sky130_fd_sc_hd__decap_6 + PLACED ( 33120 51680 ) FS ; + - FILLER_19_82 sky130_fd_sc_hd__fill_2 + PLACED ( 37720 51680 ) FS ; + - FILLER_1_127 sky130_fd_sc_hd__decap_3 + PLACED ( 58420 2720 ) FS ; + - FILLER_1_148 sky130_fd_sc_hd__fill_2 + PLACED ( 68080 2720 ) FS ; + - FILLER_1_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 2720 ) FS ; + - FILLER_1_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 2720 ) FS ; + - FILLER_1_3 sky130_fd_sc_hd__fill_1 + PLACED ( 1380 2720 ) FS ; + - FILLER_1_45 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 2720 ) FS ; + - FILLER_1_49 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 2720 ) FS ; + - FILLER_1_77 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 2720 ) FS ; + - FILLER_2_10 sky130_fd_sc_hd__decap_3 + PLACED ( 4600 5440 ) N ; + - FILLER_2_115 sky130_fd_sc_hd__fill_2 + PLACED ( 52900 5440 ) N ; + - FILLER_2_125 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 5440 ) N ; + - FILLER_2_131 sky130_fd_sc_hd__fill_1 + PLACED ( 60260 5440 ) N ; + - FILLER_2_141 sky130_fd_sc_hd__fill_2 + PLACED ( 64860 5440 ) N ; + - FILLER_2_147 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 5440 ) N ; + - FILLER_2_151 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 5440 ) N ; + - FILLER_2_170 sky130_fd_sc_hd__decap_3 + PLACED ( 78200 5440 ) N ; - FILLER_2_196 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 5440 ) N ; - - FILLER_2_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 5440 ) N ; - - FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 22080 5440 ) N ; - - FILLER_2_66 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 5440 ) N ; - - FILLER_2_90 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 5440 ) N ; - - FILLER_3_102 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 8160 ) FS ; - - FILLER_3_111 sky130_fd_sc_hd__decap_6 + PLACED ( 51060 8160 ) FS ; - - FILLER_3_117 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 8160 ) FS ; - - FILLER_3_125 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 8160 ) FS ; - - FILLER_3_131 sky130_fd_sc_hd__fill_2 + PLACED ( 60260 8160 ) FS ; - - FILLER_3_157 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 8160 ) FS ; - - FILLER_3_192 sky130_fd_sc_hd__fill_1 + PLACED ( 88320 8160 ) FS ; - - FILLER_3_200 sky130_fd_sc_hd__fill_2 + PLACED ( 92000 8160 ) FS ; - - FILLER_3_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 8160 ) FS ; - - FILLER_3_41 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 8160 ) FS ; - - FILLER_3_53 sky130_fd_sc_hd__decap_3 + PLACED ( 24380 8160 ) FS ; - - FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 28520 8160 ) FS ; - - FILLER_3_84 sky130_fd_sc_hd__decap_6 + PLACED ( 38640 8160 ) FS ; - - FILLER_3_92 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 8160 ) FS ; + - FILLER_2_3 sky130_fd_sc_hd__fill_2 + PLACED ( 1380 5440 ) N ; + - FILLER_2_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 5440 ) N ; + - FILLER_2_42 sky130_fd_sc_hd__decap_8 + PLACED ( 19320 5440 ) N ; + - FILLER_2_50 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 5440 ) N ; + - FILLER_2_60 sky130_fd_sc_hd__fill_2 + PLACED ( 27600 5440 ) N ; + - FILLER_2_82 sky130_fd_sc_hd__fill_1 + PLACED ( 37720 5440 ) N ; + - FILLER_3_12 sky130_fd_sc_hd__decap_8 + PLACED ( 5520 8160 ) FS ; + - FILLER_3_131 sky130_fd_sc_hd__decap_6 + PLACED ( 60260 8160 ) FS ; + - FILLER_3_137 sky130_fd_sc_hd__fill_1 + PLACED ( 63020 8160 ) FS ; + - FILLER_3_147 sky130_fd_sc_hd__decap_3 + PLACED ( 67620 8160 ) FS ; + - FILLER_3_166 sky130_fd_sc_hd__decap_6 + PLACED ( 76360 8160 ) FS ; + - FILLER_3_172 sky130_fd_sc_hd__fill_1 + PLACED ( 79120 8160 ) FS ; + - FILLER_3_199 sky130_fd_sc_hd__decap_3 + PLACED ( 91540 8160 ) FS ; + - FILLER_3_20 sky130_fd_sc_hd__decap_3 + PLACED ( 9200 8160 ) FS ; + - FILLER_3_3 sky130_fd_sc_hd__decap_6 + PLACED ( 1380 8160 ) FS ; + - FILLER_3_77 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 8160 ) FS ; + - FILLER_3_95 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 8160 ) FS ; + - FILLER_3_99 sky130_fd_sc_hd__fill_1 + PLACED ( 45540 8160 ) FS ; + - FILLER_4_100 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 10880 ) N ; + - FILLER_4_107 sky130_fd_sc_hd__decap_8 + PLACED ( 49220 10880 ) N ; - FILLER_4_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 10880 ) N ; - - FILLER_4_127 sky130_fd_sc_hd__decap_12 + PLACED ( 58420 10880 ) N ; - - FILLER_4_139 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 10880 ) N ; - - FILLER_4_14 sky130_fd_sc_hd__decap_3 + PLACED ( 6440 10880 ) N ; - - FILLER_4_144 sky130_fd_sc_hd__decap_12 + PLACED ( 66240 10880 ) N ; - - FILLER_4_156 sky130_fd_sc_hd__fill_2 + PLACED ( 71760 10880 ) N ; - - FILLER_4_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 10880 ) N ; + - FILLER_4_115 sky130_fd_sc_hd__fill_2 + PLACED ( 52900 10880 ) N ; + - FILLER_4_118 sky130_fd_sc_hd__fill_2 + PLACED ( 54280 10880 ) N ; + - FILLER_4_131 sky130_fd_sc_hd__decap_12 + PLACED ( 60260 10880 ) N ; + - FILLER_4_14 sky130_fd_sc_hd__decap_6 + PLACED ( 6440 10880 ) N ; - FILLER_4_3 sky130_fd_sc_hd__decap_4 + PLACED ( 1380 10880 ) N ; - - FILLER_4_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 10880 ) N ; - - FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 10880 ) N ; + - FILLER_4_34 sky130_fd_sc_hd__fill_1 + PLACED ( 15640 10880 ) N ; + - FILLER_4_47 sky130_fd_sc_hd__fill_2 + PLACED ( 21620 10880 ) N ; + - FILLER_4_57 sky130_fd_sc_hd__fill_1 + PLACED ( 26220 10880 ) N ; - FILLER_4_7 sky130_fd_sc_hd__fill_1 + PLACED ( 3220 10880 ) N ; - - FILLER_4_70 sky130_fd_sc_hd__decap_6 + PLACED ( 32200 10880 ) N ; - - FILLER_4_80 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 10880 ) N ; - - FILLER_4_88 sky130_fd_sc_hd__fill_1 + PLACED ( 40480 10880 ) N ; + - FILLER_4_83 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 10880 ) N ; + - FILLER_4_92 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 10880 ) N ; - FILLER_5_103 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 13600 ) FS ; - - FILLER_5_112 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 13600 ) FS ; - - FILLER_5_119 sky130_fd_sc_hd__fill_2 + PLACED ( 54740 13600 ) FS ; - - FILLER_5_181 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 13600 ) FS ; - - FILLER_5_183 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 13600 ) FS ; - - FILLER_5_195 sky130_fd_sc_hd__decap_6 + PLACED ( 89700 13600 ) FS ; + - FILLER_5_108 sky130_fd_sc_hd__decap_12 + PLACED ( 49680 13600 ) FS ; + - FILLER_5_120 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 13600 ) FS ; + - FILLER_5_197 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 13600 ) FS ; - FILLER_5_201 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 13600 ) FS ; - FILLER_5_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 13600 ) FS ; - - FILLER_5_27 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 13600 ) FS ; - - FILLER_5_44 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 13600 ) FS ; - - FILLER_5_53 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 13600 ) FS ; - - FILLER_5_94 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 13600 ) FS ; + - FILLER_5_51 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 13600 ) FS ; + - FILLER_5_76 sky130_fd_sc_hd__fill_2 + PLACED ( 34960 13600 ) FS ; + - FILLER_5_83 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 13600 ) FS ; - FILLER_5_99 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 13600 ) FS ; - - FILLER_6_107 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 16320 ) N ; - - FILLER_6_115 sky130_fd_sc_hd__fill_2 + PLACED ( 52900 16320 ) N ; - - FILLER_6_12 sky130_fd_sc_hd__fill_1 + PLACED ( 5520 16320 ) N ; - - FILLER_6_127 sky130_fd_sc_hd__decap_6 + PLACED ( 58420 16320 ) N ; - - FILLER_6_14 sky130_fd_sc_hd__decap_3 + PLACED ( 6440 16320 ) N ; - - FILLER_6_166 sky130_fd_sc_hd__fill_1 + PLACED ( 76360 16320 ) N ; - - FILLER_6_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 16320 ) N ; - - FILLER_6_200 sky130_fd_sc_hd__fill_2 + PLACED ( 92000 16320 ) N ; - - FILLER_6_28 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 16320 ) N ; - - FILLER_6_3 sky130_fd_sc_hd__decap_6 + PLACED ( 1380 16320 ) N ; - - FILLER_6_32 sky130_fd_sc_hd__fill_1 + PLACED ( 14720 16320 ) N ; - - FILLER_6_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 16320 ) N ; - - FILLER_6_40 sky130_fd_sc_hd__decap_12 + PLACED ( 18400 16320 ) N ; - - FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 16320 ) N ; - - FILLER_6_60 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) N ; - - FILLER_6_66 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 16320 ) N ; - - FILLER_6_77 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 16320 ) N ; - - FILLER_6_80 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 16320 ) N ; - - FILLER_6_88 sky130_fd_sc_hd__decap_3 + PLACED ( 40480 16320 ) N ; - - FILLER_7_114 sky130_fd_sc_hd__decap_6 + PLACED ( 52440 19040 ) FS ; - - FILLER_7_120 sky130_fd_sc_hd__fill_1 + PLACED ( 55200 19040 ) FS ; - - FILLER_7_124 sky130_fd_sc_hd__decap_6 + PLACED ( 57040 19040 ) FS ; - - FILLER_7_131 sky130_fd_sc_hd__decap_6 + PLACED ( 60260 19040 ) FS ; - - FILLER_7_137 sky130_fd_sc_hd__fill_1 + PLACED ( 63020 19040 ) FS ; - - FILLER_7_148 sky130_fd_sc_hd__fill_2 + PLACED ( 68080 19040 ) FS ; - - FILLER_7_183 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 19040 ) FS ; - - FILLER_7_195 sky130_fd_sc_hd__fill_2 + PLACED ( 89700 19040 ) FS ; - - FILLER_7_46 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 19040 ) FS ; - - FILLER_7_74 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) FS ; - - FILLER_7_88 sky130_fd_sc_hd__decap_4 + PLACED ( 40480 19040 ) FS ; - - FILLER_8_103 sky130_fd_sc_hd__decap_12 + PLACED ( 47380 21760 ) N ; - - FILLER_8_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 21760 ) N ; - - FILLER_8_115 sky130_fd_sc_hd__fill_2 + PLACED ( 52900 21760 ) N ; - - FILLER_8_14 sky130_fd_sc_hd__decap_12 + PLACED ( 6440 21760 ) N ; - - FILLER_8_168 sky130_fd_sc_hd__fill_1 + PLACED ( 77280 21760 ) N ; - - FILLER_8_196 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 21760 ) N ; - - FILLER_8_26 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 21760 ) N ; - - FILLER_8_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 21760 ) N ; - - FILLER_8_30 sky130_fd_sc_hd__fill_1 + PLACED ( 13800 21760 ) N ; - - FILLER_8_38 sky130_fd_sc_hd__fill_1 + PLACED ( 17480 21760 ) N ; - - FILLER_8_61 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 21760 ) N ; - - FILLER_8_81 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 21760 ) N ; - - FILLER_8_89 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 21760 ) N ; - - FILLER_8_92 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 21760 ) N ; - - FILLER_9_102 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 24480 ) FS ; - - FILLER_9_107 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 24480 ) FS ; - - FILLER_9_118 sky130_fd_sc_hd__decap_8 + PLACED ( 54280 24480 ) FS ; - - FILLER_9_126 sky130_fd_sc_hd__fill_2 + PLACED ( 57960 24480 ) FS ; - - FILLER_9_151 sky130_fd_sc_hd__decap_4 + PLACED ( 69460 24480 ) FS ; - - FILLER_9_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 24480 ) FS ; - - FILLER_9_157 sky130_fd_sc_hd__decap_3 + PLACED ( 72220 24480 ) FS ; - - FILLER_9_183 sky130_fd_sc_hd__decap_12 + PLACED ( 84180 24480 ) FS ; - - FILLER_9_195 sky130_fd_sc_hd__fill_2 + PLACED ( 89700 24480 ) FS ; - - FILLER_9_29 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 24480 ) FS ; - - FILLER_9_3 sky130_fd_sc_hd__fill_2 + PLACED ( 1380 24480 ) FS ; - - FILLER_9_33 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 24480 ) FS ; - - FILLER_9_37 sky130_fd_sc_hd__fill_1 + PLACED ( 17020 24480 ) FS ; - - FILLER_9_40 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 24480 ) FS ; - - FILLER_9_44 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 24480 ) FS ; - - FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 24480 ) FS ; - - FILLER_9_61 sky130_fd_sc_hd__decap_3 + PLACED ( 28060 24480 ) FS ; - - FILLER_9_66 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 24480 ) FS ; - - FILLER_9_74 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 24480 ) FS ; - - FILLER_9_79 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 24480 ) FS ; + - FILLER_6_11 sky130_fd_sc_hd__fill_2 + PLACED ( 5060 16320 ) N ; + - FILLER_6_113 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 16320 ) N ; + - FILLER_6_125 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 16320 ) N ; + - FILLER_6_131 sky130_fd_sc_hd__fill_1 + PLACED ( 60260 16320 ) N ; + - FILLER_6_137 sky130_fd_sc_hd__decap_3 + PLACED ( 63020 16320 ) N ; + - FILLER_6_168 sky130_fd_sc_hd__fill_1 + PLACED ( 77280 16320 ) N ; + - FILLER_6_17 sky130_fd_sc_hd__decap_8 + PLACED ( 7820 16320 ) N ; + - FILLER_6_196 sky130_fd_sc_hd__fill_1 + PLACED ( 90160 16320 ) N ; + - FILLER_6_25 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 16320 ) N ; + - FILLER_6_28 sky130_fd_sc_hd__decap_6 + PLACED ( 12880 16320 ) N ; + - FILLER_6_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 16320 ) N ; + - FILLER_6_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 16320 ) N ; + - FILLER_6_56 sky130_fd_sc_hd__fill_1 + PLACED ( 25760 16320 ) N ; + - FILLER_6_64 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 16320 ) N ; + - FILLER_6_66 sky130_fd_sc_hd__decap_3 + PLACED ( 30360 16320 ) N ; + - FILLER_6_78 sky130_fd_sc_hd__decap_6 + PLACED ( 35880 16320 ) N ; + - FILLER_6_84 sky130_fd_sc_hd__fill_1 + PLACED ( 38640 16320 ) N ; + - FILLER_7_105 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 19040 ) FS ; + - FILLER_7_125 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 19040 ) FS ; + - FILLER_7_129 sky130_fd_sc_hd__fill_1 + PLACED ( 59340 19040 ) FS ; + - FILLER_7_131 sky130_fd_sc_hd__fill_2 + PLACED ( 60260 19040 ) FS ; + - FILLER_7_155 sky130_fd_sc_hd__fill_1 + PLACED ( 71300 19040 ) FS ; + - FILLER_7_187 sky130_fd_sc_hd__decap_6 + PLACED ( 86020 19040 ) FS ; + - FILLER_7_200 sky130_fd_sc_hd__fill_2 + PLACED ( 92000 19040 ) FS ; + - FILLER_7_27 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 19040 ) FS ; + - FILLER_7_3 sky130_fd_sc_hd__fill_2 + PLACED ( 1380 19040 ) FS ; + - FILLER_7_50 sky130_fd_sc_hd__fill_2 + PLACED ( 23000 19040 ) FS ; + - FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 19040 ) FS ; + - FILLER_7_67 sky130_fd_sc_hd__decap_8 + PLACED ( 30820 19040 ) FS ; + - FILLER_7_75 sky130_fd_sc_hd__decap_3 + PLACED ( 34500 19040 ) FS ; + - FILLER_7_82 sky130_fd_sc_hd__decap_8 + PLACED ( 37720 19040 ) FS ; + - FILLER_7_90 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 19040 ) FS ; + - FILLER_7_98 sky130_fd_sc_hd__decap_6 + PLACED ( 45080 19040 ) FS ; + - FILLER_8_12 sky130_fd_sc_hd__fill_1 + PLACED ( 5520 21760 ) N ; + - FILLER_8_127 sky130_fd_sc_hd__fill_2 + PLACED ( 58420 21760 ) N ; + - FILLER_8_131 sky130_fd_sc_hd__decap_12 + PLACED ( 60260 21760 ) N ; + - FILLER_8_170 sky130_fd_sc_hd__fill_1 + PLACED ( 78200 21760 ) N ; + - FILLER_8_3 sky130_fd_sc_hd__decap_6 + PLACED ( 1380 21760 ) N ; + - FILLER_8_37 sky130_fd_sc_hd__fill_2 + PLACED ( 17020 21760 ) N ; + - FILLER_8_55 sky130_fd_sc_hd__decap_3 + PLACED ( 25300 21760 ) N ; + - FILLER_8_90 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 21760 ) N ; + - FILLER_8_92 sky130_fd_sc_hd__fill_2 + PLACED ( 42320 21760 ) N ; + - FILLER_9_103 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 24480 ) FS ; + - FILLER_9_14 sky130_fd_sc_hd__decap_4 + PLACED ( 6440 24480 ) FS ; + - FILLER_9_151 sky130_fd_sc_hd__decap_3 + PLACED ( 69460 24480 ) FS ; + - FILLER_9_18 sky130_fd_sc_hd__fill_1 + PLACED ( 8280 24480 ) FS ; + - FILLER_9_190 sky130_fd_sc_hd__decap_12 + PLACED ( 87400 24480 ) FS ; + - FILLER_9_3 sky130_fd_sc_hd__decap_8 + PLACED ( 1380 24480 ) FS ; + - FILLER_9_43 sky130_fd_sc_hd__decap_6 + PLACED ( 19780 24480 ) FS ; + - FILLER_9_62 sky130_fd_sc_hd__fill_2 + PLACED ( 28520 24480 ) FS ; + - FILLER_9_68 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 24480 ) FS ; + - FILLER_9_72 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 24480 ) FS ; + - FILLER_9_77 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 24480 ) FS ; + - FILLER_9_79 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 24480 ) FS ; + - FILLER_9_87 sky130_fd_sc_hd__decap_3 + PLACED ( 40020 24480 ) FS ; + - FILLER_9_97 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 24480 ) FS ; - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 0 0 ) N ; - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 92920 0 ) FN ; - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 0 13600 ) FS ; @@ -618,300 +600,322 @@ COMPONENTS 867 ; - TAP_97 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65780 16320 ) N ; - TAP_98 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 77740 16320 ) N ; - TAP_99 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 89700 16320 ) N ; - - _204_ sky130_fd_sc_hd__mux2_1 + PLACED ( 66240 32640 ) N ; - - _205_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62560 32640 ) N ; - - _206_ sky130_fd_sc_hd__mux2_1 + PLACED ( 84180 29920 ) FS ; - - _207_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 82340 29920 ) FS ; - - _208_ sky130_fd_sc_hd__or2b_1 + PLACED ( 42320 40800 ) FS ; - - _209_ sky130_fd_sc_hd__or2b_1 + PLACED ( 45080 40800 ) FS ; - - _210_ sky130_fd_sc_hd__nand3_1 + PLACED ( 50600 43520 ) FN ; - - _211_ sky130_fd_sc_hd__nor2_1 + PLACED ( 36800 40800 ) S ; - - _212_ sky130_fd_sc_hd__and2_1 + PLACED ( 36340 38080 ) N ; - - _213_ sky130_fd_sc_hd__o21a_1 + PLACED ( 54280 35360 ) S ; - - _214_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 38640 40800 ) FS ; - - _215_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 26220 38080 ) FN ; - - _216_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 14720 35360 ) FS ; - - _217_ sky130_fd_sc_hd__nand2_2 + PLACED ( 25300 35360 ) FS ; - - _218_ sky130_fd_sc_hd__o21a_1 + PLACED ( 39100 38080 ) FN ; - - _219_ sky130_fd_sc_hd__nor2_1 + PLACED ( 48300 29920 ) S ; - - _220_ sky130_fd_sc_hd__nand2_1 + PLACED ( 51060 35360 ) S ; - - _221_ sky130_fd_sc_hd__inv_2 + PLACED ( 60260 40800 ) S ; - - _222_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 59800 38080 ) N ; - - _223_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 12420 35360 ) FS ; - - _224_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 30360 38080 ) N ; - - _225_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 57040 35360 ) S ; - - _226_ sky130_fd_sc_hd__nand3_1 + PLACED ( 53820 40800 ) FS ; - - _227_ sky130_fd_sc_hd__nand3_1 + PLACED ( 51520 32640 ) N ; - - _228_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 53820 29920 ) S ; - - _229_ sky130_fd_sc_hd__nand3_1 + PLACED ( 28060 32640 ) FN ; - - _230_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 30820 32640 ) N ; - - _231_ sky130_fd_sc_hd__nand3_1 + PLACED ( 28520 29920 ) S ; - - _232_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 27600 35360 ) S ; - - _233_ sky130_fd_sc_hd__nor3b_1 + PLACED ( 14260 29920 ) FS ; - - _234_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 32640 ) N ; - - _235_ sky130_fd_sc_hd__nor2_1 + PLACED ( 12880 29920 ) S ; - - _236_ sky130_fd_sc_hd__inv_2 + PLACED ( 19780 29920 ) S ; - - _237_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 17020 29920 ) S ; - - _238_ sky130_fd_sc_hd__a22o_1 + PLACED ( 12420 32640 ) FN ; - - _239__1 sky130_fd_sc_hd__inv_4 + PLACED ( 2760 27200 ) FN ; - - _240_ sky130_fd_sc_hd__inv_2 + PLACED ( 13800 24480 ) FS ; - - _241_ sky130_fd_sc_hd__and2b_1 + PLACED ( 15180 27200 ) N ; - - _242_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 18400 27200 ) FN ; - - _243_ sky130_fd_sc_hd__a21o_1 + PLACED ( 11960 27200 ) N ; - - _244__2 sky130_fd_sc_hd__inv_4 + PLACED ( 30820 46240 ) S ; - - _245_ sky130_fd_sc_hd__o211a_1 + PLACED ( 20240 35360 ) S ; - - _246_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 36340 46240 ) S ; - - _247_ sky130_fd_sc_hd__nor3b_2 + PLACED ( 18400 48960 ) FN ; - - _248_ sky130_fd_sc_hd__nand3_1 + PLACED ( 25760 46240 ) FS ; - - _249_ sky130_fd_sc_hd__nor2_1 + PLACED ( 23000 48960 ) FN ; - - _250_ sky130_fd_sc_hd__nand2_1 + PLACED ( 24380 48960 ) N ; - - _251_ sky130_fd_sc_hd__o211ai_4 + PLACED ( 19780 32640 ) FN ; - - _252_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 26680 48960 ) FN ; - - _253_ sky130_fd_sc_hd__nand3_1 + PLACED ( 28060 46240 ) S ; - - _254_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 38080 ) N ; - - _255_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 40800 ) S ; - - _256_ sky130_fd_sc_hd__nand3_1 + PLACED ( 12420 46240 ) S ; - - _257_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 46240 ) S ; - - _258__3 sky130_fd_sc_hd__inv_4 + PLACED ( 6900 51680 ) S ; - - _259_ sky130_fd_sc_hd__nand2_1 + PLACED ( 14720 43520 ) FN ; - - _260_ sky130_fd_sc_hd__nand3_1 + PLACED ( 14260 46240 ) S ; - - _261_ sky130_fd_sc_hd__nand2_1 + PLACED ( 16100 46240 ) S ; - - _262_ sky130_fd_sc_hd__inv_2 + PLACED ( 8740 38080 ) FN ; - - _263_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 35360 ) S ; - - _264_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 12420 40800 ) S ; - - _265_ sky130_fd_sc_hd__nor3b_2 + PLACED ( 72220 29920 ) FS ; - - _266_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 62560 38080 ) N ; - - _267_ sky130_fd_sc_hd__inv_2 + PLACED ( 72680 38080 ) N ; - - _268_ sky130_fd_sc_hd__a21o_1 + PLACED ( 69000 35360 ) S ; - - _269_ sky130_fd_sc_hd__nand3_1 + PLACED ( 67160 35360 ) FS ; - - _270_ sky130_fd_sc_hd__nand2_1 + PLACED ( 74060 38080 ) N ; - - _271_ sky130_fd_sc_hd__nor2_1 + PLACED ( 47840 16320 ) N ; - - _272_ sky130_fd_sc_hd__nand2_1 + PLACED ( 44160 13600 ) FS ; - - _273_ sky130_fd_sc_hd__inv_2 + PLACED ( 57040 10880 ) N ; - - _274_ sky130_fd_sc_hd__o21a_1 + PLACED ( 66240 16320 ) N ; - - _275_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 51980 13600 ) S ; - - _276_ sky130_fd_sc_hd__inv_2 + PLACED ( 48300 8160 ) S ; - - _277_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 42320 10880 ) N ; - - _278_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 34040 5440 ) FN ; - - _279_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34960 10880 ) FN ; - - _280_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 54280 10880 ) FN ; - - _281_ sky130_fd_sc_hd__nand3_1 + PLACED ( 51060 16320 ) FN ; - - _282_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 45080 8160 ) S ; - - _283_ sky130_fd_sc_hd__nand2_2 + PLACED ( 36340 8160 ) S ; - - _284_ sky130_fd_sc_hd__nand3_1 + PLACED ( 46000 16320 ) FN ; - - _285_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 42320 16320 ) FN ; - - _286_ sky130_fd_sc_hd__nand3_1 + PLACED ( 28060 16320 ) N ; - - _287_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 30360 21760 ) FN ; - - _288_ sky130_fd_sc_hd__nand3_1 + PLACED ( 26220 13600 ) FS ; - - _289_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 28060 13600 ) FS ; - - _290_ sky130_fd_sc_hd__nor2_1 + PLACED ( 24380 2720 ) S ; - - _291_ sky130_fd_sc_hd__inv_2 + PLACED ( 22540 8160 ) S ; - - _292_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 25760 5440 ) N ; - - _293_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 25760 8160 ) FS ; - - _294_ sky130_fd_sc_hd__inv_2 + PLACED ( 28520 5440 ) FN ; - - _295_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 22540 5440 ) FN ; - - _296_ sky130_fd_sc_hd__nand2_1 + PLACED ( 30360 0 ) N ; - - _297_ sky130_fd_sc_hd__o2bb2ai_1 + PLACED ( 26680 0 ) FN ; - - _298__4 sky130_fd_sc_hd__inv_4 + PLACED ( 15640 8160 ) S ; - - _299_ sky130_fd_sc_hd__and2b_1 + PLACED ( 19780 8160 ) FS ; - - _300_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 22080 2720 ) S ; - - _301_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 18400 5440 ) FN ; - - _302__5 sky130_fd_sc_hd__inv_4 + PLACED ( 42780 8160 ) S ; - - _303_ sky130_fd_sc_hd__nor2_1 + PLACED ( 36340 2720 ) FS ; - - _304_ sky130_fd_sc_hd__inv_2 + PLACED ( 51060 2720 ) S ; - - _305_ sky130_fd_sc_hd__nor3b_4 + PLACED ( 64860 2720 ) FS ; - - _306_ sky130_fd_sc_hd__nand3_1 + PLACED ( 45080 2720 ) S ; - - _307_ sky130_fd_sc_hd__nor2_1 + PLACED ( 66240 0 ) FN ; - - _308_ sky130_fd_sc_hd__nand2_1 + PLACED ( 66700 5440 ) FN ; - - _309_ sky130_fd_sc_hd__nor2_1 + PLACED ( 37720 2720 ) S ; - - _310_ sky130_fd_sc_hd__o211ai_2 + PLACED ( 40020 2720 ) FS ; - - _311_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 48300 2720 ) FS ; - - _312_ sky130_fd_sc_hd__nand3_1 + PLACED ( 45540 0 ) FN ; - - _313_ sky130_fd_sc_hd__nor3_1 + PLACED ( 11960 10880 ) FN ; - - _314_ sky130_fd_sc_hd__xor2_1 + PLACED ( 12420 8160 ) S ; - - _315_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7820 10880 ) N ; - - _316_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 3680 10880 ) N ; - - _317_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7820 16320 ) N ; - - _318_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 4140 16320 ) N ; - - _319_ sky130_fd_sc_hd__or2b_1 + PLACED ( 69000 19040 ) FS ; - - _320_ sky130_fd_sc_hd__or2b_1 + PLACED ( 79580 13600 ) S ; - - _321_ sky130_fd_sc_hd__nand3_1 + PLACED ( 75440 21760 ) FN ; - - _322_ sky130_fd_sc_hd__nor2_1 + PLACED ( 65780 19040 ) FS ; - - _323_ sky130_fd_sc_hd__and2_1 + PLACED ( 63480 19040 ) S ; - - _324_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 61180 16320 ) FN ; - - _325_ sky130_fd_sc_hd__o21a_1 + PLACED ( 63020 16320 ) N ; - - _326_ sky130_fd_sc_hd__nand2_1 + PLACED ( 49680 8160 ) FS ; - - _327_ sky130_fd_sc_hd__nand2_1 + PLACED ( 58420 8160 ) S ; - - _328_ sky130_fd_sc_hd__nand3_1 + PLACED ( 57500 2720 ) FS ; - - _329_ sky130_fd_sc_hd__nand2_1 + PLACED ( 60260 2720 ) FS ; - - _330__6 sky130_fd_sc_hd__inv_4 + PLACED ( 80500 0 ) FN ; - - _331_ sky130_fd_sc_hd__nand2_1 + PLACED ( 78660 5440 ) FN ; - - _332_ sky130_fd_sc_hd__nand3_1 + PLACED ( 60260 0 ) FN ; - - _333_ sky130_fd_sc_hd__nand2_1 + PLACED ( 79120 0 ) N ; - - _334_ sky130_fd_sc_hd__inv_2 + PLACED ( 72220 5440 ) N ; - - _335_ sky130_fd_sc_hd__nand2_1 + PLACED ( 70380 8160 ) S ; - - _336_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 72680 8160 ) S ; - - _337_ sky130_fd_sc_hd__nor2_1 + PLACED ( 13800 10880 ) N ; - - _338_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 12420 19040 ) FS ; - - _339_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 14260 21760 ) N ; - - _340__9 sky130_fd_sc_hd__inv_4 + PLACED ( 67160 51680 ) S ; - - _341__8 sky130_fd_sc_hd__inv_4 + PLACED ( 74520 51680 ) S ; - - _342__7 sky130_fd_sc_hd__inv_4 + PLACED ( 84180 51680 ) S ; - - _343_ sky130_fd_sc_hd__mux2_2 + PLACED ( 42320 51680 ) FS ; - - _344_ sky130_fd_sc_hd__buf_1 + PLACED ( 42320 48960 ) FN ; - - _345_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 48300 13600 ) FS ; - - _346_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 70380 32640 ) FN ; - - _347_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 58420 32640 ) N ; - - _348_ sky130_fd_sc_hd__nor2_1 + PLACED ( 55660 19040 ) FS ; - - _349_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 54280 8160 ) FS ; - - _350_ sky130_fd_sc_hd__and2b_2 + PLACED ( 54280 16320 ) N ; - - _351_ sky130_fd_sc_hd__o21bai_2 + PLACED ( 55660 13600 ) S ; - - _352_ sky130_fd_sc_hd__nor2_1 + PLACED ( 69920 40800 ) FS ; - - _353_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 56580 38080 ) N ; - - _354_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 62560 40800 ) S ; - - _355_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 84180 0 ) N ; - - _356_ sky130_fd_sc_hd__nor2_1 + PLACED ( 67620 0 ) N ; - - _357_ sky130_fd_sc_hd__xor2_1 + PLACED ( 61640 2720 ) FS ; - - _358_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 40480 13600 ) S ; - - _359_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 34040 21760 ) N ; - - _360_ sky130_fd_sc_hd__nor2_1 + PLACED ( 42320 19040 ) S ; - - _361_ sky130_fd_sc_hd__xor2_1 + PLACED ( 44160 21760 ) N ; - - _362_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 19320 19040 ) FS ; - - _363_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 12880 13600 ) FS ; - - _364_ sky130_fd_sc_hd__nand2_1 + PLACED ( 15180 16320 ) FN ; - - _365_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 19780 46240 ) FS ; - - _366_ sky130_fd_sc_hd__nor2_1 + PLACED ( 16560 48960 ) FN ; - - _367_ sky130_fd_sc_hd__xor2_1 + PLACED ( 18400 51680 ) FS ; - - _368_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 40020 27200 ) N ; - - _369_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 38640 32640 ) FN ; - - _370_ sky130_fd_sc_hd__nor2_1 + PLACED ( 52900 24480 ) S ; - - _371_ sky130_fd_sc_hd__xor2_1 + PLACED ( 54280 27200 ) N ; - - _372_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 90160 27200 ) N ; - - _373_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 76820 29920 ) S ; - - _374_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 88780 8160 ) S ; - - _375_ sky130_fd_sc_hd__nor2_1 + PLACED ( 90160 51680 ) FS ; - - _376_ sky130_fd_sc_hd__nor3_1 + PLACED ( 69920 29920 ) S ; - - _377_ sky130_fd_sc_hd__xor2_1 + PLACED ( 70840 27200 ) N ; - - _378__13 sky130_fd_sc_hd__conb_1 + PLACED ( 72220 51680 ) S ; - - _379_ sky130_fd_sc_hd__mux2_1 + PLACED ( 42320 35360 ) FS ; - - _380_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34500 32640 ) N ; - - _381_ sky130_fd_sc_hd__mux2_1 + PLACED ( 85100 2720 ) FS ; - - _382_ sky130_fd_sc_hd__mux2_1 + PLACED ( 84180 8160 ) FS ; - - _383_ sky130_fd_sc_hd__mux2_1 + PLACED ( 14720 40800 ) FS ; - - _384_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12880 38080 ) N ; - - _385_ sky130_fd_sc_hd__mux2_1 + PLACED ( 73600 5440 ) N ; - - _386_ sky130_fd_sc_hd__mux2_1 + PLACED ( 72680 10880 ) N ; - - _387_ sky130_fd_sc_hd__mux2_1 + PLACED ( 88320 29920 ) FS ; - - _388_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 32640 ) N ; - - _389_ sky130_fd_sc_hd__mux2_1 + PLACED ( 49680 29920 ) S ; - - _390_ sky130_fd_sc_hd__mux2_1 + PLACED ( 24380 29920 ) FS ; - - _391_ sky130_fd_sc_hd__mux2_1 + PLACED ( 31740 29920 ) S ; - - _392_ sky130_fd_sc_hd__mux2_1 + PLACED ( 36800 35360 ) FS ; - - _393_ sky130_fd_sc_hd__mux2_1 + PLACED ( 60260 51680 ) FS ; - - _394_ sky130_fd_sc_hd__mux2_1 + PLACED ( 55660 40800 ) FS ; - - _395_ sky130_fd_sc_hd__mux2_1 + PLACED ( 20240 43520 ) N ; - - _396_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 40800 ) FS ; - - _397_ sky130_fd_sc_hd__mux2_4 + PLACED ( 30360 8160 ) S ; - - _398_ sky130_fd_sc_hd__mux2_1 + PLACED ( 48300 19040 ) FS ; - - _399_ sky130_fd_sc_hd__mux2_1 + PLACED ( 43700 19040 ) FS ; - - _400_ sky130_fd_sc_hd__mux2_1 + PLACED ( 15180 19040 ) FS ; - - _401_ sky130_fd_sc_hd__mux2_1 + PLACED ( 36340 19040 ) FS ; - - _402_ sky130_fd_sc_hd__mux2_1 + PLACED ( 31280 16320 ) N ; - - _403_ sky130_fd_sc_hd__mux2_1 + PLACED ( 16100 13600 ) FS ; - - _404_ sky130_fd_sc_hd__mux2_1 + PLACED ( 36340 13600 ) FS ; - - _405_ sky130_fd_sc_hd__mux2_1 + PLACED ( 31740 13600 ) FS ; - - _406_ sky130_fd_sc_hd__mux2_1 + PLACED ( 65320 8160 ) FS ; - - _407_ sky130_fd_sc_hd__mux2_1 + PLACED ( 61180 8160 ) FS ; - - _408_ sky130_fd_sc_hd__mux2_1 + PLACED ( 24840 43520 ) N ; - - _409_ sky130_fd_sc_hd__mux2_1 + PLACED ( 24380 40800 ) FS ; - - _410_ sky130_fd_sc_hd__mux2_1 + PLACED ( 73600 32640 ) N ; - - _411_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 21760 ) N ; - - _412_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 51680 ) S ; - - _413_ sky130_fd_sc_hd__mux2_1 + PLACED ( 68540 38080 ) N ; - - _414_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 72220 13600 ) FS ; - - _415_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 69000 16320 ) N ; - - _416_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 58420 21760 ) N ; - - _417_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 43240 43520 ) N ; - - _418_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 34040 43520 ) N ; - - _419_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 28520 40800 ) FS ; - - _420_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 39560 46240 ) FS ; - - _421_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 80040 48960 ) N ; - - _422_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 74060 46240 ) FS ; - - _423_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 66700 48960 ) N ; - - _424_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 60260 24480 ) S ; - - _425_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 50600 46240 ) FS ; - - _426_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 44620 48960 ) N ; - - _427_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 74520 8160 ) FS ; - - _428_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 74060 19040 ) FS ; - - _429_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 74520 24480 ) FS ; - - _430_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 10880 ) N ; - - _431_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 78660 16320 ) N ; - - _432_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 21760 ) N ; - - _433_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 80500 32640 ) FN ; - - _434_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 74060 40800 ) S ; - - _435_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 62560 46240 ) S ; - - _436_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 38080 ) N ; - - _437_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 78660 43520 ) N ; - - _438_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 67160 43520 ) N ; - - _439_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 18400 21760 ) N ; - - _440_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 73600 2720 ) FS ; - - _441_ sky130_fd_sc_hd__dfstp_2 + PLACED ( 80040 5440 ) N ; - - _442_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 54280 5440 ) FN ; - - _443_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 61180 13600 ) FS ; - - _444_ sky130_fd_sc_hd__dfstp_2 + PLACED ( 1380 19040 ) FS ; - - _445_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 1380 13600 ) FS ; - - _446_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 1380 8160 ) FS ; - - _447_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 42320 5440 ) N ; - - _448_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 8740 5440 ) N ; - - _449_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 12420 2720 ) FS ; - - _450_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 26680 2720 ) FS ; - - _451_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 20240 10880 ) FN ; - - _452_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 24380 19040 ) FS ; - - _453_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 37720 24480 ) FS ; - - _454_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 44160 10880 ) N ; - - _455_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 72220 35360 ) S ; - - _456_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 40800 ) FS ; - - _457_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 6440 48960 ) N ; - - _458_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 46240 ) FS ; - - _459_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 30360 48960 ) N ; - - _460_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 29920 ) FS ; - - _461_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 2300 24480 ) FS ; - - _462_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 35360 ) FS ; - - _463_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 20240 27200 ) N ; - - _464_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 30360 27200 ) N ; - - _465_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 44620 27200 ) FN ; - - _466_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 54280 43520 ) N ; - - _467_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 42320 38080 ) N ; - - _468_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 80040 27200 ) N ; - - _469_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 60260 29920 ) FS ; - - _470_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 66240 21760 ) FN ; - - clkbuf_0_ext_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 54280 48960 ) N ; - - clkbuf_0_pll_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 38640 29920 ) S ; - - clkbuf_0_pll_clk90 sky130_fd_sc_hd__clkbuf_16 + PLACED ( 42320 32640 ) FN ; - - clkbuf_1_0_0_ext_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 37720 51680 ) FS ; - - clkbuf_1_0_0_pll_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 32200 24480 ) S ; - - clkbuf_1_0_0_pll_clk90 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 32200 38080 ) FN ; - - clkbuf_1_1_0_ext_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 63480 48960 ) FN ; - - clkbuf_1_1_0_pll_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 51060 24480 ) FS ; - - clkbuf_1_1_0_pll_clk90 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 52440 35360 ) FS ; - - hold1 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 48300 51680 ) FS ; + - _216_ sky130_fd_sc_hd__mux2_1 + PLACED ( 61640 29920 ) FS ; + - _217_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 58420 29920 ) FS ; + - _218_ sky130_fd_sc_hd__mux2_1 + PLACED ( 70840 27200 ) FN ; + - _219_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 74980 27200 ) N ; + - _220_ sky130_fd_sc_hd__or2b_1 + PLACED ( 42780 29920 ) FS ; + - _221_ sky130_fd_sc_hd__or2b_1 + PLACED ( 40020 29920 ) FS ; + - _222_ sky130_fd_sc_hd__nand3_1 + PLACED ( 45540 24480 ) S ; + - _223_ sky130_fd_sc_hd__nor2_1 + PLACED ( 62100 35360 ) FS ; + - _224_ sky130_fd_sc_hd__and2_1 + PLACED ( 61640 32640 ) FN ; + - _225_ sky130_fd_sc_hd__o21a_1 + PLACED ( 62560 38080 ) N ; + - _226_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 60260 35360 ) S ; + - _227_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 28520 40800 ) FS ; + - _228_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 26680 38080 ) N ; + - _229_ sky130_fd_sc_hd__nand2_2 + PLACED ( 28980 35360 ) S ; + - _230_ sky130_fd_sc_hd__o21a_1 + PLACED ( 37260 29920 ) FS ; + - _231_ sky130_fd_sc_hd__nor2_1 + PLACED ( 60260 40800 ) FS ; + - _232_ sky130_fd_sc_hd__nand2_1 + PLACED ( 58420 38080 ) N ; + - _233_ sky130_fd_sc_hd__inv_2 + PLACED ( 72220 40800 ) S ; + - _234_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 61640 40800 ) FS ; + - _235_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 30360 40800 ) S ; + - _236_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 36340 35360 ) FS ; + - _237_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 64400 40800 ) S ; + - _238_ sky130_fd_sc_hd__nand3_1 + PLACED ( 63480 43520 ) FN ; + - _239_ sky130_fd_sc_hd__nand3_1 + PLACED ( 46000 43520 ) FN ; + - _240_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 42320 43520 ) FN ; + - _241_ sky130_fd_sc_hd__nand3_1 + PLACED ( 34040 43520 ) FN ; + - _242_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 36800 43520 ) N ; + - _243_ sky130_fd_sc_hd__nand3_1 + PLACED ( 30360 32640 ) N ; + - _244_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 32200 35360 ) FS ; + - _245_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7820 32640 ) N ; + - _246_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 3680 32640 ) N ; + - _247__1 sky130_fd_sc_hd__inv_4 + PLACED ( 3680 27200 ) N ; + - _248_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 24480 ) FS ; + - _249_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 5060 24480 ) S ; + - _250_ sky130_fd_sc_hd__mux2_1 + PLACED ( 24380 24480 ) FS ; + - _251_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22540 24480 ) FS ; + - _252__2 sky130_fd_sc_hd__inv_4 + PLACED ( 30820 51680 ) S ; + - _253_ sky130_fd_sc_hd__nor2_1 + PLACED ( 11960 32640 ) FN ; + - _254_ sky130_fd_sc_hd__o211a_1 + PLACED ( 24380 35360 ) S ; + - _255_ sky130_fd_sc_hd__inv_2 + PLACED ( 33120 46240 ) S ; + - _256_ sky130_fd_sc_hd__nor3b_2 + PLACED ( 14260 46240 ) S ; + - _257_ sky130_fd_sc_hd__nand3_1 + PLACED ( 26680 43520 ) N ; + - _258_ sky130_fd_sc_hd__nor2_1 + PLACED ( 18860 46240 ) FS ; + - _259_ sky130_fd_sc_hd__nand2_1 + PLACED ( 20240 46240 ) FS ; + - _260_ sky130_fd_sc_hd__o211ai_4 + PLACED ( 19320 32640 ) FN ; + - _261_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 28520 46240 ) S ; + - _262_ sky130_fd_sc_hd__nand3_1 + PLACED ( 31280 46240 ) S ; + - _263_ sky130_fd_sc_hd__nand2_1 + PLACED ( 25760 40800 ) S ; + - _264_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 40800 ) S ; + - _265_ sky130_fd_sc_hd__nand3_1 + PLACED ( 12420 46240 ) S ; + - _266_ sky130_fd_sc_hd__nand2_1 + PLACED ( 9660 51680 ) S ; + - _267__3 sky130_fd_sc_hd__inv_4 + PLACED ( 1840 48960 ) FN ; + - _268_ sky130_fd_sc_hd__nand2_1 + PLACED ( 4600 43520 ) N ; + - _269_ sky130_fd_sc_hd__nand3_1 + PLACED ( 6440 48960 ) FN ; + - _270_ sky130_fd_sc_hd__nand2_1 + PLACED ( 4600 48960 ) FN ; + - _271_ sky130_fd_sc_hd__inv_2 + PLACED ( 9200 35360 ) S ; + - _272_ sky130_fd_sc_hd__nand2_1 + PLACED ( 10580 35360 ) S ; + - _273_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 6440 38080 ) FN ; + - _274_ sky130_fd_sc_hd__nor3b_2 + PLACED ( 84180 29920 ) FS ; + - _275_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 69000 38080 ) FN ; + - _276_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 84180 35360 ) FS ; + - _277_ sky130_fd_sc_hd__a21o_1 + PLACED ( 69000 35360 ) FS ; + - _278_ sky130_fd_sc_hd__nand3_1 + PLACED ( 70380 32640 ) FN ; + - _279_ sky130_fd_sc_hd__nand2_1 + PLACED ( 67620 35360 ) FS ; + - _280_ sky130_fd_sc_hd__nor2_1 + PLACED ( 40940 8160 ) S ; + - _281_ sky130_fd_sc_hd__nand2_1 + PLACED ( 42320 8160 ) S ; + - _282_ sky130_fd_sc_hd__inv_2 + PLACED ( 48300 13600 ) S ; + - _283_ sky130_fd_sc_hd__o21a_1 + PLACED ( 73600 16320 ) FN ; + - _284_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 42780 13600 ) S ; + - _285_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 48300 8160 ) FS ; + - _286_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 54280 5440 ) N ; + - _287_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 46000 8160 ) FS ; + - _288_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 49680 5440 ) FN ; + - _289_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 40020 13600 ) S ; + - _290_ sky130_fd_sc_hd__nand3_1 + PLACED ( 40020 16320 ) N ; + - _291_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54740 8160 ) FS ; + - _292_ sky130_fd_sc_hd__nand3_1 + PLACED ( 36340 13600 ) S ; + - _293_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 30360 10880 ) FN ; + - _294_ sky130_fd_sc_hd__nand3_1 + PLACED ( 36340 8160 ) S ; + - _295_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 24380 0 ) FN ; + - _296_ sky130_fd_sc_hd__nand3_1 + PLACED ( 39100 8160 ) S ; + - _297_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 38180 5440 ) N ; + - _298_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 13600 ) FS ; + - _299_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 3680 10880 ) N ; + - _300__4 sky130_fd_sc_hd__inv_4 + PLACED ( 2300 5440 ) FN ; + - _301_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 8160 ) FS ; + - _302_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 4140 8160 ) FS ; + - _303_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 2720 ) FS ; + - _304_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 0 ) FN ; + - _305__5 sky130_fd_sc_hd__inv_4 + PLACED ( 60720 16320 ) FN ; + - _306_ sky130_fd_sc_hd__nor2_1 + PLACED ( 15640 16320 ) FN ; + - _307_ sky130_fd_sc_hd__o211a_1 + PLACED ( 22540 10880 ) FN ; + - _308_ sky130_fd_sc_hd__inv_2 + PLACED ( 58880 10880 ) FN ; + - _309_ sky130_fd_sc_hd__nor3b_2 + PLACED ( 61640 2720 ) FS ; + - _310_ sky130_fd_sc_hd__nand3_1 + PLACED ( 55200 10880 ) FN ; + - _311_ sky130_fd_sc_hd__nor2_1 + PLACED ( 60260 2720 ) S ; + - _312_ sky130_fd_sc_hd__nand2_1 + PLACED ( 66240 5440 ) FN ; + - _313_ sky130_fd_sc_hd__o211ai_4 + PLACED ( 24380 8160 ) FS ; + - _314_ sky130_fd_sc_hd__o21bai_1 + PLACED ( 57040 8160 ) FS ; + - _315_ sky130_fd_sc_hd__nand3_1 + PLACED ( 57040 10880 ) FN ; + - _316_ sky130_fd_sc_hd__nor3_1 + PLACED ( 28060 19040 ) FS ; + - _317_ sky130_fd_sc_hd__xor2_1 + PLACED ( 26680 21760 ) N ; + - _318_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12880 19040 ) FS ; + - _319_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 4140 21760 ) FN ; + - _320_ sky130_fd_sc_hd__mux2_1 + PLACED ( 17020 19040 ) FS ; + - _321_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6440 16320 ) N ; + - _322_ sky130_fd_sc_hd__or2b_1 + PLACED ( 85560 10880 ) N ; + - _323_ sky130_fd_sc_hd__or2b_1 + PLACED ( 85100 13600 ) FS ; + - _324_ sky130_fd_sc_hd__nand3_1 + PLACED ( 90160 10880 ) FN ; + - _325_ sky130_fd_sc_hd__nor2_1 + PLACED ( 64400 16320 ) FN ; + - _326_ sky130_fd_sc_hd__and2_1 + PLACED ( 72220 13600 ) S ; + - _327_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 69920 13600 ) FS ; + - _328_ sky130_fd_sc_hd__o21a_1 + PLACED ( 69000 8160 ) FS ; + - _329_ sky130_fd_sc_hd__nand2_1 + PLACED ( 51520 5440 ) N ; + - _330_ sky130_fd_sc_hd__nand2_1 + PLACED ( 56120 5440 ) FN ; + - _331_ sky130_fd_sc_hd__nand3_1 + PLACED ( 54280 0 ) FN ; + - _332_ sky130_fd_sc_hd__nand2_1 + PLACED ( 52440 0 ) FN ; + - _333__6 sky130_fd_sc_hd__inv_4 + PLACED ( 71300 5440 ) N ; + - _334_ sky130_fd_sc_hd__nand2_1 + PLACED ( 69920 5440 ) FN ; + - _335_ sky130_fd_sc_hd__nand3_1 + PLACED ( 66240 2720 ) S ; + - _336_ sky130_fd_sc_hd__nand2_1 + PLACED ( 69920 2720 ) FS ; + - _337_ sky130_fd_sc_hd__inv_2 + PLACED ( 85560 0 ) FN ; + - _338_ sky130_fd_sc_hd__nand2_1 + PLACED ( 84180 0 ) FN ; + - _339_ sky130_fd_sc_hd__o21ai_1 + PLACED ( 81880 2720 ) S ; + - _340_ sky130_fd_sc_hd__nor2_1 + PLACED ( 36340 19040 ) FS ; + - _341_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 41860 19040 ) S ; + - _342_ sky130_fd_sc_hd__nand3_1 + PLACED ( 39560 21760 ) N ; + - _343_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 41400 24480 ) FS ; + - _344__9 sky130_fd_sc_hd__inv_4 + PLACED ( 66700 51680 ) S ; + - _345__8 sky130_fd_sc_hd__inv_4 + PLACED ( 74060 51680 ) S ; + - _346__7 sky130_fd_sc_hd__inv_4 + PLACED ( 84180 51680 ) S ; + - _347_ sky130_fd_sc_hd__mux2_2 + PLACED ( 42320 51680 ) FS ; + - _348_ sky130_fd_sc_hd__buf_1 + PLACED ( 38640 51680 ) S ; + - _349_ sky130_fd_sc_hd__nor2_1 + PLACED ( 57500 24480 ) FS ; + - _350_ sky130_fd_sc_hd__nor2_1 + PLACED ( 76360 43520 ) FN ; + - _351_ sky130_fd_sc_hd__nor3b_1 + PLACED ( 22540 21760 ) N ; + - _352_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 42780 10880 ) N ; + - _353_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 56580 40800 ) S ; + - _354_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 54280 16320 ) N ; + - _355_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 51980 19040 ) FS ; + - _356_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 68540 40800 ) FS ; + - _357_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 72220 38080 ) FN ; + - _358_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 15640 5440 ) N ; + - _359_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 14720 0 ) N ; + - _360_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 12420 10880 ) N ; + - _361_ sky130_fd_sc_hd__nor3_1 + PLACED ( 16100 10880 ) FN ; + - _362_ sky130_fd_sc_hd__o21a_1 + PLACED ( 20700 13600 ) FS ; + - _363_ sky130_fd_sc_hd__nor2_1 + PLACED ( 20700 8160 ) FS ; + - _364_ sky130_fd_sc_hd__nor2_1 + PLACED ( 10580 8160 ) S ; + - _365_ sky130_fd_sc_hd__xor2_1 + PLACED ( 9200 10880 ) N ; + - _366_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 72680 0 ) N ; + - _367_ sky130_fd_sc_hd__nor2_1 + PLACED ( 63940 0 ) FN ; + - _368_ sky130_fd_sc_hd__xor2_1 + PLACED ( 60720 0 ) N ; + - _369_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 46000 2720 ) FS ; + - _370_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 34500 5440 ) FN ; + - _371_ sky130_fd_sc_hd__nor2_1 + PLACED ( 28520 5440 ) FN ; + - _372_ sky130_fd_sc_hd__xor2_1 + PLACED ( 32200 8160 ) FS ; + - _373_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 21160 19040 ) S ; + - _374_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 16560 24480 ) FS ; + - _375_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 29440 24480 ) FS ; + - _376_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 30360 27200 ) N ; + - _377_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 8740 24480 ) FS ; + - _378_ sky130_fd_sc_hd__nor3_1 + PLACED ( 19320 35360 ) S ; + - _379_ sky130_fd_sc_hd__o21a_1 + PLACED ( 19780 29920 ) FS ; + - _380_ sky130_fd_sc_hd__nor2_1 + PLACED ( 21160 35360 ) FS ; + - _381_ sky130_fd_sc_hd__nor2_1 + PLACED ( 12420 29920 ) S ; + - _382_ sky130_fd_sc_hd__xor2_1 + PLACED ( 12420 35360 ) FS ; + - _383_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 12420 40800 ) FS ; + - _384_ sky130_fd_sc_hd__nor2_1 + PLACED ( 18400 48960 ) FN ; + - _385_ sky130_fd_sc_hd__xor2_1 + PLACED ( 18400 51680 ) FS ; + - _386_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 54280 35360 ) S ; + - _387_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 44620 40800 ) FS ; + - _388_ sky130_fd_sc_hd__nor2_1 + PLACED ( 52440 43520 ) N ; + - _389_ sky130_fd_sc_hd__xor2_1 + PLACED ( 32660 40800 ) FS ; + - _390_ sky130_fd_sc_hd__clkinv_2 + PLACED ( 72680 29920 ) FS ; + - _391_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 66240 27200 ) N ; + - _392_ sky130_fd_sc_hd__clkinv_4 + PLACED ( 88780 19040 ) FS ; + - _393_ sky130_fd_sc_hd__nor2_1 + PLACED ( 90160 51680 ) FS ; + - _394_ sky130_fd_sc_hd__nor3_1 + PLACED ( 78660 27200 ) FN ; + - _395_ sky130_fd_sc_hd__xor2_1 + PLACED ( 84180 24480 ) S ; + - _396__13 sky130_fd_sc_hd__conb_1 + PLACED ( 72220 51680 ) S ; + - _397_ sky130_fd_sc_hd__mux2_1 + PLACED ( 26220 29920 ) FS ; + - _398_ sky130_fd_sc_hd__mux2_2 + PLACED ( 23460 5440 ) N ; + - _399_ sky130_fd_sc_hd__mux2_1 + PLACED ( 60260 51680 ) S ; + - _400_ sky130_fd_sc_hd__mux2_1 + PLACED ( 59340 43520 ) N ; + - _401_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 38080 ) N ; + - _402_ sky130_fd_sc_hd__mux2_1 + PLACED ( 8280 38080 ) FN ; + - _403_ sky130_fd_sc_hd__mux2_1 + PLACED ( 19780 40800 ) FS ; + - _404_ sky130_fd_sc_hd__mux2_1 + PLACED ( 15640 40800 ) FS ; + - _405_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 38080 ) N ; + - _406_ sky130_fd_sc_hd__mux2_1 + PLACED ( 42320 35360 ) FS ; + - _407_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 43520 ) N ; + - _408_ sky130_fd_sc_hd__mux2_1 + PLACED ( 48300 43520 ) N ; + - _409_ sky130_fd_sc_hd__mux2_1 + PLACED ( 73600 32640 ) N ; + - _410_ sky130_fd_sc_hd__mux2_1 + PLACED ( 16560 2720 ) FS ; + - _411_ sky130_fd_sc_hd__mux2_1 + PLACED ( 16560 8160 ) FS ; + - _412_ sky130_fd_sc_hd__mux2_1 + PLACED ( 16560 13600 ) FS ; + - _413_ sky130_fd_sc_hd__mux2_1 + PLACED ( 84180 2720 ) FS ; + - _414_ sky130_fd_sc_hd__mux2_1 + PLACED ( 79580 8160 ) S ; + - _415_ sky130_fd_sc_hd__mux2_1 + PLACED ( 73600 5440 ) N ; + - _416_ sky130_fd_sc_hd__mux2_1 + PLACED ( 72220 8160 ) FS ; + - _417_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63480 8160 ) FS ; + - _418_ sky130_fd_sc_hd__mux2_1 + PLACED ( 60720 5440 ) N ; + - _419_ sky130_fd_sc_hd__mux2_1 + PLACED ( 42320 0 ) N ; + - _420_ sky130_fd_sc_hd__mux2_1 + PLACED ( 42320 5440 ) N ; + - _421_ sky130_fd_sc_hd__mux2_1 + PLACED ( 31740 0 ) N ; + - _422_ sky130_fd_sc_hd__mux2_1 + PLACED ( 30360 5440 ) N ; + - _423_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34040 10880 ) N ; + - _424_ sky130_fd_sc_hd__mux2_1 + PLACED ( 31740 16320 ) N ; + - _425_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18400 16320 ) N ; + - _426_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18400 21760 ) N ; + - _427_ sky130_fd_sc_hd__mux2_1 + PLACED ( 30360 29920 ) FS ; + - _428_ sky130_fd_sc_hd__mux2_1 + PLACED ( 15180 29920 ) FS ; + - _429_ sky130_fd_sc_hd__mux2_1 + PLACED ( 13340 32640 ) N ; + - _430_ sky130_fd_sc_hd__mux2_1 + PLACED ( 24380 46240 ) FS ; + - _431_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 43520 ) N ; + - _432_ sky130_fd_sc_hd__mux2_1 + PLACED ( 50140 35360 ) FS ; + - _433_ sky130_fd_sc_hd__mux2_1 + PLACED ( 38180 35360 ) FS ; + - _434_ sky130_fd_sc_hd__mux2_1 + PLACED ( 67160 29920 ) FS ; + - _435_ sky130_fd_sc_hd__mux2_1 + PLACED ( 54280 21760 ) N ; + - _436_ sky130_fd_sc_hd__mux2_1 + PLACED ( 52440 40800 ) S ; + - _437_ sky130_fd_sc_hd__mux2_1 + PLACED ( 84180 40800 ) FS ; + - _438_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 84180 8160 ) FS ; + - _439_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 78200 10880 ) N ; + - _440_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 66240 16320 ) N ; + - _441_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 48300 24480 ) S ; + - _442_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 34500 27200 ) N ; + - _443_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 54280 32640 ) N ; + - _444_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 37260 40800 ) FS ; + - _445_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 80040 48960 ) N ; + - _446_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 73600 46240 ) FS ; + - _447_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 66240 48960 ) N ; + - _448_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 60260 24480 ) S ; + - _449_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 54280 48960 ) N ; + - _450_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 44620 48960 ) N ; + - _451_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 74520 13600 ) FS ; + - _452_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 74060 19040 ) FS ; + - _453_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 62100 19040 ) S ; + - _454_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 16320 ) N ; + - _455_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 78660 21760 ) N ; + - _456_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 67160 21760 ) N ; + - _457_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 80500 27200 ) FN ; + - _458_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 74060 40800 ) FS ; + - _459_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 62560 46240 ) FS ; + - _460_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 32640 ) FN ; + - _461_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 78660 38080 ) FN ; + - _462_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 79120 43520 ) FN ; + - _463_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 44160 21760 ) N ; + - _464_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 80500 5440 ) N ; + - _465_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 72220 2720 ) FS ; + - _466_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 49220 2720 ) FS ; + - _467_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 67160 10880 ) N ; + - _468_ sky130_fd_sc_hd__dfstp_2 + PLACED ( 2300 19040 ) FS ; + - _469_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 6440 21760 ) N ; + - _470_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 30360 21760 ) N ; + - _471_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 60260 13600 ) FS ; + - _472_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 6440 5440 ) N ; + - _473_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 1840 2720 ) FS ; + - _474_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 13600 ) FS ; + - _475_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 36340 2720 ) S ; + - _476_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 24380 2720 ) FS ; + - _477_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 25760 13600 ) FS ; + - _478_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 42320 16320 ) N ; + - _479_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 72220 35360 ) FS ; + - _480_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 40800 ) FS ; + - _481_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 1380 46240 ) FS ; + - _482_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 8280 48960 ) N ; + - _483_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 30360 48960 ) N ; + - _484_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 20700 27200 ) N ; + - _485_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 6440 27200 ) N ; + - _486_ sky130_fd_sc_hd__dfrtn_1 + PLACED ( 1380 29920 ) FS ; + - _487_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 32200 32640 ) FN ; + - _488_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 31280 38080 ) N ; + - _489_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 37720 46240 ) FS ; + - _490_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 66240 43520 ) N ; + - _491_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 42780 32640 ) N ; + - _492_ sky130_fd_sc_hd__dfstp_1 + PLACED ( 72220 24480 ) FS ; + - _493_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 55660 27200 ) N ; + - _494_ sky130_fd_sc_hd__dfrtp_1 + PLACED ( 74520 29920 ) FS ; + - clkbuf_0_ext_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 49680 46240 ) FS ; + - clkbuf_0_pll_clk sky130_fd_sc_hd__clkbuf_16 + PLACED ( 43240 27200 ) FN ; + - clkbuf_0_pll_clk90 sky130_fd_sc_hd__clkbuf_16 + PLACED ( 44160 38080 ) FN ; + - clkbuf_1_0_0_ext_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 40020 51680 ) FS ; + - clkbuf_1_0_0_pll_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 33580 24480 ) S ; + - clkbuf_1_0_0_pll_clk90 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 32200 43520 ) FN ; + - clkbuf_1_1_0_ext_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 60260 46240 ) S ; + - clkbuf_1_1_0_pll_clk sky130_fd_sc_hd__clkbuf_2 + PLACED ( 55660 24480 ) FS ; + - clkbuf_1_1_0_pll_clk90 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 56120 35360 ) FS ; + - hold1 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 48300 40800 ) FS ; - hold2 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 78200 51680 ) FS ; - hold3 sky130_fd_sc_hd__clkdlybuf4s25_1 + PLACED ( 84180 46240 ) FS ; - - input1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 2720 ) FS ; + - input1 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 88320 2720 ) S ; - input2 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 51680 ) FS ; - input3 sky130_fd_sc_hd__buf_12 + PLACED ( 7360 43520 ) N ; - input4 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 32640 ) N ; - input5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 40800 ) FS ; - input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 48960 ) N ; - - input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 10880 ) N ; - - input8 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 19040 ) FS ; - - input9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 24480 ) FS ; + - input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 88320 10880 ) N ; + - input8 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 16320 ) N ; + - input9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 27200 ) N ; - output10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 36340 51680 ) FS ; - - output11 sky130_fd_sc_hd__buf_2 + PLACED ( 69460 51680 ) S ; - - output12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51980 51680 ) FS ; - - rebuffer5 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 37260 5440 ) N ; - - rebuffer6 sky130_fd_sc_hd__buf_2 + PLACED ( 30360 10880 ) FN ; - - split4 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 16320 ) FN ; + - output11 sky130_fd_sc_hd__buf_2 + PLACED ( 69000 51680 ) S ; + - output12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 50140 51680 ) FS ; + - rebuffer10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34040 2720 ) FS ; + - rebuffer11 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23460 16320 ) N ; + - rebuffer12 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 18400 10880 ) FN ; + - rebuffer13 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 51520 8160 ) S ; + - rebuffer14 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 46460 5440 ) FN ; + - rebuffer16 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 26220 16320 ) N ; + - rebuffer5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 13600 ) S ; + - rebuffer6 sky130_fd_sc_hd__dlygate4sd1_1 + PLACED ( 26680 10880 ) FN ; + - rebuffer7 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22080 8160 ) S ; + - rebuffer9 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47840 10880 ) N ; + - split15 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 81880 24480 ) S ; + - split4 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 90160 21760 ) N ; + - split8 sky130_fd_sc_hd__buf_2 + PLACED ( 72220 19040 ) FS ; END COMPONENTS PINS 17 ; - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE GROUND @@ -1380,565 +1384,872 @@ SPECIALNETS 2 ; NEW met1 480 + SHAPE FOLLOWPIN ( 0 8160 ) ( 94300 8160 ) NEW met1 480 + SHAPE FOLLOWPIN ( 0 2720 ) ( 94300 2720 ) ; END SPECIALNETS -NETS 306 ; - - _000_ ( _390_ A0 ) ( _232_ A2 ) ( _230_ A2 ) ( _228_ A2 ) ( _218_ B1 ) ( _217_ Y ) + USE SIGNAL - + ROUTED met2 ( 40710 30770 ) ( * 39270 ) - NEW met1 ( 40710 30770 ) ( 46230 * ) - NEW met1 ( 46230 30430 ) ( * 30770 ) - NEW met1 ( 46230 30430 ) ( 51750 * ) - NEW met1 ( 51750 30430 ) ( * 31110 ) - NEW met1 ( 51750 31110 ) ( 54970 * ) - NEW met1 ( 32430 33830 ) ( 33350 * ) - NEW met1 ( 32430 33830 ) ( * 34510 ) - NEW met1 ( 32430 34510 ) ( 40710 * ) - NEW met1 ( 28750 36550 ) ( 29210 * ) - NEW met1 ( 29210 36210 ) ( * 36550 ) - NEW met2 ( 29210 34510 ) ( * 36210 ) - NEW met1 ( 29210 34510 ) ( 32430 * ) - NEW met1 ( 27370 36890 ) ( 28750 * ) - NEW met1 ( 28750 36550 ) ( * 36890 ) - NEW met2 ( 26450 32130 ) ( * 34510 ) - NEW met1 ( 26450 34510 ) ( 29210 * ) - NEW li1 ( 40710 39270 ) L1M1_PR - NEW met1 ( 40710 39270 ) M1M2_PR - NEW met1 ( 40710 30770 ) M1M2_PR - NEW li1 ( 54970 31110 ) L1M1_PR - NEW li1 ( 33350 33830 ) L1M1_PR - NEW met1 ( 40710 34510 ) M1M2_PR - NEW li1 ( 28750 36550 ) L1M1_PR - NEW met1 ( 29210 36210 ) M1M2_PR - NEW met1 ( 29210 34510 ) M1M2_PR - NEW li1 ( 27370 36890 ) L1M1_PR - NEW li1 ( 26450 32130 ) L1M1_PR - NEW met1 ( 26450 32130 ) M1M2_PR - NEW met1 ( 26450 34510 ) M1M2_PR - NEW met1 ( 40710 39270 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 40710 34510 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 26450 32130 ) RECT ( -355 -70 0 70 ) ; - - _001_ ( _397_ A0 ) ( _325_ B1 ) ( _289_ A2 ) ( _287_ A2 ) ( _285_ A2 ) ( _283_ Y ) + USE SIGNAL - + ROUTED met1 ( 34270 9690 ) ( 36570 * ) - NEW met1 ( 34270 14110 ) ( 37490 * ) - NEW met2 ( 37490 14110 ) ( * 16830 ) - NEW met1 ( 30590 14790 ) ( 33810 * ) - NEW met1 ( 33810 14450 ) ( * 14790 ) - NEW met1 ( 33810 14450 ) ( 34270 * ) - NEW met1 ( 34270 14110 ) ( * 14450 ) - NEW met1 ( 31050 22950 ) ( 31510 * ) - NEW met2 ( 31050 19550 ) ( * 22950 ) - NEW met2 ( 30590 19550 ) ( 31050 * ) - NEW met2 ( 30590 14790 ) ( * 19550 ) - NEW met2 ( 34270 9690 ) ( * 14110 ) - NEW met2 ( 43470 17510 ) ( * 18020 ) - NEW met3 ( 43470 18020 ) ( 61870 * ) - NEW met2 ( 61870 17850 ) ( * 18020 ) - NEW met1 ( 61870 17850 ) ( 64170 * ) - NEW met1 ( 64170 17510 ) ( * 17850 ) - NEW met1 ( 43470 16830 ) ( * 17510 ) - NEW met1 ( 37490 16830 ) ( 43470 * ) - NEW li1 ( 34270 9690 ) L1M1_PR - NEW met1 ( 34270 9690 ) M1M2_PR - NEW li1 ( 36570 9690 ) L1M1_PR - NEW met1 ( 34270 14110 ) M1M2_PR - NEW met1 ( 37490 14110 ) M1M2_PR - NEW met1 ( 37490 16830 ) M1M2_PR - NEW li1 ( 30590 14790 ) L1M1_PR - NEW li1 ( 31510 22950 ) L1M1_PR - NEW met1 ( 31050 22950 ) M1M2_PR - NEW met1 ( 30590 14790 ) M1M2_PR - NEW li1 ( 43470 17510 ) L1M1_PR - NEW met1 ( 43470 17510 ) M1M2_PR - NEW met2 ( 43470 18020 ) M2M3_PR_M - NEW met2 ( 61870 18020 ) M2M3_PR_M - NEW met1 ( 61870 17850 ) M1M2_PR - NEW li1 ( 64170 17510 ) L1M1_PR - NEW met1 ( 34270 9690 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 30590 14790 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 43470 17510 ) RECT ( -355 -70 0 70 ) ; - - _002_ ( _390_ X ) ( _241_ B ) ( _236_ A ) ( _233_ C_N ) + USE SIGNAL - + ROUTED met1 ( 20930 31450 ) ( 24610 * ) - NEW met1 ( 24610 30770 ) ( * 31450 ) - NEW met1 ( 16330 31450 ) ( * 31790 ) - NEW met1 ( 16330 31790 ) ( 20930 * ) - NEW met1 ( 20930 31450 ) ( * 31790 ) - NEW met2 ( 17250 28900 ) ( * 29070 ) - NEW met2 ( 17250 28900 ) ( 17710 * ) - NEW met2 ( 17710 28900 ) ( * 31790 ) - NEW li1 ( 20930 31450 ) L1M1_PR - NEW li1 ( 24610 30770 ) L1M1_PR - NEW li1 ( 16330 31450 ) L1M1_PR - NEW li1 ( 17250 29070 ) L1M1_PR - NEW met1 ( 17250 29070 ) M1M2_PR - NEW met1 ( 17710 31790 ) M1M2_PR - NEW met1 ( 17250 29070 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 17710 31790 ) RECT ( -595 -70 0 70 ) ; - - _003_ ( rebuffer6 A ) ( _397_ X ) ( _293_ C ) + USE SIGNAL - + ROUTED met2 ( 30590 10030 ) ( * 12070 ) - NEW met1 ( 30590 12070 ) ( 31970 * ) - NEW met1 ( 26910 9350 ) ( * 9690 ) - NEW met1 ( 26910 9350 ) ( 30590 * ) - NEW met1 ( 30590 9350 ) ( * 10030 ) - NEW li1 ( 30590 10030 ) L1M1_PR - NEW met1 ( 30590 10030 ) M1M2_PR - NEW met1 ( 30590 12070 ) M1M2_PR - NEW li1 ( 31970 12070 ) L1M1_PR - NEW li1 ( 26910 9690 ) L1M1_PR - NEW met1 ( 30590 10030 ) RECT ( -355 -70 0 70 ) ; - - _004_ ( _400_ X ) ( _317_ A0 ) + USE SIGNAL - + ROUTED met1 ( 9890 17510 ) ( 11730 * ) - NEW met2 ( 11730 17510 ) ( * 19550 ) - NEW met1 ( 11730 19550 ) ( 15410 * ) - NEW li1 ( 9890 17510 ) L1M1_PR - NEW met1 ( 11730 17510 ) M1M2_PR - NEW met1 ( 11730 19550 ) M1M2_PR - NEW li1 ( 15410 19550 ) L1M1_PR ; - - _005_ ( _403_ X ) ( _315_ A0 ) + USE SIGNAL - + ROUTED met1 ( 9890 11730 ) ( 14490 * ) - NEW met1 ( 14490 14450 ) ( 16330 * ) - NEW met2 ( 14490 11730 ) ( * 14450 ) - NEW li1 ( 9890 11730 ) L1M1_PR - NEW met1 ( 14490 11730 ) M1M2_PR - NEW met1 ( 14490 14450 ) M1M2_PR - NEW li1 ( 16330 14450 ) L1M1_PR ; - - _006_ ( _386_ X ) ( _335_ B ) + USE SIGNAL - + ROUTED met1 ( 71530 9690 ) ( 71990 * ) - NEW met2 ( 71990 9690 ) ( * 11390 ) - NEW met1 ( 71990 11390 ) ( 72910 * ) - NEW li1 ( 71530 9690 ) L1M1_PR - NEW met1 ( 71990 9690 ) M1M2_PR - NEW met1 ( 71990 11390 ) M1M2_PR - NEW li1 ( 72910 11390 ) L1M1_PR ; - - _007_ ( _382_ X ) ( _331_ B ) + USE SIGNAL - + ROUTED met1 ( 82800 9010 ) ( 84410 * ) - NEW met1 ( 82800 8670 ) ( * 9010 ) - NEW met1 ( 79810 8670 ) ( 82800 * ) - NEW met2 ( 79810 6630 ) ( * 8670 ) - NEW li1 ( 84410 9010 ) L1M1_PR - NEW met1 ( 79810 8670 ) M1M2_PR - NEW li1 ( 79810 6630 ) L1M1_PR - NEW met1 ( 79810 6630 ) M1M2_PR - NEW met1 ( 79810 6630 ) RECT ( -355 -70 0 70 ) ; - - _008_ ( _407_ X ) ( _327_ B ) + USE SIGNAL - + ROUTED met1 ( 59570 9690 ) ( 60490 * ) - NEW li1 ( 60490 9690 ) ( * 10370 ) - NEW met1 ( 60490 10370 ) ( 61410 * ) - NEW li1 ( 59570 9690 ) L1M1_PR - NEW li1 ( 60490 9690 ) L1M1_PR - NEW li1 ( 60490 10370 ) L1M1_PR - NEW li1 ( 61410 10370 ) L1M1_PR ; - - _009_ ( _405_ X ) ( _289_ A1 ) + USE SIGNAL - + ROUTED met1 ( 30130 14450 ) ( * 14790 ) - NEW met1 ( 30130 14450 ) ( 31970 * ) - NEW li1 ( 30130 14790 ) L1M1_PR - NEW li1 ( 31970 14450 ) L1M1_PR ; - - _010_ ( _402_ X ) ( _287_ A1 ) + USE SIGNAL - + ROUTED met1 ( 31510 18530 ) ( 32890 * ) - NEW met2 ( 32890 18530 ) ( * 22950 ) - NEW met1 ( 31970 22950 ) ( 32890 * ) - NEW li1 ( 31510 18530 ) L1M1_PR - NEW met1 ( 32890 18530 ) M1M2_PR - NEW met1 ( 32890 22950 ) M1M2_PR - NEW li1 ( 31970 22950 ) L1M1_PR ; - - _011_ ( _399_ X ) ( _285_ A1 ) + USE SIGNAL - + ROUTED met2 ( 43930 17850 ) ( * 19550 ) - NEW li1 ( 43930 17850 ) L1M1_PR - NEW met1 ( 43930 17850 ) M1M2_PR - NEW li1 ( 43930 19550 ) L1M1_PR - NEW met1 ( 43930 19550 ) M1M2_PR - NEW met1 ( 43930 17850 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 19550 ) RECT ( 0 -70 355 70 ) ; - - _012_ ( _387_ X ) ( _206_ A0 ) + USE SIGNAL - + ROUTED met1 ( 86250 32130 ) ( 88550 * ) - NEW li1 ( 86250 32130 ) L1M1_PR - NEW li1 ( 88550 32130 ) L1M1_PR ; - - _013_ ( _410_ X ) ( _204_ A0 ) + USE SIGNAL - + ROUTED met1 ( 68310 33490 ) ( 73830 * ) - NEW met1 ( 73830 33150 ) ( * 33490 ) - NEW li1 ( 68310 33490 ) L1M1_PR - NEW li1 ( 73830 33150 ) L1M1_PR ; - - _014_ ( _384_ X ) ( _263_ B ) + USE SIGNAL - + ROUTED met1 ( 11730 36890 ) ( 12650 * ) - NEW met2 ( 12650 36890 ) ( * 38590 ) - NEW met1 ( 12650 38590 ) ( 13110 * ) +NETS 328 ; + - _000_ ( _397_ A0 ) ( _244_ A2 ) ( _242_ A2 ) ( _240_ A2 ) ( _230_ B1 ) ( _229_ Y ) + USE SIGNAL + + ROUTED met1 ( 39330 44710 ) ( 43470 * ) + NEW met1 ( 34730 36890 ) ( 37490 * ) + NEW met1 ( 37490 36890 ) ( * 37230 ) + NEW met1 ( 37490 37230 ) ( 40710 * ) + NEW met2 ( 40710 37230 ) ( * 44710 ) + NEW met1 ( 30590 36210 ) ( 33810 * ) + NEW met1 ( 33810 36210 ) ( * 36890 ) + NEW met1 ( 33810 36890 ) ( 34730 * ) + NEW met2 ( 38410 31450 ) ( * 37230 ) + NEW met1 ( 28290 31790 ) ( 38410 * ) + NEW met1 ( 38410 31450 ) ( * 31790 ) + NEW li1 ( 39330 44710 ) L1M1_PR + NEW li1 ( 43470 44710 ) L1M1_PR + NEW li1 ( 34730 36890 ) L1M1_PR + NEW met1 ( 40710 37230 ) M1M2_PR + NEW met1 ( 40710 44710 ) M1M2_PR + NEW li1 ( 30590 36210 ) L1M1_PR + NEW li1 ( 38410 31450 ) L1M1_PR + NEW met1 ( 38410 31450 ) M1M2_PR + NEW met1 ( 38410 37230 ) M1M2_PR + NEW li1 ( 28290 31790 ) L1M1_PR + NEW met1 ( 40710 44710 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 38410 31450 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 38410 37230 ) RECT ( -595 -70 0 70 ) ; + - _001_ ( _398_ A0 ) ( _328_ B1 ) ( _297_ A2 ) ( _295_ A2 ) ( _293_ A2 ) ( _291_ Y ) + USE SIGNAL + + ROUTED met2 ( 40710 6630 ) ( * 7310 ) + NEW met1 ( 31510 12070 ) ( 35650 * ) + NEW met1 ( 35650 12070 ) ( * 12410 ) + NEW met1 ( 35650 12410 ) ( 37030 * ) + NEW met1 ( 37030 12070 ) ( * 12410 ) + NEW met1 ( 37030 12070 ) ( 40710 * ) + NEW met2 ( 40710 7310 ) ( * 12070 ) + NEW met1 ( 25530 6630 ) ( 28290 * ) + NEW met1 ( 28290 6630 ) ( * 6970 ) + NEW met1 ( 28290 6970 ) ( 30130 * ) + NEW met2 ( 30130 6970 ) ( * 12070 ) + NEW met1 ( 30130 12070 ) ( 31510 * ) + NEW met1 ( 25530 1530 ) ( * 1870 ) + NEW met1 ( 25530 1870 ) ( 27830 * ) + NEW met2 ( 27830 1870 ) ( * 6630 ) + NEW met1 ( 56810 9350 ) ( 65550 * ) + NEW met1 ( 65550 9010 ) ( * 9350 ) + NEW met1 ( 65550 9010 ) ( 70150 * ) + NEW met1 ( 70150 9010 ) ( * 9690 ) + NEW met1 ( 52210 7310 ) ( * 7650 ) + NEW met1 ( 52210 7650 ) ( 55430 * ) + NEW met2 ( 55430 7650 ) ( * 8670 ) + NEW met1 ( 55430 8670 ) ( 56810 * ) + NEW met2 ( 56810 8670 ) ( * 9350 ) + NEW met1 ( 40710 7310 ) ( 52210 * ) + NEW li1 ( 40710 6630 ) L1M1_PR + NEW met1 ( 40710 6630 ) M1M2_PR + NEW met1 ( 40710 7310 ) M1M2_PR + NEW li1 ( 31510 12070 ) L1M1_PR + NEW met1 ( 40710 12070 ) M1M2_PR + NEW li1 ( 25530 6630 ) L1M1_PR + NEW met1 ( 30130 6970 ) M1M2_PR + NEW met1 ( 30130 12070 ) M1M2_PR + NEW li1 ( 25530 1530 ) L1M1_PR + NEW met1 ( 27830 1870 ) M1M2_PR + NEW met1 ( 27830 6630 ) M1M2_PR + NEW li1 ( 56810 9350 ) L1M1_PR + NEW li1 ( 70150 9690 ) L1M1_PR + NEW met1 ( 55430 7650 ) M1M2_PR + NEW met1 ( 55430 8670 ) M1M2_PR + NEW met1 ( 56810 8670 ) M1M2_PR + NEW met1 ( 56810 9350 ) M1M2_PR + NEW met1 ( 40710 6630 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 27830 6630 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 56810 9350 ) RECT ( -595 -70 0 70 ) ; + - _002_ ( _397_ X ) ( _250_ S ) ( _248_ S ) ( _245_ S ) + USE SIGNAL + + ROUTED met2 ( 12650 26350 ) ( * 34170 ) + NEW met1 ( 11270 34170 ) ( 12650 * ) + NEW met1 ( 15410 25670 ) ( * 26350 ) + NEW met2 ( 27370 25670 ) ( * 30770 ) + NEW met1 ( 26450 30770 ) ( 27370 * ) + NEW met1 ( 12650 26350 ) ( 15410 * ) + NEW met1 ( 12650 30770 ) ( 26450 * ) + NEW met1 ( 12650 26350 ) M1M2_PR + NEW met1 ( 12650 34170 ) M1M2_PR + NEW li1 ( 11270 34170 ) L1M1_PR + NEW met1 ( 12650 30770 ) M1M2_PR + NEW li1 ( 15410 25670 ) L1M1_PR + NEW li1 ( 26450 30770 ) L1M1_PR + NEW li1 ( 27370 25670 ) L1M1_PR + NEW met1 ( 27370 25670 ) M1M2_PR + NEW met1 ( 27370 30770 ) M1M2_PR + NEW met2 ( 12650 30770 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 27370 25670 ) RECT ( -355 -70 0 70 ) ; + - _003_ ( _398_ X ) ( _303_ S ) ( _301_ S ) ( _298_ S ) + USE SIGNAL + + ROUTED met1 ( 15870 9350 ) ( 18170 * ) + NEW met2 ( 18170 6630 ) ( * 9350 ) + NEW met1 ( 18170 6630 ) ( 24060 * ) + NEW met1 ( 15870 3910 ) ( 18170 * ) + NEW met2 ( 18170 3910 ) ( * 6630 ) + NEW met1 ( 15870 14790 ) ( 18170 * ) + NEW met2 ( 18170 9350 ) ( * 14790 ) + NEW li1 ( 15870 9350 ) L1M1_PR + NEW met1 ( 18170 9350 ) M1M2_PR + NEW met1 ( 18170 6630 ) M1M2_PR + NEW li1 ( 24060 6630 ) L1M1_PR + NEW li1 ( 15870 3910 ) L1M1_PR + NEW met1 ( 18170 3910 ) M1M2_PR + NEW li1 ( 15870 14790 ) L1M1_PR + NEW met1 ( 18170 14790 ) M1M2_PR ; + - _004_ ( _425_ X ) ( _320_ A0 ) + USE SIGNAL + + ROUTED met1 ( 18630 18530 ) ( 19090 * ) + NEW met2 ( 19090 18530 ) ( * 20570 ) + NEW li1 ( 18630 18530 ) L1M1_PR + NEW met1 ( 19090 18530 ) M1M2_PR + NEW li1 ( 19090 20570 ) L1M1_PR + NEW met1 ( 19090 20570 ) M1M2_PR + NEW met1 ( 19090 20570 ) RECT ( -355 -70 0 70 ) ; + - _005_ ( _426_ X ) ( _318_ A0 ) + USE SIGNAL + + ROUTED met1 ( 14950 21250 ) ( 18630 * ) + NEW met2 ( 18630 21250 ) ( * 22270 ) + NEW li1 ( 14950 21250 ) L1M1_PR + NEW met1 ( 18630 21250 ) M1M2_PR + NEW li1 ( 18630 22270 ) L1M1_PR + NEW met1 ( 18630 22270 ) M1M2_PR + NEW met1 ( 18630 22270 ) RECT ( -355 -70 0 70 ) ; + - _006_ ( _414_ X ) ( _338_ B ) + USE SIGNAL + + ROUTED met1 ( 85330 1190 ) ( * 1530 ) + NEW met1 ( 83490 1530 ) ( 85330 * ) + NEW met2 ( 83490 1530 ) ( * 8670 ) + NEW li1 ( 85330 1190 ) L1M1_PR + NEW met1 ( 83490 1530 ) M1M2_PR + NEW li1 ( 83490 8670 ) L1M1_PR + NEW met1 ( 83490 8670 ) M1M2_PR + NEW met1 ( 83490 8670 ) RECT ( -355 -70 0 70 ) ; + - _007_ ( _416_ X ) ( _334_ B ) + USE SIGNAL + + ROUTED met1 ( 71070 6630 ) ( * 7310 ) + NEW met2 ( 71070 7310 ) ( * 8670 ) + NEW met1 ( 71070 8670 ) ( 72450 * ) + NEW li1 ( 71070 6630 ) L1M1_PR + NEW met1 ( 71070 7310 ) M1M2_PR + NEW met1 ( 71070 8670 ) M1M2_PR + NEW li1 ( 72450 8670 ) L1M1_PR ; + - _008_ ( _418_ X ) ( _330_ B ) + USE SIGNAL + + ROUTED met1 ( 57270 6630 ) ( 60950 * ) + NEW met1 ( 60950 5950 ) ( * 6630 ) + NEW li1 ( 57270 6630 ) L1M1_PR + NEW li1 ( 60950 5950 ) L1M1_PR ; + - _009_ ( _420_ X ) ( _297_ A1 ) + USE SIGNAL + + ROUTED met1 ( 40250 6290 ) ( * 6630 ) + NEW met1 ( 40250 6290 ) ( 42550 * ) + NEW met1 ( 42550 5950 ) ( * 6290 ) + NEW li1 ( 40250 6630 ) L1M1_PR + NEW li1 ( 42550 5950 ) L1M1_PR ; + - _010_ ( _422_ X ) ( _295_ A1 ) + USE SIGNAL + + ROUTED met1 ( 25990 1530 ) ( 30590 * ) + NEW met2 ( 30590 1530 ) ( * 5950 ) + NEW li1 ( 25990 1530 ) L1M1_PR + NEW met1 ( 30590 1530 ) M1M2_PR + NEW li1 ( 30590 5950 ) L1M1_PR + NEW met1 ( 30590 5950 ) M1M2_PR + NEW met1 ( 30590 5950 ) RECT ( -355 -70 0 70 ) ; + - _011_ ( _424_ X ) ( _293_ A1 ) + USE SIGNAL + + ROUTED met1 ( 31970 12410 ) ( 32890 * ) + NEW met1 ( 31970 16830 ) ( 32890 * ) + NEW met2 ( 32890 12410 ) ( * 16830 ) + NEW li1 ( 31970 12410 ) L1M1_PR + NEW met1 ( 32890 12410 ) M1M2_PR + NEW met1 ( 32890 16830 ) M1M2_PR + NEW li1 ( 31970 16830 ) L1M1_PR ; + - _012_ ( _410_ X ) ( _303_ A1 ) + USE SIGNAL + + ROUTED met1 ( 14950 4930 ) ( 16790 * ) + NEW li1 ( 14950 4930 ) L1M1_PR + NEW li1 ( 16790 4930 ) L1M1_PR ; + - _013_ ( _411_ X ) ( _301_ A1 ) + USE SIGNAL + + ROUTED met1 ( 14950 10370 ) ( 16790 * ) + NEW li1 ( 14950 10370 ) L1M1_PR + NEW li1 ( 16790 10370 ) L1M1_PR ; + - _014_ ( _412_ X ) ( _298_ A1 ) + USE SIGNAL + + ROUTED met1 ( 14950 14450 ) ( * 14790 ) + NEW met1 ( 14950 14450 ) ( 16790 * ) + NEW li1 ( 14950 14790 ) L1M1_PR + NEW li1 ( 16790 14450 ) L1M1_PR ; + - _015_ ( _409_ X ) ( _218_ A0 ) + USE SIGNAL + + ROUTED met2 ( 72910 28390 ) ( * 33150 ) + NEW met1 ( 72910 33150 ) ( 73830 * ) + NEW li1 ( 72910 28390 ) L1M1_PR + NEW met1 ( 72910 28390 ) M1M2_PR + NEW met1 ( 72910 33150 ) M1M2_PR + NEW li1 ( 73830 33150 ) L1M1_PR + NEW met1 ( 72910 28390 ) RECT ( -355 -70 0 70 ) ; + - _016_ ( _434_ X ) ( _216_ A0 ) + USE SIGNAL + + ROUTED met1 ( 63710 32130 ) ( 67390 * ) + NEW li1 ( 63710 32130 ) L1M1_PR + NEW li1 ( 67390 32130 ) L1M1_PR ; + - _017_ ( _402_ X ) ( _272_ B ) + USE SIGNAL + + ROUTED met2 ( 11730 36890 ) ( * 40290 ) + NEW met1 ( 11730 40290 ) ( 12190 * ) NEW li1 ( 11730 36890 ) L1M1_PR - NEW met1 ( 12650 36890 ) M1M2_PR - NEW met1 ( 12650 38590 ) M1M2_PR - NEW li1 ( 13110 38590 ) L1M1_PR ; - - _015_ ( _409_ X ) ( _259_ B ) + USE SIGNAL - + ROUTED met1 ( 21850 43010 ) ( 24610 * ) - NEW met2 ( 21850 43010 ) ( * 44370 ) - NEW met1 ( 15870 44370 ) ( 21850 * ) - NEW met1 ( 15870 44370 ) ( * 44710 ) - NEW li1 ( 24610 43010 ) L1M1_PR - NEW met1 ( 21850 43010 ) M1M2_PR - NEW met1 ( 21850 44370 ) M1M2_PR - NEW li1 ( 15870 44710 ) L1M1_PR ; - - _016_ ( _396_ X ) ( _255_ B ) + USE SIGNAL - + ROUTED met1 ( 11730 41650 ) ( * 42330 ) - NEW met1 ( 11730 41650 ) ( 19090 * ) + NEW met1 ( 11730 36890 ) M1M2_PR + NEW met1 ( 11730 40290 ) M1M2_PR + NEW li1 ( 12190 40290 ) L1M1_PR + NEW met1 ( 11730 36890 ) RECT ( 0 -70 355 70 ) ; + - _018_ ( _404_ X ) ( _268_ B ) + USE SIGNAL + + ROUTED met2 ( 11730 43010 ) ( * 44370 ) + NEW met1 ( 4830 44370 ) ( 11730 * ) + NEW met1 ( 4830 44370 ) ( * 44710 ) + NEW met1 ( 11730 43010 ) ( 15870 * ) + NEW met1 ( 11730 43010 ) M1M2_PR + NEW met1 ( 11730 44370 ) M1M2_PR + NEW li1 ( 4830 44710 ) L1M1_PR + NEW li1 ( 15870 43010 ) L1M1_PR ; + - _019_ ( _431_ X ) ( _264_ B ) + USE SIGNAL + + ROUTED met1 ( 11730 42330 ) ( * 42670 ) + NEW met1 ( 11730 42670 ) ( 13110 * ) + NEW met2 ( 13110 42670 ) ( * 44030 ) + NEW met1 ( 14490 44030 ) ( * 44370 ) + NEW met1 ( 14490 44370 ) ( 19090 * ) + NEW met1 ( 19090 44030 ) ( * 44370 ) + NEW met1 ( 13110 44030 ) ( 14490 * ) NEW li1 ( 11730 42330 ) L1M1_PR - NEW li1 ( 19090 41650 ) L1M1_PR ; - - _017_ ( _380_ X ) ( _232_ A1 ) + USE SIGNAL - + ROUTED met2 ( 34730 34850 ) ( * 36890 ) - NEW met1 ( 29210 36890 ) ( 34730 * ) - NEW li1 ( 34730 34850 ) L1M1_PR - NEW met1 ( 34730 34850 ) M1M2_PR - NEW met1 ( 34730 36890 ) M1M2_PR - NEW li1 ( 29210 36890 ) L1M1_PR - NEW met1 ( 34730 34850 ) RECT ( -355 -70 0 70 ) ; - - _018_ ( _392_ X ) ( _230_ A1 ) + USE SIGNAL - + ROUTED met1 ( 32890 34170 ) ( 37030 * ) - NEW met2 ( 37030 34170 ) ( * 35870 ) - NEW li1 ( 32890 34170 ) L1M1_PR - NEW met1 ( 37030 34170 ) M1M2_PR - NEW li1 ( 37030 35870 ) L1M1_PR - NEW met1 ( 37030 35870 ) M1M2_PR - NEW met1 ( 37030 35870 ) RECT ( -355 -70 0 70 ) ; - - _019_ ( _389_ X ) ( _228_ A1 ) + USE SIGNAL - + ROUTED met1 ( 55430 30770 ) ( * 31110 ) - NEW met1 ( 53590 30770 ) ( 55430 * ) - NEW li1 ( 55430 31110 ) L1M1_PR - NEW li1 ( 53590 30770 ) L1M1_PR ; - - _020_ ( _411_ S ) ( _348_ Y ) + USE SIGNAL - + ROUTED met1 ( 56350 21250 ) ( 57270 * ) - NEW met2 ( 57270 21250 ) ( * 23290 ) - NEW li1 ( 56350 21250 ) L1M1_PR - NEW met1 ( 57270 21250 ) M1M2_PR - NEW li1 ( 57270 23290 ) L1M1_PR - NEW met1 ( 57270 23290 ) M1M2_PR - NEW met1 ( 57270 23290 ) RECT ( 0 -70 355 70 ) ; - - _021_ ( _413_ S ) ( _352_ Y ) + USE SIGNAL - + ROUTED met2 ( 71530 39610 ) ( * 41310 ) - NEW met1 ( 70150 41310 ) ( 71530 * ) - NEW li1 ( 71530 39610 ) L1M1_PR - NEW met1 ( 71530 39610 ) M1M2_PR - NEW met1 ( 71530 41310 ) M1M2_PR - NEW li1 ( 70150 41310 ) L1M1_PR - NEW met1 ( 71530 39610 ) RECT ( -355 -70 0 70 ) ; - - _022_ ( _403_ S ) ( _400_ S ) ( _364_ Y ) + USE SIGNAL - + ROUTED met2 ( 15870 14790 ) ( * 16830 ) - NEW met1 ( 15870 14790 ) ( 19090 * ) - NEW met2 ( 18170 17170 ) ( * 20230 ) - NEW met1 ( 15870 17170 ) ( 18170 * ) - NEW met1 ( 15870 16830 ) ( * 17170 ) - NEW li1 ( 15870 16830 ) L1M1_PR - NEW met1 ( 15870 16830 ) M1M2_PR - NEW met1 ( 15870 14790 ) M1M2_PR - NEW li1 ( 19090 14790 ) L1M1_PR - NEW li1 ( 18170 20230 ) L1M1_PR - NEW met1 ( 18170 20230 ) M1M2_PR - NEW met1 ( 18170 17170 ) M1M2_PR - NEW met1 ( 15870 16830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 18170 20230 ) RECT ( 0 -70 355 70 ) ; - - _023_ ( rebuffer5 A ) ( _397_ S ) ( _303_ Y ) + USE SIGNAL - + ROUTED met1 ( 37030 6630 ) ( 37490 * ) - NEW met2 ( 37030 6630 ) ( * 9350 ) - NEW met1 ( 32890 9350 ) ( 37030 * ) - NEW met1 ( 32890 9350 ) ( * 9690 ) - NEW met2 ( 37030 4930 ) ( * 6630 ) - NEW li1 ( 37490 6630 ) L1M1_PR - NEW met1 ( 37030 6630 ) M1M2_PR - NEW met1 ( 37030 9350 ) M1M2_PR - NEW li1 ( 32890 9690 ) L1M1_PR - NEW li1 ( 37030 4930 ) L1M1_PR - NEW met1 ( 37030 4930 ) M1M2_PR - NEW met1 ( 37030 4930 ) RECT ( -355 -70 0 70 ) ; - - _024_ ( _406_ S ) ( _385_ S ) ( _381_ S ) ( _306_ C ) ( _305_ Y ) + USE SIGNAL - + ROUTED met2 ( 88090 3740 ) ( * 3910 ) - NEW met2 ( 71530 3740 ) ( * 3910 ) - NEW met1 ( 68770 9350 ) ( 69230 * ) - NEW met2 ( 69230 3740 ) ( * 9350 ) - NEW met1 ( 69230 6970 ) ( 76590 * ) - NEW met3 ( 71530 3740 ) ( 88090 * ) - NEW met2 ( 46690 3740 ) ( * 4250 ) - NEW met3 ( 46690 3740 ) ( 71530 * ) - NEW met2 ( 88090 3740 ) M2M3_PR_M - NEW li1 ( 88090 3910 ) L1M1_PR - NEW met1 ( 88090 3910 ) M1M2_PR - NEW li1 ( 71530 3910 ) L1M1_PR - NEW met1 ( 71530 3910 ) M1M2_PR - NEW met2 ( 71530 3740 ) M2M3_PR_M - NEW li1 ( 68770 9350 ) L1M1_PR - NEW met1 ( 69230 9350 ) M1M2_PR - NEW met2 ( 69230 3740 ) M2M3_PR_M - NEW li1 ( 76590 6970 ) L1M1_PR - NEW met1 ( 69230 6970 ) M1M2_PR - NEW met2 ( 46690 3740 ) M2M3_PR_M - NEW li1 ( 46690 4250 ) L1M1_PR - NEW met1 ( 46690 4250 ) M1M2_PR - NEW met1 ( 88090 3910 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 71530 3910 ) RECT ( -355 -70 0 70 ) - NEW met3 ( 69230 3740 ) RECT ( -800 -150 0 150 ) - NEW met2 ( 69230 6970 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 46690 4250 ) RECT ( -355 -70 0 70 ) ; - - _025_ ( _404_ S ) ( _401_ S ) ( _398_ S ) ( _345_ Y ) + USE SIGNAL - + ROUTED met2 ( 51290 15130 ) ( * 20230 ) - NEW met1 ( 39790 19890 ) ( * 20230 ) - NEW met1 ( 39790 19890 ) ( 51290 * ) - NEW met1 ( 51290 19890 ) ( * 20230 ) - NEW met1 ( 39790 14450 ) ( * 14790 ) - NEW met1 ( 39790 14450 ) ( 51290 * ) - NEW met1 ( 51290 14450 ) ( * 15130 ) - NEW li1 ( 51290 15130 ) L1M1_PR - NEW met1 ( 51290 15130 ) M1M2_PR - NEW li1 ( 51290 20230 ) L1M1_PR - NEW met1 ( 51290 20230 ) M1M2_PR - NEW li1 ( 39790 20230 ) L1M1_PR - NEW li1 ( 39790 14790 ) L1M1_PR - NEW met1 ( 51290 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 51290 20230 ) RECT ( 0 -70 355 70 ) ; - - _026_ ( _410_ S ) ( _387_ S ) ( _346_ Y ) + USE SIGNAL - + ROUTED met1 ( 77050 34170 ) ( 91770 * ) - NEW met2 ( 91770 31110 ) ( * 34170 ) - NEW met1 ( 73370 34170 ) ( 77050 * ) + NEW met1 ( 13110 42670 ) M1M2_PR + NEW met1 ( 13110 44030 ) M1M2_PR + NEW li1 ( 19090 44030 ) L1M1_PR ; + - _020_ ( _433_ X ) ( _244_ A1 ) + USE SIGNAL + + ROUTED met1 ( 34270 36210 ) ( * 36550 ) + NEW met1 ( 34270 36210 ) ( 38410 * ) + NEW li1 ( 34270 36550 ) L1M1_PR + NEW li1 ( 38410 36210 ) L1M1_PR ; + - _021_ ( _406_ X ) ( _242_ A1 ) + USE SIGNAL + + ROUTED met2 ( 42550 37570 ) ( * 44370 ) + NEW met1 ( 38870 44370 ) ( 42550 * ) + NEW met1 ( 38870 44370 ) ( * 44710 ) + NEW li1 ( 42550 37570 ) L1M1_PR + NEW met1 ( 42550 37570 ) M1M2_PR + NEW met1 ( 42550 44370 ) M1M2_PR + NEW li1 ( 38870 44710 ) L1M1_PR + NEW met1 ( 42550 37570 ) RECT ( -355 -70 0 70 ) ; + - _022_ ( _408_ X ) ( _240_ A1 ) + USE SIGNAL + + ROUTED met1 ( 43930 44710 ) ( 45770 * ) + NEW met1 ( 45770 44710 ) ( * 45050 ) + NEW met1 ( 45770 45050 ) ( 48530 * ) + NEW met1 ( 48530 45050 ) ( * 45390 ) + NEW li1 ( 43930 44710 ) L1M1_PR + NEW li1 ( 48530 45390 ) L1M1_PR ; + - _023_ ( _427_ X ) ( _250_ A1 ) + USE SIGNAL + + ROUTED met1 ( 26910 26690 ) ( 27830 * ) + NEW met2 ( 27830 26690 ) ( * 30430 ) + NEW met1 ( 27830 30430 ) ( 30590 * ) + NEW li1 ( 26910 26690 ) L1M1_PR + NEW met1 ( 27830 26690 ) M1M2_PR + NEW met1 ( 27830 30430 ) M1M2_PR + NEW li1 ( 30590 30430 ) L1M1_PR ; + - _024_ ( _428_ X ) ( _248_ A1 ) + USE SIGNAL + + ROUTED met2 ( 14950 26690 ) ( * 30430 ) + NEW met1 ( 14950 30430 ) ( 15410 * ) + NEW li1 ( 14950 26690 ) L1M1_PR + NEW met1 ( 14950 26690 ) M1M2_PR + NEW met1 ( 14950 30430 ) M1M2_PR + NEW li1 ( 15410 30430 ) L1M1_PR + NEW met1 ( 14950 26690 ) RECT ( -355 -70 0 70 ) ; + - _025_ ( _429_ X ) ( _245_ A1 ) + USE SIGNAL + + ROUTED met1 ( 10350 33150 ) ( 13570 * ) + NEW li1 ( 13570 33150 ) L1M1_PR + NEW li1 ( 10350 33150 ) L1M1_PR ; + - _026_ ( _435_ S ) ( _349_ Y ) + USE SIGNAL + + ROUTED met2 ( 57730 23290 ) ( * 24990 ) + NEW li1 ( 57730 23290 ) L1M1_PR + NEW met1 ( 57730 23290 ) M1M2_PR + NEW li1 ( 57730 24990 ) L1M1_PR + NEW met1 ( 57730 24990 ) M1M2_PR + NEW met1 ( 57730 23290 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 57730 24990 ) RECT ( -355 -70 0 70 ) ; + - _027_ ( _437_ S ) ( _350_ Y ) + USE SIGNAL + + ROUTED met2 ( 87170 41990 ) ( * 45730 ) + NEW met1 ( 77510 45730 ) ( 87170 * ) + NEW li1 ( 87170 41990 ) L1M1_PR + NEW met1 ( 87170 41990 ) M1M2_PR + NEW met1 ( 87170 45730 ) M1M2_PR + NEW li1 ( 77510 45730 ) L1M1_PR + NEW met1 ( 87170 41990 ) RECT ( -355 -70 0 70 ) ; + - _028_ ( _426_ S ) ( _425_ S ) ( _351_ Y ) + USE SIGNAL + + ROUTED met1 ( 21850 23290 ) ( 22770 * ) + NEW met1 ( 20010 17850 ) ( 21390 * ) + NEW met2 ( 20010 17850 ) ( * 23290 ) + NEW met1 ( 20010 23290 ) ( 21850 * ) + NEW li1 ( 21850 23290 ) L1M1_PR + NEW li1 ( 22770 23290 ) L1M1_PR + NEW li1 ( 21390 17850 ) L1M1_PR + NEW met1 ( 20010 17850 ) M1M2_PR + NEW met1 ( 20010 23290 ) M1M2_PR ; + - _029_ ( rebuffer16 A ) ( _398_ S ) ( _307_ X ) + USE SIGNAL + + ROUTED met1 ( 25990 11390 ) ( 26450 * ) + NEW met2 ( 26450 6970 ) ( * 11390 ) + NEW met1 ( 26450 6970 ) ( 26910 * ) + NEW met2 ( 26450 11390 ) ( * 17510 ) + NEW li1 ( 25990 11390 ) L1M1_PR + NEW met1 ( 26450 11390 ) M1M2_PR + NEW met1 ( 26450 6970 ) M1M2_PR + NEW li1 ( 26910 6970 ) L1M1_PR + NEW li1 ( 26450 17510 ) L1M1_PR + NEW met1 ( 26450 17510 ) M1M2_PR + NEW met1 ( 26450 17510 ) RECT ( -355 -70 0 70 ) ; + - _030_ ( _417_ S ) ( _415_ S ) ( _413_ S ) ( _310_ C ) ( _309_ Y ) + USE SIGNAL + + ROUTED met1 ( 77050 6970 ) ( 78430 * ) + NEW met2 ( 78430 3570 ) ( * 6970 ) + NEW met1 ( 78430 3570 ) ( 87170 * ) + NEW met1 ( 87170 3570 ) ( * 3910 ) + NEW met1 ( 66930 9350 ) ( 69690 * ) + NEW met2 ( 69690 9180 ) ( * 9350 ) + NEW met2 ( 69690 9180 ) ( 71530 * ) + NEW met2 ( 71530 7310 ) ( * 9180 ) + NEW met1 ( 71530 7310 ) ( 77050 * ) + NEW met1 ( 77050 6970 ) ( * 7310 ) + NEW met1 ( 66010 9350 ) ( * 10030 ) + NEW met1 ( 66010 9350 ) ( 66930 * ) + NEW met2 ( 64630 4590 ) ( 65090 * ) + NEW met2 ( 65090 4590 ) ( * 10030 ) + NEW met2 ( 56810 10030 ) ( * 11730 ) + NEW met1 ( 56810 10030 ) ( 66010 * ) + NEW li1 ( 77050 6970 ) L1M1_PR + NEW met1 ( 78430 6970 ) M1M2_PR + NEW met1 ( 78430 3570 ) M1M2_PR + NEW li1 ( 87170 3910 ) L1M1_PR + NEW li1 ( 66930 9350 ) L1M1_PR + NEW met1 ( 69690 9350 ) M1M2_PR + NEW met1 ( 71530 7310 ) M1M2_PR + NEW li1 ( 64630 4590 ) L1M1_PR + NEW met1 ( 64630 4590 ) M1M2_PR + NEW met1 ( 65090 10030 ) M1M2_PR + NEW met1 ( 56810 10030 ) M1M2_PR + NEW li1 ( 56810 11730 ) L1M1_PR + NEW met1 ( 56810 11730 ) M1M2_PR + NEW met1 ( 64630 4590 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 65090 10030 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 56810 11730 ) RECT ( -355 -70 0 70 ) ; + - _031_ ( _423_ S ) ( _421_ S ) ( _419_ S ) ( _352_ Y ) + USE SIGNAL + + ROUTED met1 ( 37490 12410 ) ( 43010 * ) + NEW met2 ( 45310 1530 ) ( * 12410 ) + NEW met1 ( 43010 12410 ) ( 45310 * ) + NEW met1 ( 35190 1530 ) ( 45310 * ) + NEW li1 ( 37490 12410 ) L1M1_PR + NEW li1 ( 43010 12410 ) L1M1_PR + NEW li1 ( 45310 1530 ) L1M1_PR + NEW met1 ( 45310 1530 ) M1M2_PR + NEW met1 ( 45310 12410 ) M1M2_PR + NEW li1 ( 35190 1530 ) L1M1_PR + NEW met1 ( 45310 1530 ) RECT ( -355 -70 0 70 ) ; + - _032_ ( _434_ S ) ( _409_ S ) ( _278_ A ) ( _277_ A1 ) ( _274_ Y ) + USE SIGNAL + + ROUTED met1 ( 77050 34170 ) ( 84870 * ) + NEW met2 ( 84870 32130 ) ( * 34170 ) + NEW met1 ( 70610 33830 ) ( * 34510 ) + NEW met1 ( 70610 34510 ) ( 72450 * ) + NEW met1 ( 72450 34170 ) ( * 34510 ) + NEW met1 ( 72450 34170 ) ( 77050 * ) + NEW met1 ( 70610 31110 ) ( 71990 * ) + NEW met2 ( 71990 31110 ) ( * 33660 ) + NEW met2 ( 71530 33660 ) ( 71990 * ) + NEW met2 ( 71530 33660 ) ( * 34510 ) + NEW met2 ( 71070 37060 ) ( * 37230 ) + NEW met2 ( 71070 37060 ) ( 71530 * ) + NEW met2 ( 71530 34510 ) ( * 37060 ) NEW li1 ( 77050 34170 ) L1M1_PR - NEW met1 ( 91770 34170 ) M1M2_PR - NEW li1 ( 91770 31110 ) L1M1_PR - NEW met1 ( 91770 31110 ) M1M2_PR - NEW li1 ( 73370 34170 ) L1M1_PR - NEW met1 ( 91770 31110 ) RECT ( -355 -70 0 70 ) ; - - _027_ ( _390_ S ) ( _248_ A ) ( _245_ X ) + USE SIGNAL - + ROUTED met1 ( 23690 37570 ) ( 27370 * ) - NEW met2 ( 27370 37570 ) ( * 47770 ) - NEW met2 ( 27370 31110 ) ( * 37570 ) - NEW li1 ( 23690 37570 ) L1M1_PR - NEW met1 ( 27370 37570 ) M1M2_PR - NEW li1 ( 27370 47770 ) L1M1_PR - NEW met1 ( 27370 47770 ) M1M2_PR - NEW li1 ( 27370 31110 ) L1M1_PR - NEW met1 ( 27370 31110 ) M1M2_PR - NEW met1 ( 27370 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27370 31110 ) RECT ( 0 -70 355 70 ) ; - - _028_ ( _408_ S ) ( _395_ S ) ( _383_ S ) ( _248_ C ) ( _247_ Y ) + USE SIGNAL - + ROUTED met1 ( 22770 45050 ) ( 23230 * ) - NEW met2 ( 22770 42330 ) ( * 45050 ) - NEW met1 ( 18170 42330 ) ( 22770 * ) - NEW met1 ( 18170 41990 ) ( * 42330 ) - NEW met1 ( 22310 49470 ) ( 22770 * ) - NEW met2 ( 22770 45050 ) ( * 49470 ) - NEW met1 ( 22770 47770 ) ( 25990 * ) - NEW met1 ( 23230 45050 ) ( 27830 * ) - NEW li1 ( 23230 45050 ) L1M1_PR - NEW met1 ( 22770 45050 ) M1M2_PR - NEW met1 ( 22770 42330 ) M1M2_PR - NEW li1 ( 18170 41990 ) L1M1_PR - NEW li1 ( 22310 49470 ) L1M1_PR - NEW met1 ( 22770 49470 ) M1M2_PR - NEW li1 ( 25990 47770 ) L1M1_PR - NEW met1 ( 22770 47770 ) M1M2_PR - NEW li1 ( 27830 45050 ) L1M1_PR - NEW met2 ( 22770 47770 ) RECT ( -70 -485 70 0 ) ; - - _029_ ( _391_ S ) ( _388_ S ) ( _379_ S ) ( _347_ Y ) + USE SIGNAL - + ROUTED met2 ( 45310 31450 ) ( * 36550 ) - NEW met1 ( 32890 31450 ) ( 45310 * ) - NEW met1 ( 32890 31110 ) ( * 31450 ) - NEW met1 ( 57270 34170 ) ( * 34510 ) - NEW met1 ( 45310 34510 ) ( 57270 * ) - NEW met1 ( 57270 34170 ) ( 58650 * ) - NEW li1 ( 45310 36550 ) L1M1_PR - NEW met1 ( 45310 36550 ) M1M2_PR - NEW met1 ( 45310 31450 ) M1M2_PR - NEW li1 ( 32890 31110 ) L1M1_PR - NEW li1 ( 57270 34170 ) L1M1_PR - NEW met1 ( 45310 34510 ) M1M2_PR - NEW li1 ( 58650 34170 ) L1M1_PR - NEW met1 ( 45310 36550 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 45310 34510 ) RECT ( -70 -485 70 0 ) ; - - _030_ ( _411_ X ) ( _350_ B ) + USE SIGNAL - + ROUTED met2 ( 56350 18190 ) ( * 23630 ) - NEW met1 ( 54510 23630 ) ( 56350 * ) - NEW li1 ( 56350 18190 ) L1M1_PR - NEW met1 ( 56350 18190 ) M1M2_PR - NEW met1 ( 56350 23630 ) M1M2_PR - NEW li1 ( 54510 23630 ) L1M1_PR - NEW met1 ( 56350 18190 ) RECT ( -355 -70 0 70 ) ; - - _031_ ( _413_ X ) ( _354_ A2_N ) + USE SIGNAL - + ROUTED met1 ( 66930 40290 ) ( 68770 * ) - NEW met2 ( 66930 40290 ) ( * 42330 ) - NEW li1 ( 68770 40290 ) L1M1_PR - NEW met1 ( 66930 40290 ) M1M2_PR - NEW li1 ( 66930 42330 ) L1M1_PR - NEW met1 ( 66930 42330 ) M1M2_PR - NEW met1 ( 66930 42330 ) RECT ( -355 -70 0 70 ) ; - - _032_ ( _385_ A0 ) ( _336_ A1 ) ( _334_ Y ) + USE SIGNAL - + ROUTED met1 ( 72910 7650 ) ( 73370 * ) - NEW met2 ( 73370 7650 ) ( * 9690 ) - NEW met1 ( 73370 9690 ) ( 74290 * ) - NEW met2 ( 75670 6630 ) ( * 7310 ) - NEW met1 ( 73370 7310 ) ( 75670 * ) - NEW met1 ( 73370 7310 ) ( * 7650 ) - NEW li1 ( 72910 7650 ) L1M1_PR - NEW met1 ( 73370 7650 ) M1M2_PR - NEW met1 ( 73370 9690 ) M1M2_PR + NEW met1 ( 84870 34170 ) M1M2_PR + NEW li1 ( 84870 32130 ) L1M1_PR + NEW met1 ( 84870 32130 ) M1M2_PR + NEW li1 ( 70610 33830 ) L1M1_PR + NEW li1 ( 70610 31110 ) L1M1_PR + NEW met1 ( 71990 31110 ) M1M2_PR + NEW met1 ( 71530 34510 ) M1M2_PR + NEW li1 ( 71070 37230 ) L1M1_PR + NEW met1 ( 71070 37230 ) M1M2_PR + NEW met1 ( 84870 32130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 71530 34510 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 71070 37230 ) RECT ( -355 -70 0 70 ) ; + - _033_ ( _397_ S ) ( _257_ A ) ( _254_ X ) + USE SIGNAL + + ROUTED met1 ( 27830 37570 ) ( 28290 * ) + NEW met2 ( 28290 37570 ) ( * 44710 ) + NEW met1 ( 29210 30770 ) ( * 31110 ) + NEW met1 ( 28290 30770 ) ( 29210 * ) + NEW met2 ( 28290 30770 ) ( * 37570 ) + NEW li1 ( 27830 37570 ) L1M1_PR + NEW met1 ( 28290 37570 ) M1M2_PR + NEW li1 ( 28290 44710 ) L1M1_PR + NEW met1 ( 28290 44710 ) M1M2_PR + NEW li1 ( 29210 31110 ) L1M1_PR + NEW met1 ( 28290 30770 ) M1M2_PR + NEW met1 ( 28290 44710 ) RECT ( 0 -70 355 70 ) ; + - _034_ ( _430_ S ) ( _403_ S ) ( _401_ S ) ( _257_ C ) ( _256_ Y ) + USE SIGNAL + + ROUTED met1 ( 14950 48450 ) ( 15870 * ) + NEW met2 ( 14950 39610 ) ( * 48450 ) + NEW met1 ( 14950 39610 ) ( 15410 * ) + NEW met1 ( 22770 41650 ) ( * 41990 ) + NEW met1 ( 20470 41650 ) ( 22770 * ) + NEW met1 ( 20470 41310 ) ( * 41650 ) + NEW met1 ( 14950 41310 ) ( 20470 * ) + NEW met1 ( 20470 44370 ) ( 26910 * ) + NEW met2 ( 20470 41650 ) ( * 44370 ) + NEW met2 ( 27370 44370 ) ( * 47430 ) + NEW met1 ( 26910 44370 ) ( 27370 * ) + NEW li1 ( 15870 48450 ) L1M1_PR + NEW met1 ( 14950 48450 ) M1M2_PR + NEW met1 ( 14950 39610 ) M1M2_PR + NEW li1 ( 15410 39610 ) L1M1_PR + NEW li1 ( 22770 41990 ) L1M1_PR + NEW met1 ( 14950 41310 ) M1M2_PR + NEW li1 ( 26910 44370 ) L1M1_PR + NEW met1 ( 20470 44370 ) M1M2_PR + NEW met1 ( 20470 41650 ) M1M2_PR + NEW li1 ( 27370 47430 ) L1M1_PR + NEW met1 ( 27370 47430 ) M1M2_PR + NEW met1 ( 27370 44370 ) M1M2_PR + NEW met2 ( 14950 41310 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 20470 41650 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 27370 47430 ) RECT ( 0 -70 355 70 ) ; + - _035_ ( _432_ S ) ( _407_ S ) ( _405_ S ) ( _353_ Y ) + USE SIGNAL + + ROUTED met2 ( 57270 36550 ) ( * 39610 ) + NEW met1 ( 53590 36550 ) ( 57270 * ) + NEW met1 ( 57270 41310 ) ( 58190 * ) + NEW met2 ( 57270 39610 ) ( * 41310 ) + NEW met2 ( 57270 41310 ) ( * 45050 ) + NEW li1 ( 57270 39610 ) L1M1_PR + NEW met1 ( 57270 39610 ) M1M2_PR + NEW met1 ( 57270 36550 ) M1M2_PR + NEW li1 ( 53590 36550 ) L1M1_PR + NEW li1 ( 58190 41310 ) L1M1_PR + NEW met1 ( 57270 41310 ) M1M2_PR + NEW li1 ( 57270 45050 ) L1M1_PR + NEW met1 ( 57270 45050 ) M1M2_PR + NEW met1 ( 57270 39610 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 57270 45050 ) RECT ( -355 -70 0 70 ) ; + - _036_ ( _435_ X ) ( _355_ A2_N ) + USE SIGNAL + + ROUTED met1 ( 53130 20570 ) ( 54050 * ) + NEW met2 ( 54050 20570 ) ( * 22270 ) + NEW met1 ( 54050 22270 ) ( 54510 * ) + NEW li1 ( 53130 20570 ) L1M1_PR + NEW met1 ( 54050 20570 ) M1M2_PR + NEW met1 ( 54050 22270 ) M1M2_PR + NEW li1 ( 54510 22270 ) L1M1_PR ; + - _037_ ( _436_ X ) ( _400_ A0 ) ( _399_ A0 ) + USE SIGNAL + + ROUTED met2 ( 64630 45390 ) ( * 53210 ) + NEW met1 ( 62330 53210 ) ( 64630 * ) + NEW met2 ( 62330 41820 ) ( * 45390 ) + NEW met2 ( 61870 41650 ) ( * 41820 ) + NEW met1 ( 56350 41650 ) ( 61870 * ) + NEW met1 ( 61410 44710 ) ( * 45390 ) + NEW met2 ( 61870 41820 ) ( 62330 * ) + NEW met1 ( 61410 45390 ) ( 64630 * ) + NEW met1 ( 64630 45390 ) M1M2_PR + NEW met1 ( 64630 53210 ) M1M2_PR + NEW li1 ( 62330 53210 ) L1M1_PR + NEW met1 ( 62330 45390 ) M1M2_PR + NEW met1 ( 61870 41650 ) M1M2_PR + NEW li1 ( 56350 41650 ) L1M1_PR + NEW li1 ( 61410 44710 ) L1M1_PR + NEW met1 ( 62330 45390 ) RECT ( 0 -70 595 70 ) ; + - _038_ ( _437_ X ) ( _357_ A2_N ) + USE SIGNAL + + ROUTED met1 ( 76590 39270 ) ( * 39610 ) + NEW met1 ( 76590 39610 ) ( 83030 * ) + NEW met2 ( 83030 39610 ) ( * 41990 ) + NEW met1 ( 83030 41990 ) ( 84410 * ) + NEW met1 ( 84410 41650 ) ( * 41990 ) + NEW li1 ( 76590 39270 ) L1M1_PR + NEW met1 ( 83030 39610 ) M1M2_PR + NEW met1 ( 83030 41990 ) M1M2_PR + NEW li1 ( 84410 41650 ) L1M1_PR ; + - _039_ ( _410_ A0 ) ( _358_ Y ) + USE SIGNAL + + ROUTED met1 ( 17250 4930 ) ( 18630 * ) + NEW met2 ( 17250 4930 ) ( * 6290 ) + NEW li1 ( 18630 4930 ) L1M1_PR + NEW met1 ( 17250 4930 ) M1M2_PR + NEW li1 ( 17250 6290 ) L1M1_PR + NEW met1 ( 17250 6290 ) M1M2_PR + NEW met1 ( 17250 6290 ) RECT ( -355 -70 0 70 ) ; + - _040_ ( _410_ A1 ) ( _359_ Y ) + USE SIGNAL + + ROUTED met1 ( 17250 2210 ) ( 19090 * ) + NEW met2 ( 19090 2210 ) ( * 3910 ) + NEW li1 ( 17250 2210 ) L1M1_PR + NEW met1 ( 19090 2210 ) M1M2_PR + NEW li1 ( 19090 3910 ) L1M1_PR + NEW met1 ( 19090 3910 ) M1M2_PR + NEW met1 ( 19090 3910 ) RECT ( -355 -70 0 70 ) ; + - _041_ ( _411_ A0 ) ( _360_ Y ) + USE SIGNAL + + ROUTED met1 ( 17250 10370 ) ( 18630 * ) + NEW met2 ( 17250 10370 ) ( * 11390 ) + NEW met1 ( 15410 11390 ) ( 17250 * ) + NEW li1 ( 18630 10370 ) L1M1_PR + NEW met1 ( 17250 10370 ) M1M2_PR + NEW met1 ( 17250 11390 ) M1M2_PR + NEW li1 ( 15410 11390 ) L1M1_PR ; + - _042_ ( _411_ A1 ) ( _363_ Y ) + USE SIGNAL + + ROUTED met1 ( 19090 10030 ) ( 21390 * ) + NEW li1 ( 19090 10030 ) L1M1_PR + NEW li1 ( 21390 10030 ) L1M1_PR ; + - _043_ ( _412_ A0 ) ( _365_ X ) + USE SIGNAL + + ROUTED met1 ( 12190 13090 ) ( 18630 * ) + NEW met2 ( 18630 13090 ) ( * 15130 ) + NEW li1 ( 12190 13090 ) L1M1_PR + NEW met1 ( 18630 13090 ) M1M2_PR + NEW li1 ( 18630 15130 ) L1M1_PR + NEW met1 ( 18630 15130 ) M1M2_PR + NEW met1 ( 18630 15130 ) RECT ( -355 -70 0 70 ) ; + - _044_ ( _412_ A1 ) ( _363_ B ) ( _362_ X ) + USE SIGNAL + + ROUTED met1 ( 19090 15130 ) ( 20930 * ) + NEW met2 ( 20930 9690 ) ( * 15130 ) + NEW li1 ( 20930 9690 ) L1M1_PR + NEW met1 ( 20930 9690 ) M1M2_PR + NEW li1 ( 20930 15130 ) L1M1_PR + NEW li1 ( 19090 15130 ) L1M1_PR + NEW met1 ( 20930 15130 ) M1M2_PR + NEW met1 ( 20930 9690 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 20930 15130 ) RECT ( -595 -70 0 70 ) ; + - _045_ ( _413_ A0 ) ( _339_ A1 ) ( _337_ Y ) + USE SIGNAL + + ROUTED met2 ( 86250 2210 ) ( * 4250 ) + NEW met1 ( 83490 4250 ) ( 86250 * ) + NEW li1 ( 86250 4250 ) L1M1_PR + NEW met1 ( 86250 4250 ) M1M2_PR + NEW li1 ( 86250 2210 ) L1M1_PR + NEW met1 ( 86250 2210 ) M1M2_PR + NEW li1 ( 83490 4250 ) L1M1_PR + NEW met1 ( 86250 4250 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 86250 2210 ) RECT ( -355 -70 0 70 ) ; + - _046_ ( _414_ A0 ) ( _413_ X ) + USE SIGNAL + + ROUTED met1 ( 82570 4930 ) ( 84410 * ) + NEW met2 ( 82570 4930 ) ( * 9690 ) + NEW met1 ( 81650 9690 ) ( 82570 * ) + NEW li1 ( 84410 4930 ) L1M1_PR + NEW met1 ( 82570 4930 ) M1M2_PR + NEW met1 ( 82570 9690 ) M1M2_PR + NEW li1 ( 81650 9690 ) L1M1_PR ; + - _047_ ( _415_ A0 ) ( _366_ Y ) + USE SIGNAL + + ROUTED met2 ( 75210 2210 ) ( * 5950 ) + NEW met1 ( 75210 5950 ) ( 75670 * ) + NEW li1 ( 75210 2210 ) L1M1_PR + NEW met1 ( 75210 2210 ) M1M2_PR + NEW met1 ( 75210 5950 ) M1M2_PR + NEW li1 ( 75670 5950 ) L1M1_PR + NEW met1 ( 75210 2210 ) RECT ( -355 -70 0 70 ) ; + - _048_ ( _416_ A0 ) ( _415_ X ) + USE SIGNAL + + ROUTED met1 ( 73830 7650 ) ( 74290 * ) + NEW met2 ( 74290 7650 ) ( * 9690 ) + NEW li1 ( 73830 7650 ) L1M1_PR + NEW met1 ( 74290 7650 ) M1M2_PR NEW li1 ( 74290 9690 ) L1M1_PR - NEW li1 ( 75670 6630 ) L1M1_PR - NEW met1 ( 75670 6630 ) M1M2_PR - NEW met1 ( 75670 7310 ) M1M2_PR - NEW met1 ( 75670 6630 ) RECT ( -355 -70 0 70 ) ; - - _033_ ( _381_ A0 ) ( _355_ Y ) + USE SIGNAL - + ROUTED met2 ( 86710 2210 ) ( * 4250 ) - NEW met1 ( 86710 4250 ) ( 87170 * ) - NEW li1 ( 86710 2210 ) L1M1_PR - NEW met1 ( 86710 2210 ) M1M2_PR - NEW met1 ( 86710 4250 ) M1M2_PR - NEW li1 ( 87170 4250 ) L1M1_PR - NEW met1 ( 86710 2210 ) RECT ( -355 -70 0 70 ) ; - - _034_ ( _406_ A0 ) ( _357_ X ) + USE SIGNAL - + ROUTED met2 ( 64630 3570 ) ( * 9690 ) - NEW met1 ( 64630 9690 ) ( 67390 * ) - NEW li1 ( 64630 3570 ) L1M1_PR - NEW met1 ( 64630 3570 ) M1M2_PR - NEW met1 ( 64630 9690 ) M1M2_PR - NEW li1 ( 67390 9690 ) L1M1_PR - NEW met1 ( 64630 3570 ) RECT ( -355 -70 0 70 ) ; - - _035_ ( _404_ A0 ) ( _358_ Y ) + USE SIGNAL - + ROUTED met1 ( 38410 15130 ) ( 40710 * ) - NEW li1 ( 38410 15130 ) L1M1_PR - NEW li1 ( 40710 15130 ) L1M1_PR ; - - _036_ ( _401_ A0 ) ( _359_ Y ) + USE SIGNAL - + ROUTED met2 ( 38410 21250 ) ( * 22270 ) - NEW met1 ( 37030 22270 ) ( 38410 * ) - NEW li1 ( 38410 21250 ) L1M1_PR - NEW met1 ( 38410 21250 ) M1M2_PR - NEW met1 ( 38410 22270 ) M1M2_PR - NEW li1 ( 37030 22270 ) L1M1_PR - NEW met1 ( 38410 21250 ) RECT ( -355 -70 0 70 ) ; - - _037_ ( _398_ A0 ) ( _361_ X ) + USE SIGNAL - + ROUTED met2 ( 50370 21250 ) ( * 23290 ) - NEW met1 ( 47150 23290 ) ( 50370 * ) - NEW li1 ( 50370 21250 ) L1M1_PR - NEW met1 ( 50370 21250 ) M1M2_PR - NEW met1 ( 50370 23290 ) M1M2_PR - NEW li1 ( 47150 23290 ) L1M1_PR - NEW met1 ( 50370 21250 ) RECT ( -355 -70 0 70 ) ; - - _038_ ( _400_ A1 ) ( _362_ Y ) + USE SIGNAL - + ROUTED met1 ( 17710 19890 ) ( * 20230 ) - NEW met1 ( 17710 19890 ) ( 19550 * ) - NEW li1 ( 17710 20230 ) L1M1_PR - NEW li1 ( 19550 19890 ) L1M1_PR ; - - _039_ ( _403_ A1 ) ( _363_ Y ) + USE SIGNAL - + ROUTED met1 ( 15870 15810 ) ( 18630 * ) - NEW li1 ( 15870 15810 ) L1M1_PR - NEW li1 ( 18630 15810 ) L1M1_PR ; - - _040_ ( _383_ A0 ) ( _264_ A1 ) ( _262_ Y ) + USE SIGNAL - + ROUTED met1 ( 14030 42330 ) ( 16790 * ) - NEW met2 ( 14030 39950 ) ( * 42330 ) - NEW met1 ( 9430 39950 ) ( 14030 * ) - NEW li1 ( 9430 39950 ) L1M1_PR - NEW li1 ( 14030 42330 ) L1M1_PR - NEW li1 ( 16790 42330 ) L1M1_PR - NEW met1 ( 14030 39950 ) M1M2_PR - NEW met1 ( 14030 42330 ) M1M2_PR - NEW met1 ( 14030 42330 ) RECT ( 0 -70 595 70 ) ; - - _041_ ( _408_ A0 ) ( _365_ Y ) + USE SIGNAL - + ROUTED met1 ( 26450 44710 ) ( 26910 * ) - NEW met2 ( 26450 44710 ) ( * 46750 ) - NEW met1 ( 22310 46750 ) ( 26450 * ) - NEW li1 ( 26910 44710 ) L1M1_PR - NEW met1 ( 26450 44710 ) M1M2_PR - NEW met1 ( 26450 46750 ) M1M2_PR - NEW li1 ( 22310 46750 ) L1M1_PR ; - - _042_ ( _395_ A0 ) ( _367_ X ) + USE SIGNAL - + ROUTED met2 ( 22310 44710 ) ( * 52190 ) - NEW met1 ( 21390 52190 ) ( 22310 * ) - NEW li1 ( 22310 44710 ) L1M1_PR - NEW met1 ( 22310 44710 ) M1M2_PR - NEW met1 ( 22310 52190 ) M1M2_PR - NEW li1 ( 21390 52190 ) L1M1_PR - NEW met1 ( 22310 44710 ) RECT ( -355 -70 0 70 ) ; - - _043_ ( _379_ A0 ) ( _368_ Y ) + USE SIGNAL - + ROUTED met1 ( 41170 29410 ) ( 44390 * ) - NEW met2 ( 44390 29410 ) ( * 36890 ) - NEW li1 ( 41170 29410 ) L1M1_PR - NEW met1 ( 44390 29410 ) M1M2_PR - NEW li1 ( 44390 36890 ) L1M1_PR - NEW met1 ( 44390 36890 ) M1M2_PR - NEW met1 ( 44390 36890 ) RECT ( -355 -70 0 70 ) ; - - _044_ ( _391_ A0 ) ( _369_ Y ) + USE SIGNAL - + ROUTED met1 ( 33810 32130 ) ( 37490 * ) - NEW met2 ( 37490 32130 ) ( * 33150 ) - NEW met1 ( 37490 33150 ) ( 38870 * ) - NEW li1 ( 33810 32130 ) L1M1_PR - NEW met1 ( 37490 32130 ) M1M2_PR - NEW met1 ( 37490 33150 ) M1M2_PR - NEW li1 ( 38870 33150 ) L1M1_PR ; - - _045_ ( _388_ A0 ) ( _371_ X ) + USE SIGNAL - + ROUTED met1 ( 56350 29410 ) ( 57270 * ) - NEW met2 ( 56350 29410 ) ( * 33150 ) - NEW li1 ( 57270 29410 ) L1M1_PR - NEW met1 ( 56350 29410 ) M1M2_PR - NEW li1 ( 56350 33150 ) L1M1_PR - NEW met1 ( 56350 33150 ) M1M2_PR - NEW met1 ( 56350 33150 ) RECT ( -355 -70 0 70 ) ; - - _046_ ( _387_ A1 ) ( _372_ Y ) + USE SIGNAL - + ROUTED met1 ( 90850 29410 ) ( 91310 * ) - NEW met2 ( 90850 29410 ) ( * 31110 ) - NEW li1 ( 91310 29410 ) L1M1_PR - NEW met1 ( 90850 29410 ) M1M2_PR - NEW li1 ( 90850 31110 ) L1M1_PR - NEW met1 ( 90850 31110 ) M1M2_PR - NEW met1 ( 90850 31110 ) RECT ( -355 -70 0 70 ) ; - - _047_ ( _410_ A1 ) ( _373_ Y ) + USE SIGNAL - + ROUTED met1 ( 76130 32130 ) ( 77050 * ) - NEW met2 ( 76130 32130 ) ( * 33150 ) - NEW li1 ( 77050 32130 ) L1M1_PR - NEW met1 ( 76130 32130 ) M1M2_PR - NEW li1 ( 76130 33150 ) L1M1_PR - NEW met1 ( 76130 33150 ) M1M2_PR - NEW met1 ( 76130 33150 ) RECT ( 0 -70 355 70 ) ; - - _057_ ( _420_ D ) ( _344_ X ) + USE SIGNAL - + ROUTED met1 ( 41125 48110 ) ( 42550 * ) - NEW met2 ( 42550 48110 ) ( * 49470 ) - NEW li1 ( 41125 48110 ) L1M1_PR - NEW met1 ( 42550 48110 ) M1M2_PR - NEW li1 ( 42550 49470 ) L1M1_PR - NEW met1 ( 42550 49470 ) M1M2_PR - NEW met1 ( 42550 49470 ) RECT ( -355 -70 0 70 ) ; - - _058_ ( _439_ D ) ( _339_ Y ) + USE SIGNAL - + ROUTED met1 ( 17250 22950 ) ( 20470 * ) - NEW met1 ( 17250 22950 ) ( * 23630 ) + NEW met1 ( 74290 9690 ) M1M2_PR + NEW met1 ( 74290 9690 ) RECT ( -355 -70 0 70 ) ; + - _049_ ( _417_ A0 ) ( _368_ X ) + USE SIGNAL + + ROUTED met1 ( 63710 2210 ) ( 65550 * ) + NEW met2 ( 65550 2210 ) ( * 9690 ) + NEW li1 ( 63710 2210 ) L1M1_PR + NEW met1 ( 65550 2210 ) M1M2_PR + NEW li1 ( 65550 9690 ) L1M1_PR + NEW met1 ( 65550 9690 ) M1M2_PR + NEW met1 ( 65550 9690 ) RECT ( -355 -70 0 70 ) ; + - _050_ ( _418_ A0 ) ( _417_ X ) + USE SIGNAL + + ROUTED met1 ( 62790 6290 ) ( 64170 * ) + NEW met2 ( 64170 6290 ) ( * 8670 ) + NEW met1 ( 63710 8670 ) ( 64170 * ) + NEW li1 ( 62790 6290 ) L1M1_PR + NEW met1 ( 64170 6290 ) M1M2_PR + NEW met1 ( 64170 8670 ) M1M2_PR + NEW li1 ( 63710 8670 ) L1M1_PR ; + - _051_ ( _419_ A0 ) ( _369_ Y ) + USE SIGNAL + + ROUTED met2 ( 44390 1190 ) ( * 3230 ) + NEW met1 ( 44390 3230 ) ( 46230 * ) + NEW li1 ( 44390 1190 ) L1M1_PR + NEW met1 ( 44390 1190 ) M1M2_PR + NEW met1 ( 44390 3230 ) M1M2_PR + NEW li1 ( 46230 3230 ) L1M1_PR + NEW met1 ( 44390 1190 ) RECT ( -355 -70 0 70 ) ; + - _052_ ( _420_ A0 ) ( _419_ X ) + USE SIGNAL + + ROUTED met1 ( 42550 2210 ) ( 43010 * ) + NEW met2 ( 43010 2210 ) ( * 5950 ) + NEW met1 ( 43010 5950 ) ( 44390 * ) + NEW li1 ( 42550 2210 ) L1M1_PR + NEW met1 ( 43010 2210 ) M1M2_PR + NEW met1 ( 43010 5950 ) M1M2_PR + NEW li1 ( 44390 5950 ) L1M1_PR ; + - _053_ ( _421_ A0 ) ( _370_ Y ) + USE SIGNAL + + ROUTED met1 ( 33810 850 ) ( 34730 * ) + NEW met2 ( 34730 850 ) ( * 5950 ) + NEW li1 ( 33810 850 ) L1M1_PR + NEW met1 ( 34730 850 ) M1M2_PR + NEW li1 ( 34730 5950 ) L1M1_PR + NEW met1 ( 34730 5950 ) M1M2_PR + NEW met1 ( 34730 5950 ) RECT ( -355 -70 0 70 ) ; + - _054_ ( _422_ A0 ) ( _421_ X ) + USE SIGNAL + + ROUTED met1 ( 31970 2210 ) ( 32890 * ) + NEW met2 ( 32890 2210 ) ( * 6630 ) + NEW met1 ( 32430 6630 ) ( 32890 * ) + NEW li1 ( 31970 2210 ) L1M1_PR + NEW met1 ( 32890 2210 ) M1M2_PR + NEW met1 ( 32890 6630 ) M1M2_PR + NEW li1 ( 32430 6630 ) L1M1_PR ; + - _055_ ( _423_ A0 ) ( _372_ X ) + USE SIGNAL + + ROUTED met2 ( 35190 9350 ) ( * 11390 ) + NEW met1 ( 35190 11390 ) ( 36110 * ) + NEW li1 ( 35190 9350 ) L1M1_PR + NEW met1 ( 35190 9350 ) M1M2_PR + NEW met1 ( 35190 11390 ) M1M2_PR + NEW li1 ( 36110 11390 ) L1M1_PR + NEW met1 ( 35190 9350 ) RECT ( -355 -70 0 70 ) ; + - _056_ ( _424_ A0 ) ( _423_ X ) + USE SIGNAL + + ROUTED met1 ( 33810 13090 ) ( 34270 * ) + NEW met2 ( 33810 13090 ) ( * 16830 ) + NEW li1 ( 34270 13090 ) L1M1_PR + NEW met1 ( 33810 13090 ) M1M2_PR + NEW li1 ( 33810 16830 ) L1M1_PR + NEW met1 ( 33810 16830 ) M1M2_PR + NEW met1 ( 33810 16830 ) RECT ( -355 -70 0 70 ) ; + - _057_ ( _425_ A0 ) ( _373_ Y ) + USE SIGNAL + + ROUTED met2 ( 20470 17510 ) ( * 19550 ) + NEW met1 ( 20470 19550 ) ( 21850 * ) + NEW li1 ( 20470 17510 ) L1M1_PR + NEW met1 ( 20470 17510 ) M1M2_PR + NEW met1 ( 20470 19550 ) M1M2_PR + NEW li1 ( 21850 19550 ) L1M1_PR + NEW met1 ( 20470 17510 ) RECT ( -355 -70 0 70 ) ; + - _058_ ( _426_ A0 ) ( _374_ Y ) + USE SIGNAL + + ROUTED met2 ( 20470 22950 ) ( * 24990 ) + NEW met1 ( 19090 24990 ) ( 20470 * ) NEW li1 ( 20470 22950 ) L1M1_PR - NEW li1 ( 17250 23630 ) L1M1_PR ; - - _059_ ( _440_ D ) ( _336_ Y ) + USE SIGNAL - + ROUTED met1 ( 74290 4590 ) ( 75210 * ) - NEW met2 ( 74290 4590 ) ( * 9010 ) - NEW met1 ( 73370 9010 ) ( 74290 * ) - NEW li1 ( 75210 4590 ) L1M1_PR - NEW met1 ( 74290 4590 ) M1M2_PR - NEW met1 ( 74290 9010 ) M1M2_PR - NEW li1 ( 73370 9010 ) L1M1_PR ; - - _060_ ( _441_ D ) ( _333_ Y ) + USE SIGNAL - + ROUTED met1 ( 79810 1870 ) ( 82110 * ) - NEW met2 ( 82110 1870 ) ( * 6630 ) - NEW li1 ( 79810 1870 ) L1M1_PR - NEW met1 ( 82110 1870 ) M1M2_PR - NEW li1 ( 82110 6630 ) L1M1_PR - NEW met1 ( 82110 6630 ) M1M2_PR - NEW met1 ( 82110 6630 ) RECT ( -355 -70 0 70 ) ; - - _061_ ( _442_ D ) ( _329_ Y ) + USE SIGNAL - + ROUTED met1 ( 61410 4930 ) ( 61870 * ) - NEW met2 ( 61870 4930 ) ( * 6290 ) - NEW li1 ( 61410 4930 ) L1M1_PR - NEW met1 ( 61870 4930 ) M1M2_PR - NEW li1 ( 61870 6290 ) L1M1_PR - NEW met1 ( 61870 6290 ) M1M2_PR - NEW met1 ( 61870 6290 ) RECT ( -355 -70 0 70 ) ; - - _062_ ( _443_ D ) ( _325_ X ) + USE SIGNAL - + ROUTED met1 ( 62790 15470 ) ( 63250 * ) - NEW met2 ( 63250 15470 ) ( * 16830 ) - NEW li1 ( 62790 15470 ) L1M1_PR - NEW met1 ( 63250 15470 ) M1M2_PR - NEW li1 ( 63250 16830 ) L1M1_PR - NEW met1 ( 63250 16830 ) M1M2_PR - NEW met1 ( 63250 16830 ) RECT ( 0 -70 355 70 ) ; - - _063_ ( _444_ D ) ( _318_ X ) + USE SIGNAL - + ROUTED met2 ( 4370 18530 ) ( * 20230 ) - NEW met1 ( 3450 20230 ) ( 4370 * ) - NEW li1 ( 4370 18530 ) L1M1_PR + NEW met1 ( 20470 22950 ) M1M2_PR + NEW met1 ( 20470 24990 ) M1M2_PR + NEW li1 ( 19090 24990 ) L1M1_PR + NEW met1 ( 20470 22950 ) RECT ( -355 -70 0 70 ) ; + - _059_ ( _427_ A0 ) ( _375_ Y ) + USE SIGNAL + + ROUTED met1 ( 30130 26350 ) ( 31050 * ) + NEW met2 ( 30130 26350 ) ( * 31450 ) + NEW met1 ( 30130 31450 ) ( 32430 * ) + NEW li1 ( 31050 26350 ) L1M1_PR + NEW met1 ( 30130 26350 ) M1M2_PR + NEW met1 ( 30130 31450 ) M1M2_PR + NEW li1 ( 32430 31450 ) L1M1_PR ; + - _060_ ( _427_ A1 ) ( _376_ Y ) + USE SIGNAL + + ROUTED met2 ( 32890 29410 ) ( * 31110 ) + NEW li1 ( 32890 29410 ) L1M1_PR + NEW met1 ( 32890 29410 ) M1M2_PR + NEW li1 ( 32890 31110 ) L1M1_PR + NEW met1 ( 32890 31110 ) M1M2_PR + NEW met1 ( 32890 29410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 32890 31110 ) RECT ( -355 -70 0 70 ) ; + - _061_ ( _428_ A0 ) ( _377_ Y ) + USE SIGNAL + + ROUTED met2 ( 14030 26690 ) ( * 31450 ) + NEW met1 ( 14030 31450 ) ( 17250 * ) + NEW met1 ( 11730 26690 ) ( 14030 * ) + NEW li1 ( 11730 26690 ) L1M1_PR + NEW met1 ( 14030 26690 ) M1M2_PR + NEW met1 ( 14030 31450 ) M1M2_PR + NEW li1 ( 17250 31450 ) L1M1_PR ; + - _062_ ( _428_ A1 ) ( _380_ Y ) + USE SIGNAL + + ROUTED met1 ( 17710 32130 ) ( 21390 * ) + NEW met2 ( 21390 32130 ) ( * 35870 ) + NEW li1 ( 17710 32130 ) L1M1_PR + NEW met1 ( 21390 32130 ) M1M2_PR + NEW li1 ( 21390 35870 ) L1M1_PR + NEW met1 ( 21390 35870 ) M1M2_PR + NEW met1 ( 21390 35870 ) RECT ( -355 -70 0 70 ) ; + - _063_ ( _429_ A0 ) ( _382_ X ) + USE SIGNAL + + ROUTED met1 ( 14950 33150 ) ( 15410 * ) + NEW met2 ( 14950 33150 ) ( * 35870 ) + NEW met1 ( 14950 35870 ) ( 15410 * ) + NEW li1 ( 15410 33150 ) L1M1_PR + NEW met1 ( 14950 33150 ) M1M2_PR + NEW met1 ( 14950 35870 ) M1M2_PR + NEW li1 ( 15410 35870 ) L1M1_PR ; + - _064_ ( _429_ A1 ) ( _380_ B ) ( _379_ X ) + USE SIGNAL + + ROUTED met1 ( 20010 31790 ) ( 21850 * ) + NEW met2 ( 21850 31790 ) ( * 36890 ) + NEW met1 ( 21390 36890 ) ( 21850 * ) + NEW met1 ( 15870 33490 ) ( 21850 * ) + NEW li1 ( 20010 31790 ) L1M1_PR + NEW met1 ( 21850 31790 ) M1M2_PR + NEW met1 ( 21850 36890 ) M1M2_PR + NEW li1 ( 21390 36890 ) L1M1_PR + NEW li1 ( 15870 33490 ) L1M1_PR + NEW met1 ( 21850 33490 ) M1M2_PR + NEW met2 ( 21850 33490 ) RECT ( -70 -485 70 0 ) ; + - _065_ ( _401_ A0 ) ( _273_ A1 ) ( _271_ Y ) + USE SIGNAL + + ROUTED met2 ( 9890 37230 ) ( * 39270 ) + NEW met1 ( 8050 39270 ) ( 14490 * ) + NEW li1 ( 8050 39270 ) L1M1_PR + NEW li1 ( 9890 37230 ) L1M1_PR + NEW met1 ( 9890 37230 ) M1M2_PR + NEW met1 ( 9890 39270 ) M1M2_PR + NEW li1 ( 14490 39270 ) L1M1_PR + NEW met1 ( 9890 37230 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 9890 39270 ) RECT ( -595 -70 0 70 ) ; + - _066_ ( _402_ A0 ) ( _401_ X ) + USE SIGNAL + + ROUTED met1 ( 10350 38930 ) ( 12650 * ) + NEW met2 ( 12650 38930 ) ( * 40290 ) + NEW li1 ( 10350 38930 ) L1M1_PR + NEW met1 ( 12650 38930 ) M1M2_PR + NEW li1 ( 12650 40290 ) L1M1_PR + NEW met1 ( 12650 40290 ) M1M2_PR + NEW met1 ( 12650 40290 ) RECT ( 0 -70 355 70 ) ; + - _067_ ( _403_ A0 ) ( _383_ Y ) + USE SIGNAL + + ROUTED met1 ( 15410 41650 ) ( * 42330 ) + NEW met1 ( 15410 42330 ) ( 21850 * ) + NEW li1 ( 15410 41650 ) L1M1_PR + NEW li1 ( 21850 42330 ) L1M1_PR ; + - _068_ ( _404_ A0 ) ( _403_ X ) + USE SIGNAL + + ROUTED met1 ( 17710 43010 ) ( 20010 * ) + NEW li1 ( 20010 43010 ) L1M1_PR + NEW li1 ( 17710 43010 ) L1M1_PR ; + - _069_ ( _430_ A0 ) ( _385_ X ) + USE SIGNAL + + ROUTED met2 ( 26450 48450 ) ( * 52190 ) + NEW met1 ( 21390 52190 ) ( 26450 * ) + NEW li1 ( 26450 48450 ) L1M1_PR + NEW met1 ( 26450 48450 ) M1M2_PR + NEW met1 ( 26450 52190 ) M1M2_PR + NEW li1 ( 21390 52190 ) L1M1_PR + NEW met1 ( 26450 48450 ) RECT ( -355 -70 0 70 ) ; + - _070_ ( _431_ A0 ) ( _430_ X ) + USE SIGNAL + + ROUTED met1 ( 20930 44710 ) ( 24610 * ) + NEW met2 ( 24610 44710 ) ( * 46750 ) + NEW li1 ( 20930 44710 ) L1M1_PR + NEW met1 ( 24610 44710 ) M1M2_PR + NEW li1 ( 24610 46750 ) L1M1_PR + NEW met1 ( 24610 46750 ) M1M2_PR + NEW met1 ( 24610 46750 ) RECT ( -355 -70 0 70 ) ; + - _071_ ( _432_ A0 ) ( _386_ Y ) + USE SIGNAL + + ROUTED met1 ( 52210 36890 ) ( 54510 * ) + NEW li1 ( 52210 36890 ) L1M1_PR + NEW li1 ( 54510 36890 ) L1M1_PR ; + - _072_ ( _433_ A0 ) ( _432_ X ) + USE SIGNAL + + ROUTED met1 ( 43930 36210 ) ( * 36890 ) + NEW met1 ( 40250 36890 ) ( 43930 * ) + NEW met1 ( 43930 36210 ) ( 50370 * ) + NEW li1 ( 50370 36210 ) L1M1_PR + NEW li1 ( 40250 36890 ) L1M1_PR ; + - _073_ ( _405_ A0 ) ( _387_ Y ) + USE SIGNAL + + ROUTED met1 ( 55890 39270 ) ( 56350 * ) + NEW met2 ( 55890 39270 ) ( * 41650 ) + NEW met1 ( 47610 41650 ) ( 55890 * ) + NEW li1 ( 56350 39270 ) L1M1_PR + NEW met1 ( 55890 39270 ) M1M2_PR + NEW met1 ( 55890 41650 ) M1M2_PR + NEW li1 ( 47610 41650 ) L1M1_PR ; + - _074_ ( _406_ A0 ) ( _405_ X ) + USE SIGNAL + + ROUTED met1 ( 44390 36890 ) ( 45770 * ) + NEW met2 ( 45770 36890 ) ( * 38590 ) + NEW met1 ( 45770 38590 ) ( 54510 * ) + NEW li1 ( 44390 36890 ) L1M1_PR + NEW met1 ( 45770 36890 ) M1M2_PR + NEW met1 ( 45770 38590 ) M1M2_PR + NEW li1 ( 54510 38590 ) L1M1_PR ; + - _075_ ( _407_ A0 ) ( _389_ X ) + USE SIGNAL + + ROUTED met1 ( 56350 44710 ) ( * 45730 ) + NEW met1 ( 35650 41990 ) ( 36110 * ) + NEW met2 ( 36110 41990 ) ( * 45390 ) + NEW met1 ( 36110 45390 ) ( 43010 * ) + NEW met1 ( 43010 45390 ) ( * 45730 ) + NEW met1 ( 43010 45730 ) ( 56350 * ) + NEW li1 ( 56350 44710 ) L1M1_PR + NEW li1 ( 35650 41990 ) L1M1_PR + NEW met1 ( 36110 41990 ) M1M2_PR + NEW met1 ( 36110 45390 ) M1M2_PR ; + - _076_ ( _408_ A0 ) ( _407_ X ) + USE SIGNAL + + ROUTED met1 ( 50370 44370 ) ( 53130 * ) + NEW met1 ( 53130 44370 ) ( * 45050 ) + NEW met1 ( 53130 45050 ) ( 54510 * ) + NEW met1 ( 54510 45050 ) ( * 45390 ) + NEW li1 ( 50370 44370 ) L1M1_PR + NEW li1 ( 54510 45390 ) L1M1_PR ; + - _077_ ( _409_ A0 ) ( _390_ Y ) + USE SIGNAL + + ROUTED met1 ( 73830 32130 ) ( 75670 * ) + NEW met2 ( 75670 32130 ) ( * 33150 ) + NEW li1 ( 73830 32130 ) L1M1_PR + NEW met1 ( 75670 32130 ) M1M2_PR + NEW li1 ( 75670 33150 ) L1M1_PR + NEW met1 ( 75670 33150 ) M1M2_PR + NEW met1 ( 75670 33150 ) RECT ( -355 -70 0 70 ) ; + - _078_ ( _434_ A0 ) ( _391_ Y ) + USE SIGNAL + + ROUTED met2 ( 69230 27710 ) ( * 31450 ) + NEW li1 ( 69230 27710 ) L1M1_PR + NEW met1 ( 69230 27710 ) M1M2_PR + NEW li1 ( 69230 31450 ) L1M1_PR + NEW met1 ( 69230 31450 ) M1M2_PR + NEW met1 ( 69230 27710 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 69230 31450 ) RECT ( -355 -70 0 70 ) ; + - _088_ ( _444_ D ) ( _348_ X ) + USE SIGNAL + + ROUTED met1 ( 38410 42670 ) ( 38715 * ) + NEW met2 ( 38410 42670 ) ( * 52190 ) + NEW met1 ( 38410 52190 ) ( 38870 * ) + NEW li1 ( 38715 42670 ) L1M1_PR + NEW met1 ( 38410 42670 ) M1M2_PR + NEW met1 ( 38410 52190 ) M1M2_PR + NEW li1 ( 38870 52190 ) L1M1_PR ; + - _089_ ( _463_ D ) ( _343_ Y ) + USE SIGNAL + + ROUTED met2 ( 46230 23290 ) ( * 25330 ) + NEW met1 ( 44390 25330 ) ( 46230 * ) + NEW li1 ( 46230 23290 ) L1M1_PR + NEW met1 ( 46230 23290 ) M1M2_PR + NEW met1 ( 46230 25330 ) M1M2_PR + NEW li1 ( 44390 25330 ) L1M1_PR + NEW met1 ( 46230 23290 ) RECT ( 0 -70 355 70 ) ; + - _090_ ( _464_ D ) ( _339_ Y ) + USE SIGNAL + + ROUTED met2 ( 82110 4930 ) ( * 6290 ) + NEW li1 ( 82110 4930 ) L1M1_PR + NEW met1 ( 82110 4930 ) M1M2_PR + NEW li1 ( 82110 6290 ) L1M1_PR + NEW met1 ( 82110 6290 ) M1M2_PR + NEW met1 ( 82110 4930 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 82110 6290 ) RECT ( -355 -70 0 70 ) ; + - _091_ ( _465_ D ) ( _336_ Y ) + USE SIGNAL + + ROUTED met1 ( 70610 3910 ) ( 74290 * ) + NEW li1 ( 74290 3910 ) L1M1_PR + NEW li1 ( 70610 3910 ) L1M1_PR ; + - _092_ ( _466_ D ) ( _332_ Y ) + USE SIGNAL + + ROUTED met2 ( 53130 2210 ) ( * 3910 ) + NEW met1 ( 50830 3910 ) ( 53130 * ) + NEW li1 ( 53130 2210 ) L1M1_PR + NEW met1 ( 53130 2210 ) M1M2_PR + NEW met1 ( 53130 3910 ) M1M2_PR + NEW li1 ( 50830 3910 ) L1M1_PR + NEW met1 ( 53130 2210 ) RECT ( -355 -70 0 70 ) ; + - _093_ ( _467_ D ) ( _328_ X ) + USE SIGNAL + + ROUTED met2 ( 69230 10370 ) ( * 11730 ) + NEW met1 ( 68770 11730 ) ( 69230 * ) + NEW li1 ( 69230 10370 ) L1M1_PR + NEW met1 ( 69230 10370 ) M1M2_PR + NEW met1 ( 69230 11730 ) M1M2_PR + NEW li1 ( 68770 11730 ) L1M1_PR + NEW met1 ( 69230 10370 ) RECT ( -355 -70 0 70 ) ; + - _094_ ( _468_ D ) ( _321_ X ) + USE SIGNAL + + ROUTED met1 ( 4370 18530 ) ( 6670 * ) + NEW met2 ( 4370 18530 ) ( * 20230 ) + NEW li1 ( 6670 18530 ) L1M1_PR NEW met1 ( 4370 18530 ) M1M2_PR + NEW li1 ( 4370 20230 ) L1M1_PR NEW met1 ( 4370 20230 ) M1M2_PR - NEW li1 ( 3450 20230 ) L1M1_PR - NEW met1 ( 4370 18530 ) RECT ( -355 -70 0 70 ) ; - - _064_ ( _445_ D ) ( _316_ X ) + USE SIGNAL + NEW met1 ( 4370 20230 ) RECT ( -355 -70 0 70 ) ; + - _095_ ( _469_ D ) ( _319_ X ) + USE SIGNAL + + ROUTED met1 ( 5290 22610 ) ( 8050 * ) + NEW met1 ( 5290 22270 ) ( * 22610 ) + NEW li1 ( 8050 22610 ) L1M1_PR + NEW li1 ( 5290 22270 ) L1M1_PR ; + - _096_ ( _470_ D ) ( _317_ X ) + USE SIGNAL + + ROUTED met1 ( 31050 23290 ) ( 31970 * ) + NEW met1 ( 31050 23290 ) ( * 23630 ) + NEW met1 ( 29670 23630 ) ( 31050 * ) + NEW li1 ( 31970 23290 ) L1M1_PR + NEW li1 ( 29670 23630 ) L1M1_PR ; + - _097_ ( _471_ D ) ( _315_ Y ) + USE SIGNAL + + ROUTED met1 ( 62330 14790 ) ( 64170 * ) + NEW met2 ( 64170 13090 ) ( * 14790 ) + NEW met1 ( 58190 13090 ) ( 64170 * ) + NEW met1 ( 64170 13090 ) M1M2_PR + NEW met1 ( 64170 14790 ) M1M2_PR + NEW li1 ( 62330 14790 ) L1M1_PR + NEW li1 ( 58190 13090 ) L1M1_PR ; + - _098_ ( _472_ D ) ( _304_ X ) + USE SIGNAL + + ROUTED met1 ( 7590 2210 ) ( 8050 * ) + NEW met2 ( 8050 2210 ) ( * 6290 ) + NEW li1 ( 7590 2210 ) L1M1_PR + NEW met1 ( 8050 2210 ) M1M2_PR + NEW li1 ( 8050 6290 ) L1M1_PR + NEW met1 ( 8050 6290 ) M1M2_PR + NEW met1 ( 8050 6290 ) RECT ( -355 -70 0 70 ) ; + - _099_ ( _473_ D ) ( _302_ X ) + USE SIGNAL + + ROUTED met1 ( 3910 4250 ) ( 4370 * ) + NEW met2 ( 4370 4250 ) ( * 8670 ) + NEW li1 ( 3910 4250 ) L1M1_PR + NEW met1 ( 4370 4250 ) M1M2_PR + NEW li1 ( 4370 8670 ) L1M1_PR + NEW met1 ( 4370 8670 ) M1M2_PR + NEW met1 ( 4370 8670 ) RECT ( -355 -70 0 70 ) ; + - _100_ ( _474_ D ) ( _299_ X ) + USE SIGNAL + ROUTED met1 ( 2990 13090 ) ( 3910 * ) NEW met2 ( 2990 13090 ) ( * 14790 ) NEW li1 ( 3910 13090 ) L1M1_PR @@ -1946,3314 +2257,3056 @@ NETS 306 ; NEW li1 ( 2990 14790 ) L1M1_PR NEW met1 ( 2990 14790 ) M1M2_PR NEW met1 ( 2990 14790 ) RECT ( -355 -70 0 70 ) ; - - _065_ ( _446_ D ) ( _314_ X ) + USE SIGNAL - + ROUTED met1 ( 2990 9350 ) ( 12650 * ) - NEW li1 ( 12650 9350 ) L1M1_PR - NEW li1 ( 2990 9350 ) L1M1_PR ; - - _066_ ( _447_ D ) ( _312_ Y ) + USE SIGNAL - + ROUTED met2 ( 44390 2210 ) ( * 6630 ) - NEW met1 ( 44390 2210 ) ( 45770 * ) - NEW li1 ( 44390 6630 ) L1M1_PR + - _101_ ( _475_ D ) ( _297_ X ) + USE SIGNAL + + ROUTED met2 ( 44390 4590 ) ( * 6630 ) + NEW met1 ( 41630 6630 ) ( 44390 * ) + NEW li1 ( 44390 4590 ) L1M1_PR + NEW met1 ( 44390 4590 ) M1M2_PR NEW met1 ( 44390 6630 ) M1M2_PR - NEW met1 ( 44390 2210 ) M1M2_PR - NEW li1 ( 45770 2210 ) L1M1_PR - NEW met1 ( 44390 6630 ) RECT ( -355 -70 0 70 ) ; - - _067_ ( _448_ D ) ( _301_ X ) + USE SIGNAL - + ROUTED met1 ( 10350 6970 ) ( 18630 * ) - NEW li1 ( 10350 6970 ) L1M1_PR - NEW li1 ( 18630 6970 ) L1M1_PR ; - - _068_ ( _449_ D ) ( _300_ Y ) + USE SIGNAL - + ROUTED met1 ( 14490 3910 ) ( 18630 * ) - NEW met1 ( 18630 3570 ) ( * 3910 ) - NEW met1 ( 18630 3570 ) ( 22770 * ) - NEW li1 ( 14490 3910 ) L1M1_PR - NEW li1 ( 22770 3570 ) L1M1_PR ; - - _069_ ( _450_ D ) ( _297_ Y ) + USE SIGNAL - + ROUTED met1 ( 27830 2210 ) ( 28290 * ) - NEW met2 ( 28290 2210 ) ( * 3910 ) - NEW li1 ( 27830 2210 ) L1M1_PR - NEW met1 ( 28290 2210 ) M1M2_PR - NEW li1 ( 28290 3910 ) L1M1_PR - NEW met1 ( 28290 3910 ) M1M2_PR - NEW met1 ( 28290 3910 ) RECT ( -355 -70 0 70 ) ; - - _070_ ( _451_ D ) ( _289_ X ) + USE SIGNAL - + ROUTED met1 ( 28290 14110 ) ( 31510 * ) - NEW met2 ( 28290 11730 ) ( * 14110 ) - NEW li1 ( 28290 11730 ) L1M1_PR - NEW met1 ( 28290 11730 ) M1M2_PR - NEW met1 ( 28290 14110 ) M1M2_PR - NEW li1 ( 31510 14110 ) L1M1_PR - NEW met1 ( 28290 11730 ) RECT ( -355 -70 0 70 ) ; - - _071_ ( _452_ D ) ( _287_ X ) + USE SIGNAL - + ROUTED met1 ( 26450 20230 ) ( 30590 * ) - NEW met2 ( 30590 20230 ) ( * 22270 ) - NEW li1 ( 26450 20230 ) L1M1_PR - NEW met1 ( 30590 20230 ) M1M2_PR - NEW li1 ( 30590 22270 ) L1M1_PR - NEW met1 ( 30590 22270 ) M1M2_PR - NEW met1 ( 30590 22270 ) RECT ( -355 -70 0 70 ) ; - - _072_ ( _453_ D ) ( _285_ X ) + USE SIGNAL - + ROUTED met2 ( 42550 18530 ) ( * 25670 ) - NEW met1 ( 39330 25670 ) ( 42550 * ) - NEW li1 ( 42550 18530 ) L1M1_PR - NEW met1 ( 42550 18530 ) M1M2_PR - NEW met1 ( 42550 25670 ) M1M2_PR - NEW li1 ( 39330 25670 ) L1M1_PR - NEW met1 ( 42550 18530 ) RECT ( -355 -70 0 70 ) ; - - _073_ ( _454_ D ) ( _281_ Y ) + USE SIGNAL - + ROUTED met1 ( 44850 11390 ) ( 48990 * ) - NEW met1 ( 44850 11390 ) ( * 12070 ) - NEW met1 ( 44850 12070 ) ( 46230 * ) - NEW met1 ( 48990 16830 ) ( 51290 * ) - NEW met2 ( 48990 11390 ) ( * 16830 ) - NEW met1 ( 48990 11390 ) M1M2_PR - NEW li1 ( 46230 12070 ) L1M1_PR - NEW met1 ( 48990 16830 ) M1M2_PR - NEW li1 ( 51290 16830 ) L1M1_PR ; - - _074_ ( _455_ D ) ( _270_ Y ) + USE SIGNAL - + ROUTED met1 ( 75210 36550 ) ( 79810 * ) - NEW met2 ( 75210 36550 ) ( * 38590 ) - NEW li1 ( 79810 36550 ) L1M1_PR - NEW met1 ( 75210 36550 ) M1M2_PR - NEW li1 ( 75210 38590 ) L1M1_PR - NEW met1 ( 75210 38590 ) M1M2_PR - NEW met1 ( 75210 38590 ) RECT ( -355 -70 0 70 ) ; - - _075_ ( _456_ D ) ( _264_ Y ) + USE SIGNAL - + ROUTED met1 ( 11270 42670 ) ( 12650 * ) - NEW met1 ( 11270 41990 ) ( * 42670 ) - NEW met1 ( 2990 41990 ) ( 11270 * ) - NEW li1 ( 12650 42670 ) L1M1_PR - NEW li1 ( 2990 41990 ) L1M1_PR ; - - _076_ ( _457_ D ) ( _261_ Y ) + USE SIGNAL - + ROUTED met2 ( 13570 46580 ) ( * 46750 ) - NEW met2 ( 13110 46580 ) ( 13570 * ) - NEW met2 ( 13110 46580 ) ( * 50490 ) - NEW met1 ( 8510 50490 ) ( 13110 * ) - NEW met1 ( 14950 46750 ) ( * 47090 ) - NEW met1 ( 14950 47090 ) ( 16790 * ) - NEW met1 ( 13570 46750 ) ( 14950 * ) - NEW met1 ( 13570 46750 ) M1M2_PR - NEW met1 ( 13110 50490 ) M1M2_PR - NEW li1 ( 8510 50490 ) L1M1_PR - NEW li1 ( 16790 47090 ) L1M1_PR ; - - _077_ ( _458_ D ) ( _257_ Y ) + USE SIGNAL - + ROUTED met1 ( 2990 47430 ) ( 11270 * ) - NEW li1 ( 2990 47430 ) L1M1_PR - NEW li1 ( 11270 47430 ) L1M1_PR ; - - _078_ ( _459_ D ) ( _253_ Y ) + USE SIGNAL - + ROUTED met1 ( 29210 48450 ) ( 32430 * ) - NEW met2 ( 32430 48450 ) ( * 50150 ) - NEW li1 ( 29210 48450 ) L1M1_PR + NEW li1 ( 41630 6630 ) L1M1_PR + NEW met1 ( 44390 4590 ) RECT ( -355 -70 0 70 ) ; + - _102_ ( _476_ D ) ( _295_ X ) + USE SIGNAL + + ROUTED met1 ( 24610 2210 ) ( 26450 * ) + NEW met2 ( 26450 2210 ) ( * 3910 ) + NEW li1 ( 24610 2210 ) L1M1_PR + NEW met1 ( 26450 2210 ) M1M2_PR + NEW li1 ( 26450 3910 ) L1M1_PR + NEW met1 ( 26450 3910 ) M1M2_PR + NEW met1 ( 26450 3910 ) RECT ( -355 -70 0 70 ) ; + - _103_ ( _477_ D ) ( _293_ X ) + USE SIGNAL + + ROUTED met1 ( 27370 14790 ) ( 30590 * ) + NEW met2 ( 30590 13090 ) ( * 14790 ) + NEW li1 ( 30590 13090 ) L1M1_PR + NEW met1 ( 30590 13090 ) M1M2_PR + NEW met1 ( 30590 14790 ) M1M2_PR + NEW li1 ( 27370 14790 ) L1M1_PR + NEW met1 ( 30590 13090 ) RECT ( -355 -70 0 70 ) ; + - _104_ ( _478_ D ) ( _290_ Y ) + USE SIGNAL + + ROUTED met1 ( 42550 17850 ) ( 44390 * ) + NEW met1 ( 42550 17850 ) ( * 18190 ) + NEW met1 ( 41630 18190 ) ( 42550 * ) + NEW li1 ( 44390 17850 ) L1M1_PR + NEW li1 ( 41630 18190 ) L1M1_PR ; + - _105_ ( _479_ D ) ( _279_ Y ) + USE SIGNAL + + ROUTED met1 ( 68310 36550 ) ( 74290 * ) + NEW li1 ( 74290 36550 ) L1M1_PR + NEW li1 ( 68310 36550 ) L1M1_PR ; + - _106_ ( _480_ D ) ( _273_ Y ) + USE SIGNAL + + ROUTED met1 ( 2990 40290 ) ( 7130 * ) + NEW met2 ( 2990 40290 ) ( * 41990 ) + NEW li1 ( 7130 40290 ) L1M1_PR + NEW met1 ( 2990 40290 ) M1M2_PR + NEW li1 ( 2990 41990 ) L1M1_PR + NEW met1 ( 2990 41990 ) M1M2_PR + NEW met1 ( 2990 41990 ) RECT ( -355 -70 0 70 ) ; + - _107_ ( _481_ D ) ( _270_ Y ) + USE SIGNAL + + ROUTED met2 ( 3450 47770 ) ( * 49470 ) + NEW met1 ( 3450 49470 ) ( 4830 * ) + NEW li1 ( 3450 47770 ) L1M1_PR + NEW met1 ( 3450 47770 ) M1M2_PR + NEW met1 ( 3450 49470 ) M1M2_PR + NEW li1 ( 4830 49470 ) L1M1_PR + NEW met1 ( 3450 47770 ) RECT ( -355 -70 0 70 ) ; + - _108_ ( _482_ D ) ( _266_ Y ) + USE SIGNAL + + ROUTED met2 ( 9890 50490 ) ( * 52190 ) + NEW met1 ( 9890 52190 ) ( 10350 * ) + NEW li1 ( 9890 50490 ) L1M1_PR + NEW met1 ( 9890 50490 ) M1M2_PR + NEW met1 ( 9890 52190 ) M1M2_PR + NEW li1 ( 10350 52190 ) L1M1_PR + NEW met1 ( 9890 50490 ) RECT ( -355 -70 0 70 ) ; + - _109_ ( _483_ D ) ( _262_ Y ) + USE SIGNAL + + ROUTED met2 ( 32430 48450 ) ( * 50150 ) + NEW li1 ( 32430 48450 ) L1M1_PR NEW met1 ( 32430 48450 ) M1M2_PR NEW li1 ( 32430 50150 ) L1M1_PR NEW met1 ( 32430 50150 ) M1M2_PR + NEW met1 ( 32430 48450 ) RECT ( -355 -70 0 70 ) NEW met1 ( 32430 50150 ) RECT ( -355 -70 0 70 ) ; - - _079_ ( _460_ D ) ( _243_ X ) + USE SIGNAL - + ROUTED met1 ( 2990 29410 ) ( 12190 * ) - NEW met2 ( 2990 29410 ) ( * 31110 ) - NEW li1 ( 12190 29410 ) L1M1_PR - NEW met1 ( 2990 29410 ) M1M2_PR - NEW li1 ( 2990 31110 ) L1M1_PR - NEW met1 ( 2990 31110 ) M1M2_PR - NEW met1 ( 2990 31110 ) RECT ( -355 -70 0 70 ) ; - - _080_ ( _461_ D ) ( _242_ Y ) + USE SIGNAL - + ROUTED met2 ( 18630 25670 ) ( * 27710 ) - NEW met1 ( 4370 25670 ) ( 18630 * ) - NEW li1 ( 4370 25670 ) L1M1_PR - NEW met1 ( 18630 25670 ) M1M2_PR - NEW li1 ( 18630 27710 ) L1M1_PR - NEW met1 ( 18630 27710 ) M1M2_PR - NEW met1 ( 18630 27710 ) RECT ( -355 -70 0 70 ) ; - - _081_ ( _462_ D ) ( _238_ X ) + USE SIGNAL - + ROUTED met1 ( 12650 33150 ) ( * 33490 ) - NEW met1 ( 2990 33490 ) ( 12650 * ) - NEW met2 ( 2990 33490 ) ( * 36550 ) - NEW li1 ( 12650 33150 ) L1M1_PR - NEW met1 ( 2990 33490 ) M1M2_PR - NEW li1 ( 2990 36550 ) L1M1_PR - NEW met1 ( 2990 36550 ) M1M2_PR - NEW met1 ( 2990 36550 ) RECT ( -355 -70 0 70 ) ; - - _082_ ( _463_ D ) ( _232_ X ) + USE SIGNAL - + ROUTED met1 ( 21785 29410 ) ( 27830 * ) - NEW met2 ( 27830 29410 ) ( * 35870 ) - NEW li1 ( 21785 29410 ) L1M1_PR - NEW met1 ( 27830 29410 ) M1M2_PR - NEW li1 ( 27830 35870 ) L1M1_PR - NEW met1 ( 27830 35870 ) M1M2_PR - NEW met1 ( 27830 35870 ) RECT ( -355 -70 0 70 ) ; - - _083_ ( _464_ D ) ( _230_ X ) + USE SIGNAL - + ROUTED met1 ( 32430 28730 ) ( 34270 * ) - NEW met2 ( 34270 28730 ) ( * 33150 ) - NEW li1 ( 32430 28730 ) L1M1_PR - NEW met1 ( 34270 28730 ) M1M2_PR - NEW li1 ( 34270 33150 ) L1M1_PR - NEW met1 ( 34270 33150 ) M1M2_PR - NEW met1 ( 34270 33150 ) RECT ( -355 -70 0 70 ) ; - - _084_ ( _465_ D ) ( _228_ X ) + USE SIGNAL - + ROUTED met2 ( 52210 28730 ) ( * 30430 ) - NEW met1 ( 52210 30430 ) ( 54050 * ) - NEW li1 ( 52210 28730 ) L1M1_PR - NEW met1 ( 52210 28730 ) M1M2_PR - NEW met1 ( 52210 30430 ) M1M2_PR - NEW li1 ( 54050 30430 ) L1M1_PR - NEW met1 ( 52210 28730 ) RECT ( -355 -70 0 70 ) ; - - _085_ ( _466_ D ) ( _226_ Y ) + USE SIGNAL - + ROUTED met1 ( 55430 43010 ) ( 56350 * ) - NEW met2 ( 56350 43010 ) ( * 44710 ) - NEW li1 ( 55430 43010 ) L1M1_PR - NEW met1 ( 56350 43010 ) M1M2_PR - NEW li1 ( 56350 44710 ) L1M1_PR - NEW met1 ( 56350 44710 ) M1M2_PR - NEW met1 ( 56350 44710 ) RECT ( -355 -70 0 70 ) ; - - _086_ ( _467_ D ) ( _218_ X ) + USE SIGNAL - + ROUTED met1 ( 43930 38590 ) ( * 38930 ) - NEW met1 ( 41630 38590 ) ( 43930 * ) - NEW li1 ( 43930 38930 ) L1M1_PR - NEW li1 ( 41630 38590 ) L1M1_PR ; - - _087_ ( _468_ D ) ( _207_ X ) + USE SIGNAL - + ROUTED met2 ( 82110 28730 ) ( * 30430 ) - NEW met1 ( 82110 30430 ) ( 82570 * ) - NEW li1 ( 82110 28730 ) L1M1_PR - NEW met1 ( 82110 28730 ) M1M2_PR - NEW met1 ( 82110 30430 ) M1M2_PR - NEW li1 ( 82570 30430 ) L1M1_PR - NEW met1 ( 82110 28730 ) RECT ( -355 -70 0 70 ) ; - - _088_ ( _469_ D ) ( _205_ X ) + USE SIGNAL - + ROUTED met2 ( 61870 31790 ) ( * 33150 ) - NEW met1 ( 61870 33150 ) ( 62790 * ) - NEW li1 ( 62790 33150 ) L1M1_PR - NEW met1 ( 61870 33150 ) M1M2_PR - NEW li1 ( 61870 31790 ) L1M1_PR - NEW met1 ( 61870 31790 ) M1M2_PR - NEW met1 ( 61870 31790 ) RECT ( -355 -70 0 70 ) ; - - _089_ ( _470_ D ) ( _377_ X ) + USE SIGNAL - + ROUTED met2 ( 73830 23290 ) ( * 28730 ) - NEW li1 ( 73830 23290 ) L1M1_PR - NEW met1 ( 73830 23290 ) M1M2_PR - NEW li1 ( 73830 28730 ) L1M1_PR - NEW met1 ( 73830 28730 ) M1M2_PR - NEW met1 ( 73830 23290 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 73830 28730 ) RECT ( -355 -70 0 70 ) ; - - _090_ ( _205_ A ) ( _204_ X ) + USE SIGNAL - + ROUTED met1 ( 63710 33830 ) ( 66470 * ) - NEW met1 ( 66470 33150 ) ( * 33830 ) - NEW li1 ( 63710 33830 ) L1M1_PR - NEW li1 ( 66470 33150 ) L1M1_PR ; - - _091_ ( _207_ A ) ( _206_ X ) + USE SIGNAL - + ROUTED met1 ( 83490 31450 ) ( 84410 * ) - NEW met1 ( 84410 31450 ) ( * 32130 ) - NEW li1 ( 83490 31450 ) L1M1_PR - NEW li1 ( 84410 32130 ) L1M1_PR ; - - _092_ ( _210_ A ) ( _208_ X ) + USE SIGNAL - + ROUTED met1 ( 44850 42670 ) ( * 43010 ) - NEW met1 ( 44850 42670 ) ( 50830 * ) - NEW met2 ( 50830 42670 ) ( * 44710 ) - NEW li1 ( 44850 43010 ) L1M1_PR - NEW met1 ( 50830 42670 ) M1M2_PR - NEW li1 ( 50830 44710 ) L1M1_PR - NEW met1 ( 50830 44710 ) M1M2_PR - NEW met1 ( 50830 44710 ) RECT ( 0 -70 355 70 ) ; - - _093_ ( _210_ B ) ( _209_ X ) + USE SIGNAL - + ROUTED met2 ( 47610 43010 ) ( * 44370 ) - NEW met1 ( 47610 44370 ) ( 51290 * ) - NEW li1 ( 47610 43010 ) L1M1_PR - NEW met1 ( 47610 43010 ) M1M2_PR - NEW met1 ( 47610 44370 ) M1M2_PR - NEW li1 ( 51290 44370 ) L1M1_PR - NEW met1 ( 47610 43010 ) RECT ( -355 -70 0 70 ) ; - - _094_ ( _218_ A1 ) ( _210_ Y ) + USE SIGNAL - + ROUTED met1 ( 39330 39610 ) ( 41630 * ) - NEW met2 ( 41630 39610 ) ( * 44370 ) - NEW met1 ( 41630 44370 ) ( 43470 * ) - NEW met1 ( 43470 44030 ) ( * 44370 ) - NEW met1 ( 43470 44030 ) ( 50830 * ) - NEW li1 ( 39330 39610 ) L1M1_PR - NEW met1 ( 41630 39610 ) M1M2_PR - NEW met1 ( 41630 44370 ) M1M2_PR - NEW li1 ( 50830 44030 ) L1M1_PR ; - - _095_ ( _214_ A1 ) ( _211_ Y ) + USE SIGNAL - + ROUTED met1 ( 38870 41990 ) ( * 42330 ) - NEW met1 ( 37490 41990 ) ( 38870 * ) - NEW li1 ( 38870 42330 ) L1M1_PR - NEW li1 ( 37490 41990 ) L1M1_PR ; - - _096_ ( _214_ A2 ) ( _212_ X ) + USE SIGNAL - + ROUTED met2 ( 38410 39950 ) ( * 41310 ) - NEW met1 ( 38410 41310 ) ( 39330 * ) - NEW li1 ( 38410 39950 ) L1M1_PR - NEW met1 ( 38410 39950 ) M1M2_PR - NEW met1 ( 38410 41310 ) M1M2_PR - NEW li1 ( 39330 41310 ) L1M1_PR - NEW met1 ( 38410 39950 ) RECT ( -355 -70 0 70 ) ; - - _097_ ( _222_ C ) ( _214_ B1 ) ( _213_ X ) + USE SIGNAL - + ROUTED met1 ( 56350 37570 ) ( 56810 * ) - NEW met2 ( 56350 37570 ) ( * 41310 ) - NEW met1 ( 55430 41310 ) ( 56350 * ) - NEW met1 ( 55430 41310 ) ( * 41650 ) - NEW met1 ( 40250 41650 ) ( 55430 * ) - NEW met1 ( 40250 41650 ) ( * 41990 ) - NEW met1 ( 60950 38590 ) ( * 39270 ) - NEW met1 ( 56350 38590 ) ( 60950 * ) - NEW li1 ( 56810 37570 ) L1M1_PR - NEW met1 ( 56350 37570 ) M1M2_PR - NEW met1 ( 56350 41310 ) M1M2_PR - NEW li1 ( 40250 41990 ) L1M1_PR - NEW li1 ( 60950 39270 ) L1M1_PR - NEW met1 ( 56350 38590 ) M1M2_PR - NEW met2 ( 56350 38590 ) RECT ( -70 -485 70 0 ) ; - - _098_ ( _218_ A2 ) ( _214_ Y ) + USE SIGNAL - + ROUTED met2 ( 39790 39950 ) ( * 41310 ) - NEW li1 ( 39790 39950 ) L1M1_PR - NEW met1 ( 39790 39950 ) M1M2_PR - NEW li1 ( 39790 41310 ) L1M1_PR - NEW met1 ( 39790 41310 ) M1M2_PR - NEW met1 ( 39790 39950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 39790 41310 ) RECT ( 0 -70 355 70 ) ; - - _099_ ( _224_ A ) ( _217_ A ) ( _215_ Y ) + USE SIGNAL - + ROUTED met1 ( 26450 38930 ) ( 31050 * ) - NEW met2 ( 26910 36890 ) ( * 38930 ) - NEW li1 ( 26450 38930 ) L1M1_PR - NEW li1 ( 31050 38930 ) L1M1_PR - NEW li1 ( 26910 36890 ) L1M1_PR - NEW met1 ( 26910 36890 ) M1M2_PR - NEW met1 ( 26910 38930 ) M1M2_PR - NEW met1 ( 26910 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 26910 38930 ) RECT ( -595 -70 0 70 ) ; - - _100_ ( _254_ B ) ( _231_ B ) ( _229_ B ) ( _223_ A ) ( _217_ B ) ( _216_ Y ) + USE SIGNAL - + ROUTED met1 ( 10810 37230 ) ( 13110 * ) - NEW met1 ( 10810 37230 ) ( * 37570 ) - NEW met2 ( 10810 37570 ) ( * 39270 ) - NEW met1 ( 13110 36890 ) ( * 37230 ) - NEW met1 ( 14950 36550 ) ( * 36890 ) - NEW met1 ( 25530 36550 ) ( * 36890 ) - NEW met1 ( 14950 36550 ) ( 25530 * ) - NEW met2 ( 28750 33830 ) ( * 35020 ) - NEW met2 ( 28290 35020 ) ( 28750 * ) - NEW met2 ( 28290 35020 ) ( * 36550 ) - NEW met1 ( 25530 36550 ) ( 28290 * ) - NEW met1 ( 28750 31790 ) ( 29210 * ) - NEW met1 ( 28750 31790 ) ( * 32130 ) - NEW met2 ( 28750 32130 ) ( * 33830 ) - NEW met1 ( 13110 36890 ) ( 14950 * ) - NEW li1 ( 13110 37230 ) L1M1_PR - NEW met1 ( 10810 37570 ) M1M2_PR - NEW li1 ( 10810 39270 ) L1M1_PR - NEW met1 ( 10810 39270 ) M1M2_PR - NEW li1 ( 14950 36550 ) L1M1_PR - NEW li1 ( 25530 36890 ) L1M1_PR - NEW li1 ( 28750 33830 ) L1M1_PR - NEW met1 ( 28750 33830 ) M1M2_PR - NEW met1 ( 28290 36550 ) M1M2_PR - NEW li1 ( 29210 31790 ) L1M1_PR - NEW met1 ( 28750 32130 ) M1M2_PR - NEW met1 ( 10810 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 28750 33830 ) RECT ( 0 -70 355 70 ) ; - - _101_ ( _220_ A ) ( _219_ Y ) + USE SIGNAL - + ROUTED met2 ( 49450 30770 ) ( * 36890 ) - NEW met1 ( 49450 36890 ) ( 51290 * ) - NEW li1 ( 49450 30770 ) L1M1_PR - NEW met1 ( 49450 30770 ) M1M2_PR - NEW met1 ( 49450 36890 ) M1M2_PR - NEW li1 ( 51290 36890 ) L1M1_PR - NEW met1 ( 49450 30770 ) RECT ( -355 -70 0 70 ) ; - - _102_ ( _347_ A ) ( _225_ A2 ) ( _222_ A_N ) ( _220_ Y ) + USE SIGNAL - + ROUTED met1 ( 58190 36890 ) ( * 37230 ) - NEW met1 ( 54050 37230 ) ( 58190 * ) - NEW met1 ( 54050 36550 ) ( * 37230 ) - NEW met1 ( 51750 36550 ) ( 54050 * ) - NEW met2 ( 59110 33830 ) ( * 36890 ) - NEW met1 ( 58190 36890 ) ( 59110 * ) - NEW met1 ( 60490 38930 ) ( * 39270 ) - NEW met1 ( 59110 38930 ) ( 60490 * ) - NEW met2 ( 59110 36890 ) ( * 38930 ) - NEW li1 ( 58190 36890 ) L1M1_PR - NEW li1 ( 51750 36550 ) L1M1_PR - NEW li1 ( 59110 33830 ) L1M1_PR - NEW met1 ( 59110 33830 ) M1M2_PR - NEW met1 ( 59110 36890 ) M1M2_PR - NEW li1 ( 60490 39270 ) L1M1_PR - NEW met1 ( 59110 38930 ) M1M2_PR - NEW met1 ( 59110 33830 ) RECT ( -355 -70 0 70 ) ; - - _103_ ( _225_ B1_N ) ( _222_ B ) ( _221_ Y ) + USE SIGNAL - + ROUTED met2 ( 61410 39270 ) ( * 41310 ) - NEW met1 ( 60950 41310 ) ( 61410 * ) - NEW met1 ( 59570 36890 ) ( 61410 * ) - NEW met2 ( 61410 36890 ) ( * 39270 ) - NEW li1 ( 61410 39270 ) L1M1_PR - NEW met1 ( 61410 39270 ) M1M2_PR - NEW met1 ( 61410 41310 ) M1M2_PR - NEW li1 ( 60950 41310 ) L1M1_PR - NEW li1 ( 59570 36890 ) L1M1_PR - NEW met1 ( 61410 36890 ) M1M2_PR - NEW met1 ( 61410 39270 ) RECT ( 0 -70 355 70 ) ; - - _104_ ( _226_ A ) ( _222_ Y ) + USE SIGNAL - + ROUTED met1 ( 62330 40290 ) ( 64630 * ) - NEW met2 ( 64630 40290 ) ( * 42670 ) - NEW met1 ( 55430 42330 ) ( * 42670 ) - NEW met1 ( 55430 42670 ) ( 64630 * ) - NEW li1 ( 62330 40290 ) L1M1_PR - NEW met1 ( 64630 40290 ) M1M2_PR - NEW met1 ( 64630 42670 ) M1M2_PR - NEW li1 ( 55430 42330 ) L1M1_PR ; - - _105_ ( _260_ B ) ( _256_ B ) ( _253_ C ) ( _227_ B ) ( _226_ B ) ( _223_ X ) + USE SIGNAL - + ROUTED met1 ( 53130 42670 ) ( 54970 * ) - NEW met1 ( 44390 46750 ) ( * 47090 ) - NEW met1 ( 44390 47090 ) ( 48530 * ) - NEW met2 ( 48530 43010 ) ( * 47090 ) - NEW met1 ( 48530 43010 ) ( 53130 * ) - NEW met1 ( 53130 42670 ) ( * 43010 ) - NEW met1 ( 14030 37230 ) ( 14490 * ) - NEW met1 ( 29670 48110 ) ( 30085 * ) - NEW met1 ( 30085 47430 ) ( * 48110 ) - NEW met1 ( 30085 47430 ) ( 30590 * ) - NEW met1 ( 30590 46750 ) ( * 47430 ) - NEW met1 ( 14950 48110 ) ( 15410 * ) - NEW met2 ( 15410 48110 ) ( 15870 * ) - NEW met2 ( 15870 47260 ) ( * 48110 ) - NEW met3 ( 15870 47260 ) ( 30130 * ) - NEW met2 ( 30130 47260 ) ( * 47430 ) - NEW met1 ( 13110 48110 ) ( 13570 * ) - NEW met2 ( 13570 48110 ) ( 15410 * ) - NEW met2 ( 14490 37230 ) ( * 48110 ) - NEW met1 ( 30590 46750 ) ( 44390 * ) - NEW met2 ( 53130 34850 ) ( 54050 * ) - NEW met2 ( 54050 33490 ) ( * 34850 ) - NEW met1 ( 52670 33490 ) ( 54050 * ) - NEW met2 ( 53130 34850 ) ( * 42670 ) - NEW li1 ( 54970 42670 ) L1M1_PR - NEW met1 ( 53130 42670 ) M1M2_PR - NEW met1 ( 48530 47090 ) M1M2_PR - NEW met1 ( 48530 43010 ) M1M2_PR - NEW li1 ( 14030 37230 ) L1M1_PR - NEW met1 ( 14490 37230 ) M1M2_PR - NEW li1 ( 29670 48110 ) L1M1_PR - NEW li1 ( 14950 48110 ) L1M1_PR - NEW met1 ( 15410 48110 ) M1M2_PR - NEW met2 ( 15870 47260 ) M2M3_PR_M - NEW met2 ( 30130 47260 ) M2M3_PR_M - NEW met1 ( 30130 47430 ) M1M2_PR - NEW li1 ( 13110 48110 ) L1M1_PR - NEW met1 ( 13570 48110 ) M1M2_PR - NEW met1 ( 54050 33490 ) M1M2_PR - NEW li1 ( 52670 33490 ) L1M1_PR - NEW met1 ( 30130 47430 ) RECT ( 0 -70 595 70 ) ; - - _106_ ( _354_ B1 ) ( _231_ A ) ( _229_ A ) ( _227_ A ) ( _225_ A1 ) ( _224_ X ) + USE SIGNAL - + ROUTED met1 ( 57270 36550 ) ( * 36890 ) - NEW met1 ( 57270 36550 ) ( 60950 * ) - NEW met2 ( 60950 36550 ) ( * 41990 ) - NEW met1 ( 53130 33830 ) ( 55430 * ) - NEW met2 ( 55430 33830 ) ( * 36550 ) - NEW met1 ( 55430 36550 ) ( 57270 * ) - NEW met1 ( 31970 38930 ) ( 32890 * ) - NEW met2 ( 32890 31790 ) ( * 38930 ) - NEW met1 ( 32890 31790 ) ( 37950 * ) - NEW met1 ( 37950 31790 ) ( * 32130 ) - NEW met1 ( 37950 32130 ) ( 51290 * ) - NEW met2 ( 51290 32130 ) ( 51750 * ) - NEW met2 ( 51750 32130 ) ( * 33660 ) - NEW met2 ( 51750 33660 ) ( 52210 * ) - NEW met2 ( 52210 33660 ) ( * 33830 ) - NEW met1 ( 52210 33830 ) ( 53130 * ) - NEW met1 ( 28750 31110 ) ( * 31450 ) - NEW met1 ( 28750 31110 ) ( 32430 * ) - NEW met1 ( 32430 31110 ) ( * 31790 ) - NEW met1 ( 32430 31790 ) ( 32890 * ) - NEW met2 ( 28290 31450 ) ( * 33830 ) - NEW met1 ( 28290 31450 ) ( 28750 * ) - NEW met1 ( 60950 41990 ) ( 62790 * ) - NEW li1 ( 62790 41990 ) L1M1_PR - NEW li1 ( 57270 36890 ) L1M1_PR - NEW met1 ( 60950 36550 ) M1M2_PR - NEW met1 ( 60950 41990 ) M1M2_PR - NEW li1 ( 53130 33830 ) L1M1_PR - NEW met1 ( 55430 33830 ) M1M2_PR - NEW met1 ( 55430 36550 ) M1M2_PR - NEW li1 ( 31970 38930 ) L1M1_PR - NEW met1 ( 32890 38930 ) M1M2_PR - NEW met1 ( 32890 31790 ) M1M2_PR - NEW met1 ( 51290 32130 ) M1M2_PR - NEW met1 ( 52210 33830 ) M1M2_PR - NEW li1 ( 28750 31450 ) L1M1_PR - NEW li1 ( 28290 33830 ) L1M1_PR - NEW met1 ( 28290 33830 ) M1M2_PR - NEW met1 ( 28290 31450 ) M1M2_PR - NEW met1 ( 28290 33830 ) RECT ( -355 -70 0 70 ) ; - - _107_ ( _226_ C ) ( _225_ Y ) + USE SIGNAL - + ROUTED met2 ( 58650 37570 ) ( * 41990 ) - NEW met1 ( 54050 41990 ) ( 58650 * ) - NEW met1 ( 54050 41990 ) ( * 42330 ) - NEW li1 ( 58650 37570 ) L1M1_PR - NEW met1 ( 58650 37570 ) M1M2_PR - NEW met1 ( 58650 41990 ) M1M2_PR - NEW li1 ( 54050 42330 ) L1M1_PR - NEW met1 ( 58650 37570 ) RECT ( -355 -70 0 70 ) ; - - _108_ ( _228_ B1_N ) ( _227_ Y ) + USE SIGNAL - + ROUTED met1 ( 52210 31790 ) ( 57270 * ) - NEW met2 ( 52210 31790 ) ( * 33150 ) - NEW li1 ( 57270 31790 ) L1M1_PR - NEW met1 ( 52210 31790 ) M1M2_PR - NEW li1 ( 52210 33150 ) L1M1_PR - NEW met1 ( 52210 33150 ) M1M2_PR - NEW met1 ( 52210 33150 ) RECT ( -355 -70 0 70 ) ; - - _109_ ( _230_ B1_N ) ( _229_ Y ) + USE SIGNAL - + ROUTED met1 ( 29210 33150 ) ( 31050 * ) - NEW li1 ( 31050 33150 ) L1M1_PR - NEW li1 ( 29210 33150 ) L1M1_PR ; - - _110_ ( _232_ B1_N ) ( _231_ Y ) + USE SIGNAL - + ROUTED met2 ( 29670 32130 ) ( * 36550 ) - NEW met1 ( 29670 36550 ) ( 31050 * ) - NEW li1 ( 29670 32130 ) L1M1_PR - NEW met1 ( 29670 32130 ) M1M2_PR - NEW met1 ( 29670 36550 ) M1M2_PR - NEW li1 ( 31050 36550 ) L1M1_PR - NEW met1 ( 29670 32130 ) RECT ( -355 -70 0 70 ) ; - - _111_ ( _243_ B1 ) ( _238_ A1 ) ( _233_ Y ) + USE SIGNAL - + ROUTED met1 ( 14030 32130 ) ( 14490 * ) - NEW met2 ( 14030 32130 ) ( * 33490 ) - NEW met2 ( 14030 28390 ) ( * 32130 ) - NEW met1 ( 13110 28390 ) ( 14030 * ) - NEW li1 ( 13110 28390 ) L1M1_PR - NEW li1 ( 14490 32130 ) L1M1_PR - NEW met1 ( 14030 32130 ) M1M2_PR - NEW li1 ( 14030 33490 ) L1M1_PR - NEW met1 ( 14030 33490 ) M1M2_PR - NEW met1 ( 14030 28390 ) M1M2_PR - NEW met1 ( 14030 33490 ) RECT ( 0 -70 355 70 ) ; - - _112_ ( _251_ C1 ) ( _245_ C1 ) ( _238_ A2 ) ( _234_ Y ) + USE SIGNAL - + ROUTED met1 ( 13570 33830 ) ( * 34170 ) - NEW met1 ( 21390 33830 ) ( * 34170 ) - NEW met1 ( 17250 34170 ) ( 21390 * ) - NEW met2 ( 20470 34170 ) ( * 36890 ) - NEW met1 ( 13570 34170 ) ( 17250 * ) - NEW li1 ( 13570 33830 ) L1M1_PR - NEW li1 ( 17250 34170 ) L1M1_PR - NEW li1 ( 21390 33830 ) L1M1_PR - NEW li1 ( 20470 36890 ) L1M1_PR - NEW met1 ( 20470 36890 ) M1M2_PR - NEW met1 ( 20470 34170 ) M1M2_PR - NEW met1 ( 20470 36890 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 20470 34170 ) RECT ( -595 -70 0 70 ) ; - - _113_ ( _237_ A2 ) ( _235_ Y ) + USE SIGNAL - + ROUTED met1 ( 14030 30770 ) ( 18170 * ) - NEW met1 ( 18170 30770 ) ( * 31450 ) - NEW li1 ( 14030 30770 ) L1M1_PR - NEW li1 ( 18170 31450 ) L1M1_PR ; - - _114_ ( _243_ A1 ) ( _237_ B1_N ) ( _236_ Y ) + USE SIGNAL - + ROUTED met1 ( 14490 30430 ) ( 19550 * ) - NEW met2 ( 14490 27710 ) ( * 30430 ) - NEW met1 ( 14030 27710 ) ( 14490 * ) - NEW met1 ( 19550 30430 ) ( 20470 * ) - NEW li1 ( 19550 30430 ) L1M1_PR - NEW met1 ( 14490 30430 ) M1M2_PR - NEW met1 ( 14490 27710 ) M1M2_PR - NEW li1 ( 14030 27710 ) L1M1_PR - NEW li1 ( 20470 30430 ) L1M1_PR ; - - _115_ ( _242_ B1 ) ( _238_ B1 ) ( _237_ Y ) + USE SIGNAL - + ROUTED met1 ( 14950 32130 ) ( 18630 * ) - NEW met2 ( 14490 32130 ) ( 14950 * ) - NEW met2 ( 14490 32130 ) ( * 33830 ) - NEW met2 ( 18630 28730 ) ( * 32130 ) - NEW li1 ( 18630 32130 ) L1M1_PR - NEW met1 ( 14950 32130 ) M1M2_PR - NEW li1 ( 14490 33830 ) L1M1_PR - NEW met1 ( 14490 33830 ) M1M2_PR - NEW li1 ( 18630 28730 ) L1M1_PR - NEW met1 ( 18630 28730 ) M1M2_PR - NEW met1 ( 18630 32130 ) M1M2_PR - NEW met1 ( 14490 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 18630 28730 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 18630 32130 ) RECT ( -595 -70 0 70 ) ; - - _116_ ( _242_ A1 ) ( _240_ Y ) + USE SIGNAL - + ROUTED met1 ( 14490 26690 ) ( 20010 * ) - NEW met2 ( 20010 26690 ) ( * 28390 ) - NEW li1 ( 14490 26690 ) L1M1_PR - NEW met1 ( 20010 26690 ) M1M2_PR - NEW li1 ( 20010 28390 ) L1M1_PR - NEW met1 ( 20010 28390 ) M1M2_PR - NEW met1 ( 20010 28390 ) RECT ( -355 -70 0 70 ) ; - - _117_ ( _242_ A2 ) ( _241_ X ) + USE SIGNAL - + ROUTED met1 ( 17710 29410 ) ( 19550 * ) - NEW li1 ( 17710 29410 ) L1M1_PR - NEW li1 ( 19550 29410 ) L1M1_PR ; - - _118_ ( _252_ B1_N ) ( _248_ B ) ( _246_ Y ) + USE SIGNAL - + ROUTED met1 ( 29210 50490 ) ( 31970 * ) - NEW met2 ( 31970 48110 ) ( * 50490 ) - NEW met1 ( 31970 48110 ) ( 36570 * ) - NEW met2 ( 26910 48110 ) ( * 50490 ) - NEW met2 ( 26910 50490 ) ( 27370 * ) - NEW met1 ( 27370 50490 ) ( 29210 * ) - NEW li1 ( 29210 50490 ) L1M1_PR - NEW met1 ( 31970 50490 ) M1M2_PR - NEW met1 ( 31970 48110 ) M1M2_PR - NEW li1 ( 36570 48110 ) L1M1_PR - NEW li1 ( 26910 48110 ) L1M1_PR - NEW met1 ( 26910 48110 ) M1M2_PR - NEW met1 ( 27370 50490 ) M1M2_PR - NEW met1 ( 26910 48110 ) RECT ( -355 -70 0 70 ) ; - - _119_ ( _253_ A ) ( _248_ Y ) + USE SIGNAL - + ROUTED met1 ( 27830 47770 ) ( 28290 * ) - NEW met1 ( 27830 47770 ) ( * 48450 ) - NEW met1 ( 27370 48450 ) ( 27830 * ) - NEW li1 ( 28290 47770 ) L1M1_PR - NEW li1 ( 27370 48450 ) L1M1_PR ; - - _120_ ( _250_ A ) ( _249_ Y ) + USE SIGNAL - + ROUTED met1 ( 25530 50150 ) ( * 50490 ) - NEW met1 ( 23690 50490 ) ( 25530 * ) - NEW li1 ( 25530 50150 ) L1M1_PR - NEW li1 ( 23690 50490 ) L1M1_PR ; - - _121_ ( _252_ A1 ) ( _250_ Y ) + USE SIGNAL - + ROUTED met1 ( 26910 49810 ) ( * 50150 ) - NEW met1 ( 25070 49810 ) ( 26910 * ) - NEW li1 ( 26910 50150 ) L1M1_PR - NEW li1 ( 25070 49810 ) L1M1_PR ; - - _122_ ( _260_ A ) ( _256_ A ) ( _254_ A ) ( _252_ A2 ) ( _251_ Y ) + USE SIGNAL - + ROUTED met2 ( 11730 34510 ) ( * 39270 ) - NEW met1 ( 11730 34510 ) ( 20010 * ) - NEW met2 ( 12650 47770 ) ( * 47940 ) - NEW met3 ( 12650 47940 ) ( 27830 * ) - NEW met2 ( 27830 47940 ) ( * 50150 ) - NEW met1 ( 12650 47770 ) ( 14490 * ) - NEW met2 ( 11730 47770 ) ( 12650 * ) - NEW met2 ( 11730 39270 ) ( * 47770 ) - NEW li1 ( 11730 39270 ) L1M1_PR - NEW met1 ( 11730 39270 ) M1M2_PR - NEW met1 ( 11730 34510 ) M1M2_PR - NEW li1 ( 20010 34510 ) L1M1_PR + - _110_ ( _484_ D ) ( _251_ X ) + USE SIGNAL + + ROUTED met1 ( 22310 26690 ) ( 22770 * ) + NEW met2 ( 22310 26690 ) ( * 28050 ) + NEW li1 ( 22770 26690 ) L1M1_PR + NEW met1 ( 22310 26690 ) M1M2_PR + NEW li1 ( 22310 28050 ) L1M1_PR + NEW met1 ( 22310 28050 ) M1M2_PR + NEW met1 ( 22310 28050 ) RECT ( -355 -70 0 70 ) ; + - _111_ ( _485_ D ) ( _249_ X ) + USE SIGNAL + + ROUTED met2 ( 6210 26690 ) ( * 28050 ) + NEW met1 ( 6210 28050 ) ( 7130 * ) + NEW met1 ( 7130 28050 ) ( * 28390 ) + NEW met1 ( 7130 28390 ) ( 8510 * ) + NEW li1 ( 6210 26690 ) L1M1_PR + NEW met1 ( 6210 26690 ) M1M2_PR + NEW met1 ( 6210 28050 ) M1M2_PR + NEW li1 ( 8510 28390 ) L1M1_PR + NEW met1 ( 6210 26690 ) RECT ( -355 -70 0 70 ) ; + - _112_ ( _486_ D ) ( _246_ X ) + USE SIGNAL + + ROUTED met1 ( 2990 33150 ) ( 3910 * ) + NEW met2 ( 2990 31790 ) ( * 33150 ) + NEW li1 ( 3910 33150 ) L1M1_PR + NEW met1 ( 2990 33150 ) M1M2_PR + NEW li1 ( 2990 31790 ) L1M1_PR + NEW met1 ( 2990 31790 ) M1M2_PR + NEW met1 ( 2990 31790 ) RECT ( -355 -70 0 70 ) ; + - _113_ ( _487_ D ) ( _244_ X ) + USE SIGNAL + + ROUTED met1 ( 37030 34850 ) ( 40310 * ) + NEW met2 ( 37030 34850 ) ( * 35870 ) + NEW met1 ( 35650 35870 ) ( 37030 * ) + NEW li1 ( 40310 34850 ) L1M1_PR + NEW met1 ( 37030 34850 ) M1M2_PR + NEW met1 ( 37030 35870 ) M1M2_PR + NEW li1 ( 35650 35870 ) L1M1_PR ; + - _114_ ( _488_ D ) ( _242_ X ) + USE SIGNAL + + ROUTED met1 ( 33350 39610 ) ( 37950 * ) + NEW met2 ( 37950 39610 ) ( * 44030 ) + NEW met1 ( 37950 44030 ) ( 40250 * ) + NEW li1 ( 33350 39610 ) L1M1_PR + NEW met1 ( 37950 39610 ) M1M2_PR + NEW met1 ( 37950 44030 ) M1M2_PR + NEW li1 ( 40250 44030 ) L1M1_PR ; + - _115_ ( _489_ D ) ( _240_ X ) + USE SIGNAL + + ROUTED met2 ( 42550 45730 ) ( * 47430 ) + NEW met1 ( 39330 47430 ) ( 42550 * ) + NEW li1 ( 42550 45730 ) L1M1_PR + NEW met1 ( 42550 45730 ) M1M2_PR + NEW met1 ( 42550 47430 ) M1M2_PR + NEW li1 ( 39330 47430 ) L1M1_PR + NEW met1 ( 42550 45730 ) RECT ( -355 -70 0 70 ) ; + - _116_ ( _490_ D ) ( _238_ Y ) + USE SIGNAL + + ROUTED met1 ( 64630 44710 ) ( 68310 * ) + NEW met1 ( 64630 44030 ) ( * 44710 ) + NEW li1 ( 68310 44710 ) L1M1_PR + NEW li1 ( 64630 44030 ) L1M1_PR ; + - _117_ ( _491_ D ) ( _230_ X ) + USE SIGNAL + + ROUTED met1 ( 37490 32130 ) ( 44390 * ) + NEW met2 ( 44390 32130 ) ( * 33490 ) + NEW li1 ( 37490 32130 ) L1M1_PR + NEW met1 ( 44390 32130 ) M1M2_PR + NEW li1 ( 44390 33490 ) L1M1_PR + NEW met1 ( 44390 33490 ) M1M2_PR + NEW met1 ( 44390 33490 ) RECT ( -355 -70 0 70 ) ; + - _118_ ( _492_ D ) ( _219_ X ) + USE SIGNAL + + ROUTED met1 ( 74290 25670 ) ( 75210 * ) + NEW met2 ( 75210 25670 ) ( * 27710 ) + NEW li1 ( 74290 25670 ) L1M1_PR + NEW met1 ( 75210 25670 ) M1M2_PR + NEW li1 ( 75210 27710 ) L1M1_PR + NEW met1 ( 75210 27710 ) M1M2_PR + NEW met1 ( 75210 27710 ) RECT ( 0 -70 355 70 ) ; + - _119_ ( _493_ D ) ( _217_ X ) + USE SIGNAL + + ROUTED met1 ( 57270 28050 ) ( 58650 * ) + NEW met2 ( 58650 28050 ) ( * 30430 ) + NEW li1 ( 57270 28050 ) L1M1_PR + NEW met1 ( 58650 28050 ) M1M2_PR + NEW li1 ( 58650 30430 ) L1M1_PR + NEW met1 ( 58650 30430 ) M1M2_PR + NEW met1 ( 58650 30430 ) RECT ( -355 -70 0 70 ) ; + - _120_ ( _494_ D ) ( _395_ X ) + USE SIGNAL + + ROUTED met1 ( 83030 25330 ) ( 84410 * ) + NEW met2 ( 83030 25330 ) ( * 31110 ) + NEW met1 ( 76130 31110 ) ( 83030 * ) + NEW li1 ( 84410 25330 ) L1M1_PR + NEW met1 ( 83030 25330 ) M1M2_PR + NEW met1 ( 83030 31110 ) M1M2_PR + NEW li1 ( 76130 31110 ) L1M1_PR ; + - _121_ ( _217_ A ) ( _216_ X ) + USE SIGNAL + + ROUTED met1 ( 59570 31450 ) ( 61870 * ) + NEW met1 ( 61870 30770 ) ( * 31450 ) + NEW li1 ( 59570 31450 ) L1M1_PR + NEW li1 ( 61870 30770 ) L1M1_PR ; + - _122_ ( _219_ A ) ( _218_ X ) + USE SIGNAL + + ROUTED met1 ( 74290 28390 ) ( 76130 * ) + NEW met1 ( 74290 27710 ) ( * 28390 ) + NEW met1 ( 74290 27710 ) ( 74750 * ) + NEW li1 ( 76130 28390 ) L1M1_PR + NEW li1 ( 74750 27710 ) L1M1_PR ; + - _123_ ( _222_ A ) ( _220_ X ) + USE SIGNAL + + ROUTED met1 ( 45310 26010 ) ( 45770 * ) + NEW met2 ( 45310 26010 ) ( * 30430 ) + NEW li1 ( 45770 26010 ) L1M1_PR + NEW met1 ( 45310 26010 ) M1M2_PR + NEW li1 ( 45310 30430 ) L1M1_PR + NEW met1 ( 45310 30430 ) M1M2_PR + NEW met1 ( 45310 30430 ) RECT ( -355 -70 0 70 ) ; + - _124_ ( _222_ B ) ( _221_ X ) + USE SIGNAL + + ROUTED met1 ( 46230 25670 ) ( * 26010 ) + NEW met1 ( 43010 25670 ) ( 46230 * ) + NEW met2 ( 43010 25670 ) ( * 30430 ) + NEW met1 ( 42550 30430 ) ( 43010 * ) + NEW li1 ( 46230 26010 ) L1M1_PR + NEW met1 ( 43010 25670 ) M1M2_PR + NEW met1 ( 43010 30430 ) M1M2_PR + NEW li1 ( 42550 30430 ) L1M1_PR ; + - _125_ ( _230_ A1 ) ( _222_ Y ) + USE SIGNAL + + ROUTED met1 ( 39790 31110 ) ( 42090 * ) + NEW met2 ( 42090 24990 ) ( * 31110 ) + NEW met1 ( 42090 24990 ) ( 45770 * ) + NEW li1 ( 39790 31110 ) L1M1_PR + NEW met1 ( 42090 31110 ) M1M2_PR + NEW met1 ( 42090 24990 ) M1M2_PR + NEW li1 ( 45770 24990 ) L1M1_PR ; + - _126_ ( _226_ A1 ) ( _223_ Y ) + USE SIGNAL + + ROUTED met1 ( 61870 36890 ) ( * 37230 ) + NEW met1 ( 61870 37230 ) ( 62790 * ) + NEW li1 ( 62790 37230 ) L1M1_PR + NEW li1 ( 61870 36890 ) L1M1_PR ; + - _127_ ( _226_ A2 ) ( _224_ X ) + USE SIGNAL + + ROUTED met1 ( 61410 34850 ) ( 61870 * ) + NEW met2 ( 61410 34850 ) ( * 35870 ) + NEW li1 ( 61870 34850 ) L1M1_PR + NEW met1 ( 61410 34850 ) M1M2_PR + NEW li1 ( 61410 35870 ) L1M1_PR + NEW met1 ( 61410 35870 ) M1M2_PR + NEW met1 ( 61410 35870 ) RECT ( 0 -70 355 70 ) ; + - _128_ ( _234_ C ) ( _226_ B1 ) ( _225_ X ) + USE SIGNAL + + ROUTED met1 ( 61410 38590 ) ( 62790 * ) + NEW met2 ( 61410 36550 ) ( * 38590 ) + NEW met1 ( 60490 36550 ) ( 61410 * ) + NEW met1 ( 62790 42330 ) ( * 42670 ) + NEW met1 ( 61870 42670 ) ( 62790 * ) + NEW met2 ( 61410 42670 ) ( 61870 * ) + NEW met2 ( 61410 38590 ) ( * 42670 ) + NEW li1 ( 62790 38590 ) L1M1_PR + NEW met1 ( 61410 38590 ) M1M2_PR + NEW met1 ( 61410 36550 ) M1M2_PR + NEW li1 ( 60490 36550 ) L1M1_PR + NEW li1 ( 62790 42330 ) L1M1_PR + NEW met1 ( 61870 42670 ) M1M2_PR ; + - _129_ ( _230_ A2 ) ( _226_ Y ) + USE SIGNAL + + ROUTED met1 ( 39330 31450 ) ( * 31790 ) + NEW met1 ( 39330 31790 ) ( 60950 * ) + NEW met2 ( 60950 31790 ) ( * 35870 ) + NEW li1 ( 39330 31450 ) L1M1_PR + NEW met1 ( 60950 31790 ) M1M2_PR + NEW li1 ( 60950 35870 ) L1M1_PR + NEW met1 ( 60950 35870 ) M1M2_PR + NEW met1 ( 60950 35870 ) RECT ( -355 -70 0 70 ) ; + - _130_ ( _236_ A ) ( _229_ A ) ( _227_ Y ) + USE SIGNAL + + ROUTED met2 ( 29670 36890 ) ( * 41310 ) + NEW met1 ( 29670 37230 ) ( 37030 * ) + NEW met1 ( 29670 36890 ) ( * 37230 ) + NEW li1 ( 29670 36890 ) L1M1_PR + NEW met1 ( 29670 36890 ) M1M2_PR + NEW li1 ( 29670 41310 ) L1M1_PR + NEW met1 ( 29670 41310 ) M1M2_PR + NEW li1 ( 37030 37230 ) L1M1_PR + NEW met1 ( 29670 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 29670 41310 ) RECT ( 0 -70 355 70 ) ; + - _131_ ( _263_ B ) ( _243_ B ) ( _241_ B ) ( _235_ A ) ( _229_ B ) ( _228_ Y ) + USE SIGNAL + + ROUTED met1 ( 31510 42670 ) ( 32890 * ) + NEW met2 ( 32890 42670 ) ( * 44370 ) + NEW met1 ( 32890 44370 ) ( 34730 * ) + NEW met1 ( 26910 42330 ) ( * 42670 ) + NEW met1 ( 26910 42670 ) ( 31510 * ) + NEW met1 ( 27830 39950 ) ( 28290 * ) + NEW met2 ( 27830 39950 ) ( * 42670 ) + NEW met1 ( 30590 36550 ) ( * 36890 ) + NEW met1 ( 27830 36550 ) ( 30590 * ) + NEW met2 ( 27830 36550 ) ( * 39950 ) + NEW met1 ( 30130 33830 ) ( 31510 * ) + NEW met2 ( 30130 33830 ) ( * 36550 ) + NEW li1 ( 31510 42670 ) L1M1_PR + NEW met1 ( 32890 42670 ) M1M2_PR + NEW met1 ( 32890 44370 ) M1M2_PR + NEW li1 ( 34730 44370 ) L1M1_PR + NEW li1 ( 26910 42330 ) L1M1_PR + NEW li1 ( 28290 39950 ) L1M1_PR + NEW met1 ( 27830 39950 ) M1M2_PR + NEW met1 ( 27830 42670 ) M1M2_PR + NEW li1 ( 30590 36890 ) L1M1_PR + NEW met1 ( 27830 36550 ) M1M2_PR + NEW li1 ( 31510 33830 ) L1M1_PR + NEW met1 ( 30130 33830 ) M1M2_PR + NEW met1 ( 30130 36550 ) M1M2_PR + NEW met1 ( 27830 42670 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 30130 36550 ) RECT ( -595 -70 0 70 ) ; + - _132_ ( _232_ A ) ( _231_ Y ) + USE SIGNAL + + ROUTED met2 ( 59570 39270 ) ( * 41310 ) + NEW met1 ( 59570 41310 ) ( 60490 * ) + NEW li1 ( 59570 39270 ) L1M1_PR + NEW met1 ( 59570 39270 ) M1M2_PR + NEW met1 ( 59570 41310 ) M1M2_PR + NEW li1 ( 60490 41310 ) L1M1_PR + NEW met1 ( 59570 39270 ) RECT ( -355 -70 0 70 ) ; + - _133_ ( _353_ A ) ( _237_ A2 ) ( _234_ A_N ) ( _232_ Y ) + USE SIGNAL + + ROUTED met1 ( 62330 41990 ) ( * 42330 ) + NEW met1 ( 62330 41990 ) ( 65550 * ) + NEW met1 ( 65550 41990 ) ( * 42330 ) + NEW met1 ( 58650 42330 ) ( 59110 * ) + NEW met1 ( 59110 42330 ) ( * 42350 ) + NEW met1 ( 59110 42350 ) ( 59570 * ) + NEW met1 ( 59570 41990 ) ( * 42350 ) + NEW met1 ( 59570 41990 ) ( 62330 * ) + NEW met2 ( 59110 40290 ) ( * 42330 ) + NEW li1 ( 62330 42330 ) L1M1_PR + NEW li1 ( 65550 42330 ) L1M1_PR + NEW li1 ( 58650 42330 ) L1M1_PR + NEW li1 ( 59110 40290 ) L1M1_PR + NEW met1 ( 59110 40290 ) M1M2_PR + NEW met1 ( 59110 42330 ) M1M2_PR + NEW met1 ( 59110 40290 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 59110 42330 ) RECT ( 0 -70 595 70 ) ; + - _134_ ( _237_ B1_N ) ( _234_ B ) ( _233_ Y ) + USE SIGNAL + + ROUTED met1 ( 66930 42330 ) ( * 42670 ) + NEW met1 ( 66930 42670 ) ( 72910 * ) + NEW met1 ( 63250 42320 ) ( * 42330 ) + NEW met1 ( 63250 42320 ) ( 63710 * ) + NEW met1 ( 63710 42320 ) ( * 42330 ) + NEW met1 ( 63710 42330 ) ( 64170 * ) + NEW met1 ( 64170 42330 ) ( * 42670 ) + NEW met1 ( 64170 42670 ) ( 66930 * ) + NEW li1 ( 66930 42330 ) L1M1_PR + NEW li1 ( 72910 42670 ) L1M1_PR + NEW li1 ( 63250 42330 ) L1M1_PR ; + - _135_ ( _238_ A ) ( _234_ Y ) + USE SIGNAL + + ROUTED met1 ( 63710 43010 ) ( 64170 * ) + NEW met2 ( 63710 43010 ) ( * 44710 ) + NEW li1 ( 64170 43010 ) L1M1_PR + NEW met1 ( 63710 43010 ) M1M2_PR + NEW li1 ( 63710 44710 ) L1M1_PR + NEW met1 ( 63710 44710 ) M1M2_PR + NEW met1 ( 63710 44710 ) RECT ( -355 -70 0 70 ) ; + - _136_ ( _269_ B ) ( _265_ B ) ( _262_ C ) ( _239_ B ) ( _238_ B ) ( _235_ X ) + USE SIGNAL + + ROUTED met2 ( 13110 47770 ) ( * 49470 ) + NEW met1 ( 7130 49470 ) ( 13110 * ) + NEW met1 ( 7130 49470 ) ( * 49810 ) + NEW met2 ( 13110 45390 ) ( * 47770 ) + NEW met1 ( 46690 44030 ) ( * 44370 ) + NEW met1 ( 46690 44030 ) ( 49910 * ) + NEW met2 ( 49910 44030 ) ( * 46750 ) + NEW met1 ( 49910 46750 ) ( 56810 * ) + NEW met2 ( 56810 44710 ) ( * 46750 ) + NEW met1 ( 56810 44710 ) ( 60950 * ) + NEW met1 ( 60950 44370 ) ( * 44710 ) + NEW met1 ( 32890 48110 ) ( 41170 * ) + NEW met1 ( 41170 48110 ) ( * 48450 ) + NEW met1 ( 41170 48450 ) ( 45770 * ) + NEW met1 ( 45770 48110 ) ( * 48450 ) + NEW met1 ( 45770 48110 ) ( 49450 * ) + NEW met2 ( 49450 48110 ) ( 49910 * ) + NEW met2 ( 49910 46750 ) ( * 48110 ) + NEW met1 ( 31050 43010 ) ( 33350 * ) + NEW met2 ( 33350 43010 ) ( * 48110 ) + NEW met1 ( 13110 45390 ) ( 33350 * ) + NEW met1 ( 60950 44370 ) ( 64170 * ) + NEW li1 ( 13110 47770 ) L1M1_PR + NEW met1 ( 13110 47770 ) M1M2_PR + NEW met1 ( 13110 49470 ) M1M2_PR + NEW li1 ( 7130 49810 ) L1M1_PR + NEW met1 ( 13110 45390 ) M1M2_PR + NEW li1 ( 64170 44370 ) L1M1_PR + NEW li1 ( 46690 44370 ) L1M1_PR + NEW met1 ( 49910 44030 ) M1M2_PR + NEW met1 ( 49910 46750 ) M1M2_PR + NEW met1 ( 56810 46750 ) M1M2_PR + NEW met1 ( 56810 44710 ) M1M2_PR + NEW li1 ( 32890 48110 ) L1M1_PR + NEW met1 ( 49450 48110 ) M1M2_PR + NEW li1 ( 31050 43010 ) L1M1_PR + NEW met1 ( 33350 43010 ) M1M2_PR + NEW met1 ( 33350 48110 ) M1M2_PR + NEW met1 ( 33350 45390 ) M1M2_PR + NEW met1 ( 13110 47770 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 33350 48110 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 33350 45390 ) RECT ( -70 -485 70 0 ) ; + - _137_ ( _357_ B1 ) ( _243_ A ) ( _241_ A ) ( _239_ A ) ( _237_ A1 ) ( _236_ X ) + USE SIGNAL + + ROUTED met2 ( 64630 41650 ) ( * 42330 ) + NEW met1 ( 64630 41650 ) ( 70150 * ) + NEW met2 ( 70150 39270 ) ( * 41650 ) + NEW met1 ( 70150 39270 ) ( 72450 * ) + NEW met2 ( 65090 44710 ) ( * 46750 ) + NEW met2 ( 64630 44710 ) ( 65090 * ) + NEW met2 ( 64630 42330 ) ( * 44710 ) + NEW met1 ( 62100 46750 ) ( 65090 * ) + NEW met1 ( 46230 44370 ) ( * 44710 ) + NEW met1 ( 45310 44370 ) ( 46230 * ) + NEW met2 ( 45310 44370 ) ( * 47430 ) + NEW met1 ( 45310 47430 ) ( 49910 * ) + NEW met1 ( 49910 47090 ) ( * 47430 ) + NEW met1 ( 49910 47090 ) ( 62100 * ) + NEW met1 ( 62100 46750 ) ( * 47090 ) + NEW met1 ( 34270 44710 ) ( 37490 * ) + NEW met2 ( 37490 44710 ) ( * 46750 ) + NEW met1 ( 37490 46750 ) ( 45310 * ) + NEW met1 ( 36570 37570 ) ( 37490 * ) + NEW met2 ( 36570 37570 ) ( * 44710 ) + NEW met1 ( 31970 33830 ) ( * 34170 ) + NEW met1 ( 31970 34170 ) ( 36570 * ) + NEW met2 ( 36570 34170 ) ( * 37570 ) + NEW li1 ( 64630 42330 ) L1M1_PR + NEW met1 ( 64630 42330 ) M1M2_PR + NEW met1 ( 64630 41650 ) M1M2_PR + NEW met1 ( 70150 41650 ) M1M2_PR + NEW met1 ( 70150 39270 ) M1M2_PR + NEW li1 ( 72450 39270 ) L1M1_PR + NEW met1 ( 65090 46750 ) M1M2_PR + NEW li1 ( 46230 44710 ) L1M1_PR + NEW met1 ( 45310 44370 ) M1M2_PR + NEW met1 ( 45310 47430 ) M1M2_PR + NEW li1 ( 34270 44710 ) L1M1_PR + NEW met1 ( 37490 44710 ) M1M2_PR + NEW met1 ( 37490 46750 ) M1M2_PR + NEW met1 ( 45310 46750 ) M1M2_PR + NEW li1 ( 37490 37570 ) L1M1_PR + NEW met1 ( 36570 37570 ) M1M2_PR + NEW met1 ( 36570 44710 ) M1M2_PR + NEW li1 ( 31970 33830 ) L1M1_PR + NEW met1 ( 36570 34170 ) M1M2_PR + NEW met1 ( 64630 42330 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 45310 46750 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 36570 44710 ) RECT ( -595 -70 0 70 ) ; + - _138_ ( _238_ C ) ( _237_ Y ) + USE SIGNAL + + ROUTED met2 ( 66010 43010 ) ( * 44370 ) + NEW met1 ( 65090 44370 ) ( 66010 * ) + NEW li1 ( 66010 43010 ) L1M1_PR + NEW met1 ( 66010 43010 ) M1M2_PR + NEW met1 ( 66010 44370 ) M1M2_PR + NEW li1 ( 65090 44370 ) L1M1_PR + NEW met1 ( 66010 43010 ) RECT ( -355 -70 0 70 ) ; + - _139_ ( _240_ B1_N ) ( _239_ Y ) + USE SIGNAL + + ROUTED met1 ( 45770 44030 ) ( 46230 * ) + NEW li1 ( 45770 44030 ) L1M1_PR + NEW li1 ( 46230 44030 ) L1M1_PR ; + - _140_ ( _242_ B1_N ) ( _241_ Y ) + USE SIGNAL + + ROUTED met1 ( 35190 44030 ) ( 37030 * ) + NEW li1 ( 37030 44030 ) L1M1_PR + NEW li1 ( 35190 44030 ) L1M1_PR ; + - _141_ ( _244_ B1_N ) ( _243_ Y ) + USE SIGNAL + + ROUTED met1 ( 31970 34850 ) ( 32890 * ) + NEW met2 ( 32890 34850 ) ( * 36550 ) + NEW met1 ( 32430 36550 ) ( 32890 * ) + NEW li1 ( 31970 34850 ) L1M1_PR + NEW met1 ( 32890 34850 ) M1M2_PR + NEW met1 ( 32890 36550 ) M1M2_PR + NEW li1 ( 32430 36550 ) L1M1_PR ; + - _142_ ( _246_ A ) ( _245_ X ) + USE SIGNAL + + ROUTED met1 ( 4830 33150 ) ( 8050 * ) + NEW met1 ( 4830 33150 ) ( * 33830 ) + NEW li1 ( 8050 33150 ) L1M1_PR + NEW li1 ( 4830 33830 ) L1M1_PR ; + - _143_ ( _249_ A ) ( _248_ X ) + USE SIGNAL + + ROUTED met1 ( 5290 25330 ) ( * 26010 ) + NEW met1 ( 5290 25330 ) ( 12650 * ) + NEW li1 ( 5290 26010 ) L1M1_PR + NEW li1 ( 12650 25330 ) L1M1_PR ; + - _144_ ( _251_ A ) ( _250_ X ) + USE SIGNAL + + ROUTED met1 ( 23690 26010 ) ( 24610 * ) + NEW met1 ( 24610 26010 ) ( * 26690 ) + NEW li1 ( 23690 26010 ) L1M1_PR + NEW li1 ( 24610 26690 ) L1M1_PR ; + - _145_ ( _260_ C1 ) ( _254_ C1 ) ( _253_ Y ) + USE SIGNAL + + ROUTED met1 ( 15410 33830 ) ( 20930 * ) + NEW met1 ( 15410 33490 ) ( * 33830 ) + NEW met2 ( 24610 34170 ) ( * 36890 ) + NEW met1 ( 20930 34170 ) ( 24610 * ) + NEW met1 ( 20930 33830 ) ( * 34170 ) + NEW met1 ( 12650 33490 ) ( 15410 * ) + NEW li1 ( 12650 33490 ) L1M1_PR + NEW li1 ( 20930 33830 ) L1M1_PR + NEW li1 ( 24610 36890 ) L1M1_PR + NEW met1 ( 24610 36890 ) M1M2_PR + NEW met1 ( 24610 34170 ) M1M2_PR + NEW met1 ( 24610 36890 ) RECT ( -355 -70 0 70 ) ; + - _146_ ( _261_ B1_N ) ( _257_ B ) ( _255_ Y ) + USE SIGNAL + + ROUTED met1 ( 31050 46750 ) ( 33810 * ) + NEW met2 ( 27830 44710 ) ( * 46750 ) + NEW met1 ( 27830 46750 ) ( 31050 * ) + NEW li1 ( 31050 46750 ) L1M1_PR + NEW li1 ( 33810 46750 ) L1M1_PR + NEW li1 ( 27830 44710 ) L1M1_PR + NEW met1 ( 27830 44710 ) M1M2_PR + NEW met1 ( 27830 46750 ) M1M2_PR + NEW met1 ( 27830 44710 ) RECT ( -355 -70 0 70 ) ; + - _147_ ( _262_ A ) ( _257_ Y ) + USE SIGNAL + + ROUTED met1 ( 28290 45730 ) ( 30130 * ) + NEW met2 ( 30130 45730 ) ( * 47770 ) + NEW met1 ( 30130 47770 ) ( 31510 * ) + NEW li1 ( 28290 45730 ) L1M1_PR + NEW met1 ( 30130 45730 ) M1M2_PR + NEW met1 ( 30130 47770 ) M1M2_PR + NEW li1 ( 31510 47770 ) L1M1_PR ; + - _148_ ( _259_ A ) ( _258_ Y ) + USE SIGNAL + + ROUTED met1 ( 21390 47770 ) ( * 48110 ) + NEW met1 ( 19550 48110 ) ( 21390 * ) + NEW li1 ( 21390 47770 ) L1M1_PR + NEW li1 ( 19550 48110 ) L1M1_PR ; + - _149_ ( _261_ A1 ) ( _259_ Y ) + USE SIGNAL + + ROUTED met1 ( 25070 47770 ) ( 28750 * ) + NEW met1 ( 25070 47430 ) ( * 47770 ) + NEW met1 ( 20930 47430 ) ( 25070 * ) + NEW li1 ( 28750 47770 ) L1M1_PR + NEW li1 ( 20930 47430 ) L1M1_PR ; + - _150_ ( _269_ A ) ( _265_ A ) ( _263_ A ) ( _261_ A2 ) ( _260_ Y ) + USE SIGNAL + + ROUTED met1 ( 12650 47430 ) ( * 47770 ) + NEW met1 ( 6210 47430 ) ( 12650 * ) + NEW met2 ( 6210 47430 ) ( * 50150 ) + NEW met1 ( 6210 50150 ) ( 6670 * ) + NEW met1 ( 12650 47090 ) ( * 47430 ) + NEW met1 ( 12650 47090 ) ( 13800 * ) + NEW met1 ( 29670 47090 ) ( * 47770 ) + NEW met1 ( 23690 47090 ) ( 29670 * ) + NEW met1 ( 23690 46750 ) ( * 47090 ) + NEW met1 ( 13800 46750 ) ( 23690 * ) + NEW met1 ( 13800 46750 ) ( * 47090 ) + NEW met2 ( 25990 42330 ) ( * 47090 ) + NEW met1 ( 19550 34170 ) ( 20010 * ) + NEW met2 ( 20010 34170 ) ( * 46750 ) NEW li1 ( 12650 47770 ) L1M1_PR - NEW met1 ( 12650 47770 ) M1M2_PR - NEW met2 ( 12650 47940 ) M2M3_PR_M - NEW met2 ( 27830 47940 ) M2M3_PR_M - NEW li1 ( 27830 50150 ) L1M1_PR - NEW met1 ( 27830 50150 ) M1M2_PR - NEW li1 ( 14490 47770 ) L1M1_PR - NEW met1 ( 11730 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 12650 47770 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 27830 50150 ) RECT ( -355 -70 0 70 ) ; - - _123_ ( _253_ B ) ( _252_ Y ) + USE SIGNAL - + ROUTED met2 ( 28750 48110 ) ( * 49470 ) - NEW met1 ( 28290 49470 ) ( 28750 * ) - NEW li1 ( 28750 48110 ) L1M1_PR - NEW met1 ( 28750 48110 ) M1M2_PR - NEW met1 ( 28750 49470 ) M1M2_PR - NEW li1 ( 28290 49470 ) L1M1_PR - NEW met1 ( 28750 48110 ) RECT ( -355 -70 0 70 ) ; - - _124_ ( _264_ A2 ) ( _263_ A ) ( _259_ A ) ( _255_ A ) ( _254_ Y ) + USE SIGNAL - + ROUTED met2 ( 13570 42330 ) ( * 44710 ) - NEW met1 ( 11270 40290 ) ( 13570 * ) - NEW met2 ( 13570 40290 ) ( * 42330 ) + NEW met1 ( 6210 47430 ) M1M2_PR + NEW met1 ( 6210 50150 ) M1M2_PR + NEW li1 ( 6670 50150 ) L1M1_PR + NEW li1 ( 29670 47770 ) L1M1_PR + NEW li1 ( 25990 42330 ) L1M1_PR + NEW met1 ( 25990 42330 ) M1M2_PR + NEW met1 ( 25990 47090 ) M1M2_PR + NEW li1 ( 19550 34170 ) L1M1_PR + NEW met1 ( 20010 34170 ) M1M2_PR + NEW met1 ( 20010 46750 ) M1M2_PR + NEW met1 ( 25990 42330 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 25990 47090 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 20010 46750 ) RECT ( -595 -70 0 70 ) ; + - _151_ ( _262_ B ) ( _261_ Y ) + USE SIGNAL + + ROUTED met1 ( 30130 48110 ) ( * 48450 ) + NEW met1 ( 30130 48110 ) ( 31970 * ) + NEW li1 ( 30130 48450 ) L1M1_PR + NEW li1 ( 31970 48110 ) L1M1_PR ; + - _152_ ( _273_ A2 ) ( _272_ A ) ( _268_ A ) ( _264_ A ) ( _263_ Y ) + USE SIGNAL + + ROUTED met2 ( 10810 42330 ) ( * 44030 ) + NEW met1 ( 10810 44030 ) ( 12650 * ) + NEW met1 ( 12650 44030 ) ( * 44370 ) + NEW met1 ( 7590 40290 ) ( 10810 * ) NEW met2 ( 10810 40290 ) ( * 42330 ) - NEW met1 ( 10810 40290 ) ( 11270 * ) - NEW met1 ( 10350 36890 ) ( 10810 * ) - NEW met2 ( 10350 36890 ) ( * 39780 ) - NEW met2 ( 10350 39780 ) ( 10810 * ) - NEW met2 ( 10810 39780 ) ( * 40290 ) - NEW met1 ( 13570 44710 ) ( 14950 * ) - NEW li1 ( 13570 42330 ) L1M1_PR - NEW met1 ( 13570 42330 ) M1M2_PR - NEW met1 ( 13570 44710 ) M1M2_PR - NEW li1 ( 11270 40290 ) L1M1_PR - NEW met1 ( 13570 40290 ) M1M2_PR + NEW met1 ( 5750 44710 ) ( * 45050 ) + NEW met1 ( 5750 45050 ) ( 10810 * ) + NEW met2 ( 10810 44030 ) ( * 45050 ) + NEW met2 ( 10810 36890 ) ( * 40290 ) + NEW met1 ( 14030 42670 ) ( 26450 * ) + NEW met2 ( 14030 42670 ) ( * 44370 ) + NEW met1 ( 12650 44370 ) ( 14030 * ) NEW li1 ( 10810 42330 ) L1M1_PR NEW met1 ( 10810 42330 ) M1M2_PR + NEW met1 ( 10810 44030 ) M1M2_PR + NEW li1 ( 7590 40290 ) L1M1_PR NEW met1 ( 10810 40290 ) M1M2_PR + NEW li1 ( 5750 44710 ) L1M1_PR + NEW met1 ( 10810 45050 ) M1M2_PR NEW li1 ( 10810 36890 ) L1M1_PR - NEW met1 ( 10350 36890 ) M1M2_PR - NEW li1 ( 14950 44710 ) L1M1_PR - NEW met1 ( 13570 42330 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 10810 42330 ) RECT ( -355 -70 0 70 ) ; - - _125_ ( _257_ A ) ( _255_ Y ) + USE SIGNAL - + ROUTED met2 ( 10810 43010 ) ( * 47770 ) + NEW met1 ( 10810 36890 ) M1M2_PR + NEW li1 ( 26450 42670 ) L1M1_PR + NEW met1 ( 14030 42670 ) M1M2_PR + NEW met1 ( 14030 44370 ) M1M2_PR + NEW met1 ( 10810 42330 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 10810 36890 ) RECT ( 0 -70 355 70 ) ; + - _153_ ( _266_ A ) ( _264_ Y ) + USE SIGNAL + + ROUTED met1 ( 10350 43010 ) ( 10810 * ) + NEW met2 ( 10350 43010 ) ( * 52870 ) + NEW met1 ( 9890 52870 ) ( 10350 * ) + NEW met1 ( 9890 52870 ) ( * 53210 ) NEW li1 ( 10810 43010 ) L1M1_PR - NEW met1 ( 10810 43010 ) M1M2_PR - NEW li1 ( 10810 47770 ) L1M1_PR - NEW met1 ( 10810 47770 ) M1M2_PR - NEW met1 ( 10810 43010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 10810 47770 ) RECT ( -355 -70 0 70 ) ; - - _126_ ( _257_ B ) ( _256_ Y ) + USE SIGNAL - + ROUTED met1 ( 11730 47430 ) ( * 47770 ) - NEW met1 ( 11730 47430 ) ( 12650 * ) - NEW met1 ( 12650 47090 ) ( * 47430 ) - NEW li1 ( 11730 47770 ) L1M1_PR - NEW li1 ( 12650 47090 ) L1M1_PR ; - - _127_ ( _261_ A ) ( _259_ Y ) + USE SIGNAL - + ROUTED met1 ( 16330 47430 ) ( * 47770 ) - NEW met1 ( 15410 47430 ) ( 16330 * ) - NEW met2 ( 14950 47430 ) ( 15410 * ) - NEW met2 ( 14950 45730 ) ( * 47430 ) - NEW met1 ( 14950 45730 ) ( 15410 * ) - NEW li1 ( 16330 47770 ) L1M1_PR - NEW met1 ( 15410 47430 ) M1M2_PR - NEW met1 ( 14950 45730 ) M1M2_PR - NEW li1 ( 15410 45730 ) L1M1_PR ; - - _128_ ( _261_ B ) ( _260_ Y ) + USE SIGNAL - + ROUTED met1 ( 17250 46750 ) ( * 47770 ) - NEW met1 ( 15410 46750 ) ( 17250 * ) - NEW li1 ( 17250 47770 ) L1M1_PR - NEW li1 ( 15410 46750 ) L1M1_PR ; - - _129_ ( _264_ B1 ) ( _263_ Y ) + USE SIGNAL - + ROUTED met1 ( 11270 37570 ) ( 12190 * ) - NEW met2 ( 12190 37570 ) ( * 41990 ) - NEW met1 ( 12190 41990 ) ( 12650 * ) - NEW li1 ( 11270 37570 ) L1M1_PR - NEW met1 ( 12190 37570 ) M1M2_PR - NEW met1 ( 12190 41990 ) M1M2_PR - NEW li1 ( 12650 41990 ) L1M1_PR ; - - _130_ ( _346_ A ) ( _269_ A ) ( _268_ A1 ) ( _265_ Y ) + USE SIGNAL - + ROUTED met2 ( 71990 32130 ) ( * 33830 ) - NEW met1 ( 71990 32130 ) ( 72910 * ) - NEW met1 ( 68760 37000 ) ( 68770 * ) - NEW met1 ( 68770 37000 ) ( * 37060 ) - NEW met2 ( 68770 35870 ) ( * 37060 ) - NEW met1 ( 68770 35870 ) ( 71990 * ) - NEW met2 ( 71990 33830 ) ( * 35870 ) - NEW met1 ( 69690 37230 ) ( * 37570 ) - NEW met1 ( 69690 37230 ) ( 70150 * ) - NEW met2 ( 70150 35870 ) ( * 37230 ) - NEW li1 ( 71990 33830 ) L1M1_PR - NEW met1 ( 71990 33830 ) M1M2_PR - NEW met1 ( 71990 32130 ) M1M2_PR - NEW li1 ( 72910 32130 ) L1M1_PR - NEW li1 ( 68760 37000 ) L1M1_PR - NEW met1 ( 68770 37060 ) M1M2_PR - NEW met1 ( 68770 35870 ) M1M2_PR - NEW met1 ( 71990 35870 ) M1M2_PR - NEW li1 ( 69690 37570 ) L1M1_PR - NEW met1 ( 70150 37230 ) M1M2_PR - NEW met1 ( 70150 35870 ) M1M2_PR - NEW met1 ( 71990 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 70150 35870 ) RECT ( -595 -70 0 70 ) ; - - _131_ ( _354_ A1_N ) ( _269_ B ) ( _268_ A2 ) ( _266_ Y ) + USE SIGNAL - + ROUTED met2 ( 68310 36890 ) ( * 38930 ) - NEW met1 ( 65550 38930 ) ( 68310 * ) - NEW met1 ( 69230 36880 ) ( * 36890 ) - NEW met1 ( 69230 36880 ) ( 69690 * ) - NEW met1 ( 69690 36880 ) ( * 36890 ) - NEW met2 ( 69690 36890 ) ( * 37060 ) - NEW met3 ( 68310 37060 ) ( 69690 * ) - NEW met2 ( 66470 38930 ) ( * 41990 ) - NEW li1 ( 66470 41990 ) L1M1_PR - NEW met1 ( 66470 41990 ) M1M2_PR - NEW li1 ( 68310 36890 ) L1M1_PR - NEW met1 ( 68310 36890 ) M1M2_PR - NEW met1 ( 68310 38930 ) M1M2_PR - NEW li1 ( 65550 38930 ) L1M1_PR - NEW li1 ( 69230 36890 ) L1M1_PR - NEW met1 ( 69690 36890 ) M1M2_PR - NEW met2 ( 69690 37060 ) M2M3_PR_M - NEW met2 ( 68310 37060 ) M2M3_PR_M - NEW met1 ( 66470 38930 ) M1M2_PR - NEW met1 ( 66470 41990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 68310 36890 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 68310 37060 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 66470 38930 ) RECT ( -595 -70 0 70 ) ; - - _132_ ( _269_ C ) ( _268_ B1 ) ( _267_ Y ) + USE SIGNAL - + ROUTED met1 ( 70610 36890 ) ( 73370 * ) - NEW met2 ( 73370 36890 ) ( * 38590 ) - NEW met2 ( 67390 36890 ) ( * 38590 ) - NEW met1 ( 67390 38590 ) ( 73370 * ) - NEW li1 ( 70610 36890 ) L1M1_PR - NEW met1 ( 73370 36890 ) M1M2_PR - NEW li1 ( 73370 38590 ) L1M1_PR - NEW met1 ( 73370 38590 ) M1M2_PR - NEW li1 ( 67390 36890 ) L1M1_PR - NEW met1 ( 67390 36890 ) M1M2_PR - NEW met1 ( 67390 38590 ) M1M2_PR - NEW met1 ( 73370 38590 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 67390 36890 ) RECT ( -355 -70 0 70 ) ; - - _133_ ( _270_ A ) ( _268_ X ) + USE SIGNAL - + ROUTED met1 ( 71530 37230 ) ( 71990 * ) - NEW met2 ( 71990 37230 ) ( * 38930 ) - NEW met1 ( 71990 38930 ) ( 75210 * ) - NEW met1 ( 75210 38930 ) ( * 39270 ) - NEW li1 ( 71530 37230 ) L1M1_PR + NEW met1 ( 10350 43010 ) M1M2_PR + NEW met1 ( 10350 52870 ) M1M2_PR + NEW li1 ( 9890 53210 ) L1M1_PR ; + - _154_ ( _266_ B ) ( _265_ Y ) + USE SIGNAL + + ROUTED met2 ( 10810 48450 ) ( * 53210 ) + NEW met1 ( 10810 48450 ) ( 12650 * ) + NEW li1 ( 10810 53210 ) L1M1_PR + NEW met1 ( 10810 53210 ) M1M2_PR + NEW met1 ( 10810 48450 ) M1M2_PR + NEW li1 ( 12650 48450 ) L1M1_PR + NEW met1 ( 10810 53210 ) RECT ( -355 -70 0 70 ) ; + - _155_ ( _270_ A ) ( _268_ Y ) + USE SIGNAL + + ROUTED met2 ( 4830 45730 ) ( * 50150 ) + NEW met1 ( 4830 45730 ) ( 5290 * ) + NEW li1 ( 4830 50150 ) L1M1_PR + NEW met1 ( 4830 50150 ) M1M2_PR + NEW met1 ( 4830 45730 ) M1M2_PR + NEW li1 ( 5290 45730 ) L1M1_PR + NEW met1 ( 4830 50150 ) RECT ( -355 -70 0 70 ) ; + - _156_ ( _270_ B ) ( _269_ Y ) + USE SIGNAL + + ROUTED met1 ( 5750 49810 ) ( * 50150 ) + NEW met1 ( 5750 49810 ) ( 6670 * ) + NEW met1 ( 6670 49470 ) ( * 49810 ) + NEW li1 ( 5750 50150 ) L1M1_PR + NEW li1 ( 6670 49470 ) L1M1_PR ; + - _157_ ( _273_ B1 ) ( _272_ Y ) + USE SIGNAL + + ROUTED met1 ( 6670 37570 ) ( 10810 * ) + NEW met2 ( 6670 37570 ) ( * 39610 ) + NEW li1 ( 10810 37570 ) L1M1_PR + NEW met1 ( 6670 37570 ) M1M2_PR + NEW li1 ( 6670 39610 ) L1M1_PR + NEW met1 ( 6670 39610 ) M1M2_PR + NEW met1 ( 6670 39610 ) RECT ( -355 -70 0 70 ) ; + - _158_ ( _357_ A1_N ) ( _278_ B ) ( _277_ A2 ) ( _275_ Y ) + USE SIGNAL + + ROUTED met1 ( 71990 39610 ) ( 76130 * ) + NEW met1 ( 71530 36890 ) ( * 37230 ) + NEW met1 ( 71530 37230 ) ( 71990 * ) + NEW met2 ( 71990 37230 ) ( * 39610 ) + NEW met1 ( 71070 33830 ) ( 71990 * ) + NEW met1 ( 71990 33830 ) ( * 34170 ) + NEW met2 ( 71990 34170 ) ( * 37230 ) + NEW li1 ( 71990 39610 ) L1M1_PR + NEW li1 ( 76130 39610 ) L1M1_PR + NEW li1 ( 71530 36890 ) L1M1_PR NEW met1 ( 71990 37230 ) M1M2_PR - NEW met1 ( 71990 38930 ) M1M2_PR - NEW li1 ( 75210 39270 ) L1M1_PR ; - - _134_ ( _270_ B ) ( _269_ Y ) + USE SIGNAL - + ROUTED met2 ( 68770 37570 ) ( * 39610 ) - NEW met1 ( 68770 39610 ) ( * 39950 ) - NEW met1 ( 68770 39950 ) ( 74290 * ) - NEW met1 ( 74290 39270 ) ( * 39950 ) - NEW li1 ( 68770 37570 ) L1M1_PR - NEW met1 ( 68770 37570 ) M1M2_PR - NEW met1 ( 68770 39610 ) M1M2_PR - NEW li1 ( 74290 39270 ) L1M1_PR - NEW met1 ( 68770 37570 ) RECT ( -355 -70 0 70 ) ; - - _135_ ( _272_ A ) ( _271_ Y ) + USE SIGNAL - + ROUTED met1 ( 45310 15130 ) ( 47150 * ) - NEW met2 ( 47150 15130 ) ( * 16830 ) - NEW met1 ( 47150 16830 ) ( 48530 * ) - NEW li1 ( 45310 15130 ) L1M1_PR - NEW met1 ( 47150 15130 ) M1M2_PR - NEW met1 ( 47150 16830 ) M1M2_PR - NEW li1 ( 48530 16830 ) L1M1_PR ; - - _136_ ( _345_ A ) ( _280_ A2 ) ( _275_ A_N ) ( _272_ Y ) + USE SIGNAL - + ROUTED met1 ( 44850 14790 ) ( 48300 * ) - NEW met2 ( 54050 12410 ) ( * 15130 ) - NEW met1 ( 54050 12410 ) ( 55430 * ) - NEW met1 ( 55430 12070 ) ( * 12410 ) - NEW met1 ( 50370 15130 ) ( * 15470 ) - NEW met1 ( 50370 15470 ) ( 51750 * ) - NEW met1 ( 51750 14450 ) ( * 15470 ) - NEW met1 ( 51750 14450 ) ( 54050 * ) - NEW met1 ( 48300 14790 ) ( * 15130 ) - NEW met1 ( 48300 15130 ) ( 50370 * ) - NEW li1 ( 44850 14790 ) L1M1_PR - NEW li1 ( 54050 15130 ) L1M1_PR - NEW met1 ( 54050 15130 ) M1M2_PR - NEW met1 ( 54050 12410 ) M1M2_PR - NEW li1 ( 55430 12070 ) L1M1_PR - NEW li1 ( 50370 15130 ) L1M1_PR - NEW met1 ( 54050 14450 ) M1M2_PR - NEW met1 ( 54050 15130 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 54050 14450 ) RECT ( -70 -485 70 0 ) ; - - _137_ ( _280_ B1_N ) ( _275_ B ) ( _273_ Y ) + USE SIGNAL - + ROUTED met1 ( 53130 12750 ) ( 56810 * ) - NEW met2 ( 53130 12750 ) ( * 15130 ) - NEW met1 ( 56810 12750 ) ( 57730 * ) - NEW li1 ( 56810 12750 ) L1M1_PR - NEW met1 ( 53130 12750 ) M1M2_PR - NEW li1 ( 53130 15130 ) L1M1_PR - NEW met1 ( 53130 15130 ) M1M2_PR - NEW li1 ( 57730 12750 ) L1M1_PR - NEW met1 ( 53130 15130 ) RECT ( -355 -70 0 70 ) ; - - _138_ ( _324_ B1 ) ( _275_ C ) ( _274_ X ) + USE SIGNAL - + ROUTED met1 ( 59110 17850 ) ( 61410 * ) - NEW met2 ( 59110 15810 ) ( * 17850 ) - NEW met1 ( 53590 15810 ) ( 59110 * ) - NEW met1 ( 53590 15130 ) ( * 15810 ) - NEW met1 ( 59110 17170 ) ( 66470 * ) - NEW li1 ( 61410 17850 ) L1M1_PR - NEW met1 ( 59110 17850 ) M1M2_PR - NEW met1 ( 59110 15810 ) M1M2_PR - NEW li1 ( 53590 15130 ) L1M1_PR - NEW li1 ( 66470 17170 ) L1M1_PR - NEW met1 ( 59110 17170 ) M1M2_PR - NEW met2 ( 59110 17170 ) RECT ( -70 -485 70 0 ) ; - - _139_ ( _281_ A ) ( _275_ Y ) + USE SIGNAL - + ROUTED met2 ( 52210 15810 ) ( * 17510 ) - NEW met1 ( 51290 17510 ) ( 52210 * ) - NEW li1 ( 52210 15810 ) L1M1_PR - NEW met1 ( 52210 15810 ) M1M2_PR - NEW met1 ( 52210 17510 ) M1M2_PR - NEW li1 ( 51290 17510 ) L1M1_PR - NEW met1 ( 52210 15810 ) RECT ( -355 -70 0 70 ) ; - - _140_ ( _282_ A ) ( _277_ A ) ( _276_ Y ) + USE SIGNAL - + ROUTED met1 ( 46230 9350 ) ( * 9690 ) - NEW met1 ( 43010 9350 ) ( 46230 * ) - NEW met2 ( 43010 9350 ) ( * 11730 ) - NEW met1 ( 46230 9690 ) ( 48300 * ) - NEW met1 ( 48300 9350 ) ( * 9690 ) - NEW met1 ( 48300 9350 ) ( 48530 * ) - NEW met2 ( 48530 9350 ) ( 48990 * ) - NEW met2 ( 48990 9350 ) ( * 10030 ) - NEW li1 ( 46230 9690 ) L1M1_PR - NEW met1 ( 43010 9350 ) M1M2_PR - NEW li1 ( 43010 11730 ) L1M1_PR - NEW met1 ( 43010 11730 ) M1M2_PR - NEW met1 ( 48530 9350 ) M1M2_PR - NEW li1 ( 48990 10030 ) L1M1_PR - NEW met1 ( 48990 10030 ) M1M2_PR - NEW met1 ( 43010 11730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48990 10030 ) RECT ( 0 -70 355 70 ) ; - - _141_ ( _332_ B ) ( _328_ B ) ( _312_ C ) ( _284_ C ) ( _281_ B ) ( _277_ X ) + USE SIGNAL - + ROUTED met1 ( 45310 850 ) ( 47150 * ) - NEW met2 ( 45310 850 ) ( * 6970 ) - NEW met1 ( 43930 6970 ) ( 45310 * ) - NEW met2 ( 43930 6970 ) ( * 12750 ) - NEW met2 ( 58650 1700 ) ( * 4250 ) - NEW met3 ( 45310 1700 ) ( 58650 * ) - NEW met1 ( 58650 850 ) ( 60950 * ) - NEW met2 ( 58650 850 ) ( * 1700 ) - NEW met1 ( 47610 17170 ) ( 49910 * ) - NEW met2 ( 49910 15810 ) ( * 17170 ) - NEW met1 ( 43930 15810 ) ( 49910 * ) - NEW met1 ( 49910 17170 ) ( 51750 * ) - NEW met2 ( 43930 12750 ) ( * 15810 ) - NEW li1 ( 43930 12750 ) L1M1_PR - NEW met1 ( 43930 12750 ) M1M2_PR - NEW li1 ( 47150 850 ) L1M1_PR - NEW met1 ( 45310 850 ) M1M2_PR - NEW met1 ( 45310 6970 ) M1M2_PR - NEW met1 ( 43930 6970 ) M1M2_PR - NEW li1 ( 58650 4250 ) L1M1_PR - NEW met1 ( 58650 4250 ) M1M2_PR - NEW met2 ( 58650 1700 ) M2M3_PR_M - NEW met2 ( 45310 1700 ) M2M3_PR_M - NEW li1 ( 60950 850 ) L1M1_PR - NEW met1 ( 58650 850 ) M1M2_PR - NEW li1 ( 47610 17170 ) L1M1_PR - NEW met1 ( 49910 17170 ) M1M2_PR - NEW met1 ( 49910 15810 ) M1M2_PR - NEW met1 ( 43930 15810 ) M1M2_PR - NEW li1 ( 51750 17170 ) L1M1_PR - NEW met1 ( 43930 12750 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 58650 4250 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 45310 1700 ) RECT ( -70 -485 70 0 ) ; - - _142_ ( _303_ A ) ( _283_ A ) ( _279_ A ) ( _278_ Y ) + USE SIGNAL - + ROUTED met1 ( 36110 7310 ) ( 37490 * ) - NEW met2 ( 37490 4250 ) ( * 7310 ) - NEW met2 ( 37490 7310 ) ( * 9690 ) - NEW met1 ( 36110 11730 ) ( 37490 * ) - NEW met2 ( 37490 9690 ) ( * 11730 ) - NEW li1 ( 36110 7310 ) L1M1_PR - NEW met1 ( 37490 7310 ) M1M2_PR - NEW li1 ( 37490 4250 ) L1M1_PR - NEW met1 ( 37490 4250 ) M1M2_PR - NEW li1 ( 37490 9690 ) L1M1_PR - NEW met1 ( 37490 9690 ) M1M2_PR - NEW li1 ( 36110 11730 ) L1M1_PR - NEW met1 ( 37490 11730 ) M1M2_PR - NEW met1 ( 37490 4250 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 37490 9690 ) RECT ( -355 -70 0 70 ) ; - - _143_ ( _351_ A1 ) ( _288_ A ) ( _286_ A ) ( _284_ A ) ( _280_ A1 ) ( _279_ X ) + USE SIGNAL - + ROUTED met1 ( 35650 13090 ) ( 36110 * ) - NEW met1 ( 27830 15130 ) ( 36110 * ) - NEW met1 ( 36110 15130 ) ( * 15470 ) - NEW met2 ( 29670 15130 ) ( * 17510 ) - NEW met2 ( 36110 13090 ) ( * 15470 ) - NEW met1 ( 45310 17510 ) ( 46230 * ) - NEW met1 ( 45310 17510 ) ( * 17520 ) - NEW met1 ( 44850 17520 ) ( 45310 * ) - NEW met1 ( 44850 17510 ) ( * 17520 ) - NEW met2 ( 44850 15470 ) ( * 17510 ) - NEW met2 ( 55890 15130 ) ( * 15300 ) - NEW met3 ( 44850 15300 ) ( 55890 * ) - NEW met2 ( 44850 15300 ) ( * 15470 ) - NEW met2 ( 54510 12070 ) ( * 15300 ) - NEW met1 ( 36110 15470 ) ( 44850 * ) - NEW met1 ( 36110 13090 ) M1M2_PR - NEW li1 ( 35650 13090 ) L1M1_PR - NEW met1 ( 36110 15470 ) M1M2_PR - NEW li1 ( 27830 15130 ) L1M1_PR - NEW li1 ( 29670 17510 ) L1M1_PR - NEW met1 ( 29670 17510 ) M1M2_PR - NEW met1 ( 29670 15130 ) M1M2_PR - NEW li1 ( 46230 17510 ) L1M1_PR - NEW met1 ( 44850 17510 ) M1M2_PR - NEW met1 ( 44850 15470 ) M1M2_PR - NEW li1 ( 55890 15130 ) L1M1_PR - NEW met1 ( 55890 15130 ) M1M2_PR - NEW met2 ( 55890 15300 ) M2M3_PR_M - NEW met2 ( 44850 15300 ) M2M3_PR_M - NEW li1 ( 54510 12070 ) L1M1_PR - NEW met1 ( 54510 12070 ) M1M2_PR - NEW met2 ( 54510 15300 ) M2M3_PR_M - NEW met1 ( 29670 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29670 15130 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 55890 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 54510 12070 ) RECT ( -355 -70 0 70 ) - NEW met3 ( 54510 15300 ) RECT ( -800 -150 0 150 ) ; - - _144_ ( _281_ C ) ( _280_ Y ) + USE SIGNAL - + ROUTED met1 ( 55430 13090 ) ( 56350 * ) - NEW met1 ( 52670 17510 ) ( 56350 * ) - NEW met2 ( 56350 13090 ) ( * 17510 ) - NEW li1 ( 55430 13090 ) L1M1_PR - NEW met1 ( 56350 13090 ) M1M2_PR - NEW met1 ( 56350 17510 ) M1M2_PR - NEW li1 ( 52670 17510 ) L1M1_PR ; - - _145_ ( _326_ B ) ( _293_ B ) ( _288_ C ) ( _286_ C ) ( _283_ B ) ( _282_ X ) + USE SIGNAL - + ROUTED met2 ( 26910 9690 ) ( 27370 * ) - NEW met1 ( 37950 9690 ) ( * 10030 ) - NEW met1 ( 37490 10030 ) ( 37950 * ) - NEW met1 ( 37490 10030 ) ( * 10370 ) - NEW met1 ( 29670 10370 ) ( 37490 * ) - NEW met1 ( 29670 9690 ) ( * 10370 ) - NEW met1 ( 27370 9690 ) ( 29670 * ) - NEW met1 ( 37490 10370 ) ( 45770 * ) - NEW met2 ( 26910 9690 ) ( * 13800 ) - NEW met2 ( 26450 15470 ) ( * 17170 ) - NEW met1 ( 26450 17170 ) ( 28290 * ) - NEW met2 ( 26450 13800 ) ( 26910 * ) - NEW met2 ( 26450 13800 ) ( * 15470 ) - NEW met2 ( 49910 9690 ) ( * 10370 ) - NEW met1 ( 45770 10370 ) ( 49910 * ) - NEW li1 ( 27370 9690 ) L1M1_PR - NEW met1 ( 27370 9690 ) M1M2_PR + NEW met1 ( 71990 39610 ) M1M2_PR + NEW li1 ( 71070 33830 ) L1M1_PR + NEW met1 ( 71990 34170 ) M1M2_PR + NEW met1 ( 71990 39610 ) RECT ( -595 -70 0 70 ) ; + - _159_ ( _278_ C ) ( _277_ B1 ) ( _276_ Y ) + USE SIGNAL + + ROUTED met1 ( 69690 36890 ) ( 70245 * ) + NEW met1 ( 69690 36890 ) ( * 37570 ) + NEW met1 ( 69690 37570 ) ( 85330 * ) + NEW met1 ( 70150 33490 ) ( 71990 * ) + NEW met2 ( 70150 33490 ) ( * 36890 ) + NEW li1 ( 70245 36890 ) L1M1_PR + NEW li1 ( 85330 37570 ) L1M1_PR + NEW li1 ( 71990 33490 ) L1M1_PR + NEW met1 ( 70150 33490 ) M1M2_PR + NEW met1 ( 70150 36890 ) M1M2_PR + NEW met1 ( 70150 36890 ) RECT ( 0 -70 595 70 ) ; + - _160_ ( _279_ A ) ( _277_ X ) + USE SIGNAL + + ROUTED met1 ( 68770 36890 ) ( 69230 * ) + NEW li1 ( 68770 36890 ) L1M1_PR + NEW li1 ( 69230 36890 ) L1M1_PR ; + - _161_ ( _279_ B ) ( _278_ Y ) + USE SIGNAL + + ROUTED met1 ( 67850 36210 ) ( * 36890 ) + NEW met1 ( 67850 36210 ) ( 70610 * ) + NEW met2 ( 70610 34850 ) ( * 36210 ) + NEW li1 ( 67850 36890 ) L1M1_PR + NEW met1 ( 70610 36210 ) M1M2_PR + NEW li1 ( 70610 34850 ) L1M1_PR + NEW met1 ( 70610 34850 ) M1M2_PR + NEW met1 ( 70610 34850 ) RECT ( -355 -70 0 70 ) ; + - _162_ ( _281_ A ) ( _280_ Y ) + USE SIGNAL + + ROUTED met1 ( 42550 9690 ) ( * 10030 ) + NEW met1 ( 41630 10030 ) ( 42550 * ) + NEW li1 ( 42550 9690 ) L1M1_PR + NEW li1 ( 41630 10030 ) L1M1_PR ; + - _163_ ( _352_ A ) ( _289_ A2 ) ( _284_ A_N ) ( _281_ Y ) + USE SIGNAL + + ROUTED met1 ( 43010 10370 ) ( 43470 * ) + NEW met2 ( 43470 10370 ) ( * 12070 ) + NEW met1 ( 41170 15130 ) ( 43470 * ) + NEW met2 ( 44850 14450 ) ( * 15130 ) + NEW met1 ( 43470 14450 ) ( 44850 * ) + NEW met2 ( 43470 12070 ) ( * 15130 ) + NEW li1 ( 43470 12070 ) L1M1_PR + NEW met1 ( 43470 12070 ) M1M2_PR + NEW li1 ( 43010 10370 ) L1M1_PR + NEW met1 ( 43470 10370 ) M1M2_PR + NEW met1 ( 43470 15130 ) M1M2_PR + NEW li1 ( 41170 15130 ) L1M1_PR + NEW li1 ( 44850 15130 ) L1M1_PR + NEW met1 ( 44850 15130 ) M1M2_PR + NEW met1 ( 44850 14450 ) M1M2_PR + NEW met1 ( 43470 14450 ) M1M2_PR + NEW met1 ( 43470 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 44850 15130 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 43470 14450 ) RECT ( -70 -485 70 0 ) ; + - _164_ ( _289_ B1_N ) ( _284_ B ) ( _282_ Y ) + USE SIGNAL + + ROUTED met1 ( 43930 14790 ) ( * 15130 ) + NEW met1 ( 43930 14790 ) ( 48990 * ) + NEW met1 ( 42550 14790 ) ( 43930 * ) + NEW li1 ( 43930 15130 ) L1M1_PR + NEW li1 ( 48990 14790 ) L1M1_PR + NEW li1 ( 42550 14790 ) L1M1_PR ; + - _165_ ( _327_ B1 ) ( _284_ C ) ( _283_ X ) + USE SIGNAL + + ROUTED met1 ( 71530 14790 ) ( 73830 * ) + NEW met2 ( 73830 14790 ) ( * 16830 ) + NEW met1 ( 73830 16830 ) ( 76130 * ) + NEW met1 ( 71530 14790 ) ( * 15810 ) + NEW met1 ( 44390 15130 ) ( * 15810 ) + NEW met1 ( 44390 15810 ) ( 71530 * ) + NEW li1 ( 71530 14790 ) L1M1_PR + NEW met1 ( 73830 14790 ) M1M2_PR + NEW met1 ( 73830 16830 ) M1M2_PR + NEW li1 ( 76130 16830 ) L1M1_PR + NEW li1 ( 44390 15130 ) L1M1_PR ; + - _166_ ( _290_ A ) ( _284_ Y ) + USE SIGNAL + + ROUTED met1 ( 42090 15810 ) ( 43010 * ) + NEW met2 ( 42090 15810 ) ( * 17510 ) + NEW met1 ( 41630 17510 ) ( 42090 * ) + NEW li1 ( 43010 15810 ) L1M1_PR + NEW met1 ( 42090 15810 ) M1M2_PR + NEW met1 ( 42090 17510 ) M1M2_PR + NEW li1 ( 41630 17510 ) L1M1_PR ; + - _167_ ( _329_ B ) ( _296_ C ) ( _294_ C ) ( _291_ B ) ( _286_ A ) ( _285_ Y ) + USE SIGNAL + + ROUTED met1 ( 40710 9350 ) ( * 9690 ) + NEW met1 ( 40250 9350 ) ( 40710 * ) + NEW met2 ( 40250 9350 ) ( * 9860 ) + NEW met3 ( 40250 9860 ) ( 47150 * ) + NEW met2 ( 47150 9860 ) ( * 10030 ) + NEW met1 ( 37950 9350 ) ( * 9690 ) + NEW met1 ( 37950 9350 ) ( 40250 * ) + NEW met2 ( 51750 6630 ) ( * 10030 ) + NEW met1 ( 48530 10030 ) ( 51750 * ) + NEW met1 ( 54970 5950 ) ( * 6290 ) + NEW met1 ( 51750 5950 ) ( 54970 * ) + NEW met1 ( 51750 5950 ) ( * 6630 ) + NEW met1 ( 55430 9690 ) ( * 10030 ) + NEW met1 ( 51750 10030 ) ( 55430 * ) + NEW met1 ( 47150 10030 ) ( 48530 * ) + NEW li1 ( 40710 9690 ) L1M1_PR + NEW met1 ( 40250 9350 ) M1M2_PR + NEW met2 ( 40250 9860 ) M2M3_PR_M + NEW met2 ( 47150 9860 ) M2M3_PR_M + NEW met1 ( 47150 10030 ) M1M2_PR NEW li1 ( 37950 9690 ) L1M1_PR - NEW li1 ( 45770 10370 ) L1M1_PR - NEW li1 ( 26450 15470 ) L1M1_PR - NEW met1 ( 26450 15470 ) M1M2_PR - NEW met1 ( 26450 17170 ) M1M2_PR - NEW li1 ( 28290 17170 ) L1M1_PR - NEW met1 ( 49910 10370 ) M1M2_PR - NEW li1 ( 49910 9690 ) L1M1_PR - NEW met1 ( 49910 9690 ) M1M2_PR - NEW met1 ( 27370 9690 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 26450 15470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 49910 9690 ) RECT ( 0 -70 355 70 ) ; - - _146_ ( _285_ B1_N ) ( _284_ Y ) + USE SIGNAL - + ROUTED met1 ( 45770 16830 ) ( 46230 * ) - NEW li1 ( 45770 16830 ) L1M1_PR - NEW li1 ( 46230 16830 ) L1M1_PR ; - - _147_ ( _287_ B1_N ) ( _286_ Y ) + USE SIGNAL - + ROUTED met1 ( 29670 18190 ) ( 33810 * ) - NEW met1 ( 33810 18190 ) ( * 18530 ) - NEW met2 ( 33810 18530 ) ( * 22270 ) - NEW li1 ( 29670 18190 ) L1M1_PR - NEW met1 ( 33810 18530 ) M1M2_PR - NEW li1 ( 33810 22270 ) L1M1_PR - NEW met1 ( 33810 22270 ) M1M2_PR - NEW met1 ( 33810 22270 ) RECT ( -355 -70 0 70 ) ; - - _148_ ( _289_ B1_N ) ( _288_ Y ) + USE SIGNAL - + ROUTED met1 ( 27830 15810 ) ( 28290 * ) - NEW li1 ( 27830 15810 ) L1M1_PR - NEW li1 ( 28290 15810 ) L1M1_PR ; - - _149_ ( _292_ A2 ) ( _290_ Y ) + USE SIGNAL - + ROUTED met2 ( 25070 4930 ) ( * 6630 ) - NEW met1 ( 25070 6630 ) ( 27370 * ) - NEW li1 ( 25070 4930 ) L1M1_PR - NEW met1 ( 25070 4930 ) M1M2_PR - NEW met1 ( 25070 6630 ) M1M2_PR - NEW li1 ( 27370 6630 ) L1M1_PR - NEW met1 ( 25070 4930 ) RECT ( -355 -70 0 70 ) ; - - _150_ ( _301_ A1 ) ( _292_ B1_N ) ( _291_ Y ) + USE SIGNAL - + ROUTED met1 ( 22770 8670 ) ( 23230 * ) - NEW met2 ( 22770 6970 ) ( * 8670 ) - NEW met1 ( 20010 6970 ) ( 22770 * ) - NEW met1 ( 22770 6970 ) ( 25990 * ) - NEW li1 ( 23230 8670 ) L1M1_PR - NEW met1 ( 22770 8670 ) M1M2_PR - NEW met1 ( 22770 6970 ) M1M2_PR - NEW li1 ( 20010 6970 ) L1M1_PR - NEW li1 ( 25990 6970 ) L1M1_PR ; - - _151_ ( _300_ B1 ) ( _297_ A2_N ) ( _292_ Y ) + USE SIGNAL - + ROUTED met2 ( 26910 510 ) ( * 5950 ) - NEW met1 ( 26910 510 ) ( 29210 * ) - NEW met1 ( 22310 3910 ) ( 26910 * ) - NEW met1 ( 26910 3570 ) ( * 3910 ) - NEW li1 ( 26910 5950 ) L1M1_PR - NEW met1 ( 26910 5950 ) M1M2_PR - NEW met1 ( 26910 510 ) M1M2_PR - NEW li1 ( 29210 510 ) L1M1_PR - NEW li1 ( 22310 3910 ) L1M1_PR - NEW met1 ( 26910 3570 ) M1M2_PR - NEW met1 ( 26910 5950 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 26910 3570 ) RECT ( -70 -485 70 0 ) ; - - _152_ ( _301_ B1_N ) ( _297_ B1 ) ( _293_ Y ) + USE SIGNAL - + ROUTED met1 ( 21850 5950 ) ( 25990 * ) - NEW met2 ( 25990 5950 ) ( * 8670 ) - NEW met1 ( 25990 8670 ) ( 28290 * ) - NEW met1 ( 25990 1190 ) ( 26910 * ) - NEW met2 ( 25990 1190 ) ( * 5950 ) - NEW li1 ( 21850 5950 ) L1M1_PR - NEW met1 ( 25990 5950 ) M1M2_PR - NEW met1 ( 25990 8670 ) M1M2_PR - NEW li1 ( 28290 8670 ) L1M1_PR - NEW li1 ( 26910 1190 ) L1M1_PR - NEW met1 ( 25990 1190 ) M1M2_PR ; - - _153_ ( _296_ A ) ( _294_ Y ) + USE SIGNAL - + ROUTED met1 ( 31510 1190 ) ( * 1530 ) - NEW met1 ( 29210 1530 ) ( 31510 * ) - NEW met2 ( 29210 1530 ) ( * 5950 ) - NEW li1 ( 31510 1190 ) L1M1_PR - NEW met1 ( 29210 1530 ) M1M2_PR - NEW li1 ( 29210 5950 ) L1M1_PR - NEW met1 ( 29210 5950 ) M1M2_PR - NEW met1 ( 29210 5950 ) RECT ( -355 -70 0 70 ) ; - - _154_ ( _300_ A1 ) ( _296_ B ) ( _295_ Y ) + USE SIGNAL - + ROUTED met2 ( 23690 850 ) ( * 4250 ) - NEW met1 ( 23690 850 ) ( 30590 * ) - NEW met1 ( 30590 850 ) ( * 1190 ) - NEW met2 ( 22770 4250 ) ( * 6290 ) - NEW met2 ( 22770 4250 ) ( 23690 * ) - NEW li1 ( 23690 4250 ) L1M1_PR - NEW met1 ( 23690 4250 ) M1M2_PR - NEW met1 ( 23690 850 ) M1M2_PR - NEW li1 ( 30590 1190 ) L1M1_PR - NEW li1 ( 22770 6290 ) L1M1_PR - NEW met1 ( 22770 6290 ) M1M2_PR - NEW met1 ( 23690 4250 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 22770 6290 ) RECT ( -355 -70 0 70 ) ; - - _155_ ( _303_ B ) ( _297_ B2 ) ( _296_ Y ) + USE SIGNAL - + ROUTED met1 ( 31050 2210 ) ( 36570 * ) - NEW met2 ( 36570 2210 ) ( * 4250 ) - NEW met1 ( 27370 1870 ) ( 31050 * ) - NEW met1 ( 31050 1870 ) ( * 2210 ) - NEW li1 ( 31050 2210 ) L1M1_PR - NEW met1 ( 36570 2210 ) M1M2_PR - NEW li1 ( 36570 4250 ) L1M1_PR - NEW met1 ( 36570 4250 ) M1M2_PR - NEW li1 ( 27370 1870 ) L1M1_PR - NEW met1 ( 36570 4250 ) RECT ( -355 -70 0 70 ) ; - - _156_ ( _300_ A2 ) ( _299_ X ) + USE SIGNAL - + ROUTED met1 ( 23230 4250 ) ( * 4590 ) - NEW met1 ( 22310 4590 ) ( 23230 * ) - NEW met2 ( 22310 4590 ) ( * 8670 ) - NEW li1 ( 23230 4250 ) L1M1_PR - NEW met1 ( 22310 4590 ) M1M2_PR - NEW li1 ( 22310 8670 ) L1M1_PR - NEW met1 ( 22310 8670 ) M1M2_PR - NEW met1 ( 22310 8670 ) RECT ( -355 -70 0 70 ) ; - - _157_ ( _311_ B1_N ) ( _306_ B ) ( _304_ Y ) + USE SIGNAL - + ROUTED met1 ( 48530 3570 ) ( 51750 * ) - NEW met1 ( 45770 4590 ) ( 48530 * ) - NEW met2 ( 48530 3570 ) ( * 4590 ) - NEW li1 ( 48530 3570 ) L1M1_PR - NEW li1 ( 51750 3570 ) L1M1_PR - NEW li1 ( 45770 4590 ) L1M1_PR - NEW met1 ( 48530 4590 ) M1M2_PR - NEW met1 ( 48530 3570 ) M1M2_PR - NEW met1 ( 48530 3570 ) RECT ( -595 -70 0 70 ) ; - - _158_ ( _312_ A ) ( _306_ Y ) + USE SIGNAL - + ROUTED met2 ( 45770 1190 ) ( * 3230 ) - NEW met1 ( 45770 3230 ) ( 46230 * ) - NEW li1 ( 45770 1190 ) L1M1_PR - NEW met1 ( 45770 1190 ) M1M2_PR - NEW met1 ( 45770 3230 ) M1M2_PR - NEW li1 ( 46230 3230 ) L1M1_PR - NEW met1 ( 45770 1190 ) RECT ( -355 -70 0 70 ) ; - - _159_ ( _308_ A ) ( _307_ Y ) + USE SIGNAL - + ROUTED met2 ( 67390 2210 ) ( * 6630 ) - NEW met1 ( 66930 6630 ) ( 67390 * ) - NEW li1 ( 67390 2210 ) L1M1_PR - NEW met1 ( 67390 2210 ) M1M2_PR - NEW met1 ( 67390 6630 ) M1M2_PR - NEW li1 ( 66930 6630 ) L1M1_PR - NEW met1 ( 67390 2210 ) RECT ( -355 -70 0 70 ) ; - - _160_ ( _311_ A1 ) ( _308_ Y ) + USE SIGNAL - + ROUTED met2 ( 66930 4420 ) ( * 5950 ) - NEW met2 ( 50830 4250 ) ( * 4420 ) - NEW met3 ( 50830 4420 ) ( 66930 * ) - NEW met2 ( 66930 4420 ) M2M3_PR_M - NEW li1 ( 66930 5950 ) L1M1_PR - NEW met1 ( 66930 5950 ) M1M2_PR - NEW met2 ( 50830 4420 ) M2M3_PR_M - NEW li1 ( 50830 4250 ) L1M1_PR - NEW met1 ( 50830 4250 ) M1M2_PR - NEW met1 ( 66930 5950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 50830 4250 ) RECT ( -355 -70 0 70 ) ; - - _161_ ( _310_ C1 ) ( _309_ Y ) + USE SIGNAL - + ROUTED met1 ( 38870 3570 ) ( 40250 * ) - NEW li1 ( 40250 3570 ) L1M1_PR - NEW li1 ( 38870 3570 ) L1M1_PR ; - - _162_ ( _332_ A ) ( _328_ A ) ( _326_ A ) ( _311_ A2 ) ( _310_ Y ) + USE SIGNAL - + ROUTED met1 ( 43010 3570 ) ( * 3910 ) - NEW met2 ( 59110 1190 ) ( * 4250 ) - NEW met1 ( 59110 1190 ) ( 60490 * ) - NEW met1 ( 49910 3910 ) ( * 4250 ) - NEW met1 ( 49910 3910 ) ( 52210 * ) - NEW met1 ( 52210 3570 ) ( * 3910 ) - NEW met1 ( 52210 3570 ) ( 59110 * ) - NEW met1 ( 50830 9690 ) ( 51290 * ) - NEW met2 ( 51290 3910 ) ( * 9690 ) - NEW met1 ( 43010 3910 ) ( 49910 * ) - NEW li1 ( 43010 3570 ) L1M1_PR - NEW li1 ( 59110 4250 ) L1M1_PR - NEW met1 ( 59110 4250 ) M1M2_PR - NEW met1 ( 59110 1190 ) M1M2_PR - NEW li1 ( 60490 1190 ) L1M1_PR - NEW li1 ( 49910 4250 ) L1M1_PR - NEW met1 ( 59110 3570 ) M1M2_PR - NEW li1 ( 50830 9690 ) L1M1_PR - NEW met1 ( 51290 9690 ) M1M2_PR - NEW met1 ( 51290 3910 ) M1M2_PR - NEW met1 ( 59110 4250 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 59110 3570 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 51290 3910 ) RECT ( -595 -70 0 70 ) ; - - _163_ ( _312_ B ) ( _311_ Y ) + USE SIGNAL - + ROUTED met1 ( 46230 1190 ) ( 49910 * ) - NEW met2 ( 49910 1190 ) ( * 3230 ) - NEW li1 ( 46230 1190 ) L1M1_PR - NEW met1 ( 49910 1190 ) M1M2_PR - NEW li1 ( 49910 3230 ) L1M1_PR - NEW met1 ( 49910 3230 ) M1M2_PR - NEW met1 ( 49910 3230 ) RECT ( -355 -70 0 70 ) ; - - _164_ ( _314_ B ) ( _313_ Y ) + USE SIGNAL - + ROUTED met2 ( 14030 9350 ) ( * 11390 ) - NEW met1 ( 13570 11390 ) ( 14030 * ) - NEW li1 ( 13570 11390 ) L1M1_PR - NEW met1 ( 14030 11390 ) M1M2_PR - NEW li1 ( 14030 9350 ) L1M1_PR - NEW met1 ( 14030 9350 ) M1M2_PR - NEW met1 ( 14030 9350 ) RECT ( 0 -70 355 70 ) ; - - _165_ ( _316_ A ) ( _315_ X ) + USE SIGNAL - + ROUTED met1 ( 4830 12070 ) ( 8050 * ) - NEW met1 ( 8050 11390 ) ( * 12070 ) + NEW li1 ( 48530 10030 ) L1M1_PR + NEW li1 ( 51750 6630 ) L1M1_PR + NEW met1 ( 51750 6630 ) M1M2_PR + NEW met1 ( 51750 10030 ) M1M2_PR + NEW li1 ( 54970 6290 ) L1M1_PR + NEW li1 ( 55430 9690 ) L1M1_PR + NEW met1 ( 51750 6630 ) RECT ( -355 -70 0 70 ) ; + - _168_ ( _335_ B ) ( _331_ B ) ( _315_ C ) ( _292_ C ) ( _290_ B ) ( _286_ X ) + USE SIGNAL + + ROUTED met1 ( 66930 3230 ) ( * 4250 ) + NEW met1 ( 55890 6970 ) ( 56810 * ) + NEW met2 ( 56810 850 ) ( * 6970 ) + NEW met1 ( 54970 850 ) ( 56810 * ) + NEW met2 ( 58650 3230 ) ( * 3740 ) + NEW met2 ( 56810 3740 ) ( 58650 * ) + NEW met2 ( 58650 3740 ) ( * 11730 ) + NEW met1 ( 58650 3230 ) ( 66930 * ) + NEW met1 ( 41170 16830 ) ( * 17170 ) + NEW met1 ( 41170 16830 ) ( 58650 * ) + NEW met2 ( 37950 15130 ) ( * 17170 ) + NEW met1 ( 37950 17170 ) ( 39790 * ) + NEW met1 ( 39790 16830 ) ( * 17170 ) + NEW met1 ( 39790 16830 ) ( 41170 * ) + NEW met2 ( 58650 11730 ) ( * 16830 ) + NEW li1 ( 66930 4250 ) L1M1_PR + NEW li1 ( 55890 6970 ) L1M1_PR + NEW met1 ( 56810 6970 ) M1M2_PR + NEW met1 ( 56810 850 ) M1M2_PR + NEW li1 ( 54970 850 ) L1M1_PR + NEW met1 ( 58650 3230 ) M1M2_PR + NEW li1 ( 58650 11730 ) L1M1_PR + NEW met1 ( 58650 11730 ) M1M2_PR + NEW li1 ( 41170 17170 ) L1M1_PR + NEW met1 ( 58650 16830 ) M1M2_PR + NEW li1 ( 37950 15130 ) L1M1_PR + NEW met1 ( 37950 15130 ) M1M2_PR + NEW met1 ( 37950 17170 ) M1M2_PR + NEW met1 ( 58650 11730 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 37950 15130 ) RECT ( -355 -70 0 70 ) ; + - _169_ ( _291_ A ) ( _288_ A ) ( _287_ Y ) + USE SIGNAL + + ROUTED met2 ( 50830 6630 ) ( * 9860 ) + NEW met3 ( 50830 9860 ) ( 55890 * ) + NEW met2 ( 55890 9690 ) ( * 9860 ) + NEW met1 ( 47150 9010 ) ( 50830 * ) + NEW li1 ( 47150 9010 ) L1M1_PR + NEW li1 ( 50830 6630 ) L1M1_PR + NEW met1 ( 50830 6630 ) M1M2_PR + NEW met2 ( 50830 9860 ) M2M3_PR_M + NEW met2 ( 55890 9860 ) M2M3_PR_M + NEW li1 ( 55890 9690 ) L1M1_PR + NEW met1 ( 55890 9690 ) M1M2_PR + NEW met1 ( 50830 9010 ) M1M2_PR + NEW met1 ( 50830 6630 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 55890 9690 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 50830 9010 ) RECT ( -70 -485 70 0 ) ; + - _170_ ( _355_ B1 ) ( _296_ A ) ( _294_ A ) ( _292_ A ) ( _289_ A1 ) ( _288_ X ) + USE SIGNAL + + ROUTED met2 ( 50370 7650 ) ( * 13090 ) + NEW met1 ( 50370 13090 ) ( 56810 * ) + NEW met1 ( 40250 12750 ) ( 50370 * ) + NEW met1 ( 50370 12750 ) ( * 13090 ) + NEW met1 ( 38410 9690 ) ( 39330 * ) + NEW met2 ( 38410 9690 ) ( * 12750 ) + NEW met1 ( 38410 12750 ) ( 40250 * ) + NEW met2 ( 36570 9690 ) ( * 9860 ) + NEW met2 ( 36570 9860 ) ( 38410 * ) + NEW met1 ( 36570 15130 ) ( * 15470 ) + NEW met1 ( 36570 15470 ) ( 40250 * ) + NEW met1 ( 40250 15130 ) ( * 15470 ) + NEW met2 ( 40250 12750 ) ( * 15130 ) + NEW met2 ( 56810 13090 ) ( * 20230 ) + NEW li1 ( 50370 7650 ) L1M1_PR + NEW met1 ( 50370 7650 ) M1M2_PR + NEW met1 ( 50370 13090 ) M1M2_PR + NEW met1 ( 56810 13090 ) M1M2_PR + NEW met1 ( 40250 12750 ) M1M2_PR + NEW li1 ( 39330 9690 ) L1M1_PR + NEW met1 ( 38410 9690 ) M1M2_PR + NEW met1 ( 38410 12750 ) M1M2_PR + NEW li1 ( 36570 9690 ) L1M1_PR + NEW met1 ( 36570 9690 ) M1M2_PR + NEW li1 ( 56810 20230 ) L1M1_PR + NEW met1 ( 56810 20230 ) M1M2_PR + NEW li1 ( 40250 15130 ) L1M1_PR + NEW met1 ( 40250 15130 ) M1M2_PR + NEW li1 ( 36570 15130 ) L1M1_PR + NEW met1 ( 50370 7650 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 36570 9690 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 56810 20230 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 40250 15130 ) RECT ( -355 -70 0 70 ) ; + - _171_ ( _290_ C ) ( _289_ Y ) + USE SIGNAL + + ROUTED met1 ( 40710 15810 ) ( 41630 * ) + NEW met2 ( 40710 15810 ) ( * 17170 ) + NEW met1 ( 40250 17170 ) ( 40710 * ) + NEW li1 ( 41630 15810 ) L1M1_PR + NEW met1 ( 40710 15810 ) M1M2_PR + NEW met1 ( 40710 17170 ) M1M2_PR + NEW li1 ( 40250 17170 ) L1M1_PR ; + - _172_ ( _293_ B1_N ) ( _292_ Y ) + USE SIGNAL + + ROUTED met1 ( 33810 12410 ) ( 34270 * ) + NEW met1 ( 34270 14450 ) ( 36570 * ) + NEW met2 ( 34270 12410 ) ( * 14450 ) + NEW li1 ( 33810 12410 ) L1M1_PR + NEW met1 ( 34270 12410 ) M1M2_PR + NEW met1 ( 34270 14450 ) M1M2_PR + NEW li1 ( 36570 14450 ) L1M1_PR ; + - _173_ ( _295_ B1_N ) ( _294_ Y ) + USE SIGNAL + + ROUTED met1 ( 27830 1190 ) ( 36570 * ) + NEW met2 ( 36570 1190 ) ( * 8670 ) + NEW li1 ( 27830 1190 ) L1M1_PR + NEW met1 ( 36570 1190 ) M1M2_PR + NEW li1 ( 36570 8670 ) L1M1_PR + NEW met1 ( 36570 8670 ) M1M2_PR + NEW met1 ( 36570 8670 ) RECT ( -355 -70 0 70 ) ; + - _174_ ( _297_ B1_N ) ( _296_ Y ) + USE SIGNAL + + ROUTED met1 ( 38410 6970 ) ( 39330 * ) + NEW met2 ( 39330 6970 ) ( * 8670 ) + NEW li1 ( 38410 6970 ) L1M1_PR + NEW met1 ( 39330 6970 ) M1M2_PR + NEW li1 ( 39330 8670 ) L1M1_PR + NEW met1 ( 39330 8670 ) M1M2_PR + NEW met1 ( 39330 8670 ) RECT ( -355 -70 0 70 ) ; + - _175_ ( _299_ A ) ( _298_ X ) + USE SIGNAL + + ROUTED met2 ( 4830 12070 ) ( * 14110 ) + NEW met1 ( 4830 14110 ) ( 8050 * ) + NEW met1 ( 8050 14110 ) ( * 14450 ) + NEW met1 ( 8050 14450 ) ( 12650 * ) NEW li1 ( 4830 12070 ) L1M1_PR - NEW li1 ( 8050 11390 ) L1M1_PR ; - - _166_ ( _318_ A ) ( _317_ X ) + USE SIGNAL - + ROUTED met1 ( 5290 17510 ) ( 8050 * ) - NEW met1 ( 8050 16830 ) ( * 17510 ) - NEW li1 ( 5290 17510 ) L1M1_PR - NEW li1 ( 8050 16830 ) L1M1_PR ; - - _167_ ( _321_ A ) ( _319_ X ) + USE SIGNAL - + ROUTED met1 ( 71530 21250 ) ( 73370 * ) - NEW met2 ( 73370 21250 ) ( * 22610 ) - NEW met1 ( 73370 22610 ) ( 75670 * ) - NEW met1 ( 75670 22610 ) ( * 22950 ) - NEW li1 ( 71530 21250 ) L1M1_PR - NEW met1 ( 73370 21250 ) M1M2_PR - NEW met1 ( 73370 22610 ) M1M2_PR - NEW li1 ( 75670 22950 ) L1M1_PR ; - - _168_ ( _321_ B ) ( _320_ X ) + USE SIGNAL - + ROUTED met2 ( 79810 15810 ) ( * 22270 ) - NEW met1 ( 76130 22270 ) ( 79810 * ) - NEW met1 ( 76130 22270 ) ( * 22610 ) - NEW met1 ( 76130 22610 ) ( 76225 * ) - NEW li1 ( 79810 15810 ) L1M1_PR - NEW met1 ( 79810 15810 ) M1M2_PR - NEW met1 ( 79810 22270 ) M1M2_PR - NEW li1 ( 76225 22610 ) L1M1_PR - NEW met1 ( 79810 15810 ) RECT ( 0 -70 355 70 ) ; - - _169_ ( _325_ A1 ) ( _321_ Y ) + USE SIGNAL - + ROUTED met1 ( 65550 17850 ) ( 69230 * ) - NEW met1 ( 69230 17850 ) ( * 18530 ) - NEW met1 ( 69230 18530 ) ( 72450 * ) - NEW met2 ( 72450 18530 ) ( * 22270 ) - NEW met1 ( 72450 22270 ) ( 75670 * ) - NEW li1 ( 65550 17850 ) L1M1_PR - NEW met1 ( 72450 18530 ) M1M2_PR - NEW met1 ( 72450 22270 ) M1M2_PR - NEW li1 ( 75670 22270 ) L1M1_PR ; - - _170_ ( _324_ A1 ) ( _322_ Y ) + USE SIGNAL - + ROUTED met1 ( 62790 17510 ) ( 63710 * ) - NEW met2 ( 63710 17510 ) ( 64170 * ) - NEW met2 ( 64170 17510 ) ( * 19550 ) - NEW met1 ( 64170 19550 ) ( 66010 * ) - NEW li1 ( 62790 17510 ) L1M1_PR - NEW met1 ( 63710 17510 ) M1M2_PR - NEW met1 ( 64170 19550 ) M1M2_PR - NEW li1 ( 66010 19550 ) L1M1_PR ; - - _171_ ( _324_ A2 ) ( _323_ X ) + USE SIGNAL - + ROUTED met1 ( 60950 18190 ) ( 62330 * ) - NEW met2 ( 60950 18190 ) ( * 21250 ) - NEW met1 ( 60950 21250 ) ( 63710 * ) - NEW li1 ( 62330 18190 ) L1M1_PR - NEW met1 ( 60950 18190 ) M1M2_PR - NEW met1 ( 60950 21250 ) M1M2_PR - NEW li1 ( 63710 21250 ) L1M1_PR ; - - _172_ ( _325_ A2 ) ( _324_ Y ) + USE SIGNAL - + ROUTED met1 ( 61410 16830 ) ( 62790 * ) - NEW met2 ( 62790 16830 ) ( * 18190 ) - NEW met1 ( 62790 18190 ) ( 65090 * ) - NEW li1 ( 61410 16830 ) L1M1_PR - NEW met1 ( 62790 16830 ) M1M2_PR - NEW met1 ( 62790 18190 ) M1M2_PR - NEW li1 ( 65090 18190 ) L1M1_PR ; - - _173_ ( _336_ A2 ) ( _335_ A ) ( _331_ A ) ( _327_ A ) ( _326_ Y ) + USE SIGNAL - + ROUTED met1 ( 70610 9690 ) ( * 10030 ) - NEW met1 ( 70610 8670 ) ( 73830 * ) - NEW met1 ( 70610 8670 ) ( * 9690 ) - NEW met1 ( 77050 6630 ) ( 78890 * ) - NEW met2 ( 77050 6630 ) ( * 8670 ) - NEW met1 ( 73830 8670 ) ( 77050 * ) - NEW met1 ( 58650 9690 ) ( * 10030 ) - NEW met1 ( 50370 10030 ) ( 58650 * ) - NEW met1 ( 58650 10030 ) ( 70610 * ) - NEW li1 ( 70610 9690 ) L1M1_PR - NEW li1 ( 73830 8670 ) L1M1_PR - NEW li1 ( 78890 6630 ) L1M1_PR - NEW met1 ( 77050 6630 ) M1M2_PR - NEW met1 ( 77050 8670 ) M1M2_PR + NEW met1 ( 4830 12070 ) M1M2_PR + NEW met1 ( 4830 14110 ) M1M2_PR + NEW li1 ( 12650 14450 ) L1M1_PR + NEW met1 ( 4830 12070 ) RECT ( -355 -70 0 70 ) ; + - _176_ ( _302_ A ) ( _301_ X ) + USE SIGNAL + + ROUTED met1 ( 5290 9690 ) ( * 10030 ) + NEW met1 ( 5290 10030 ) ( 12650 * ) + NEW met1 ( 12650 10030 ) ( * 10370 ) + NEW li1 ( 5290 9690 ) L1M1_PR + NEW li1 ( 12650 10370 ) L1M1_PR ; + - _177_ ( _304_ A ) ( _303_ X ) + USE SIGNAL + + ROUTED met2 ( 6670 1190 ) ( * 3230 ) + NEW met1 ( 6670 3230 ) ( 12650 * ) + NEW li1 ( 6670 1190 ) L1M1_PR + NEW met1 ( 6670 1190 ) M1M2_PR + NEW met1 ( 6670 3230 ) M1M2_PR + NEW li1 ( 12650 3230 ) L1M1_PR + NEW met1 ( 6670 1190 ) RECT ( -355 -70 0 70 ) ; + - _178_ ( _313_ C1 ) ( _307_ C1 ) ( _306_ Y ) + USE SIGNAL + + ROUTED met2 ( 22770 10030 ) ( * 12070 ) + NEW met1 ( 22770 10030 ) ( 30130 * ) + NEW met1 ( 30130 9690 ) ( * 10030 ) + NEW met2 ( 22310 12580 ) ( 22770 * ) + NEW met2 ( 22770 12070 ) ( * 12580 ) + NEW met1 ( 16330 17170 ) ( 22310 * ) + NEW met2 ( 22310 12580 ) ( * 17170 ) + NEW li1 ( 22770 12070 ) L1M1_PR + NEW met1 ( 22770 12070 ) M1M2_PR + NEW met1 ( 22770 10030 ) M1M2_PR + NEW li1 ( 30130 9690 ) L1M1_PR + NEW met1 ( 22310 17170 ) M1M2_PR + NEW li1 ( 16330 17170 ) L1M1_PR + NEW met1 ( 22770 12070 ) RECT ( -355 -70 0 70 ) ; + - _179_ ( _314_ B1_N ) ( _310_ B ) ( _308_ Y ) + USE SIGNAL + + ROUTED met1 ( 55890 11390 ) ( * 11730 ) + NEW met1 ( 55890 11390 ) ( 59570 * ) + NEW met2 ( 57270 9690 ) ( * 11390 ) + NEW li1 ( 55890 11730 ) L1M1_PR + NEW li1 ( 59570 11390 ) L1M1_PR + NEW li1 ( 57270 9690 ) L1M1_PR + NEW met1 ( 57270 9690 ) M1M2_PR + NEW met1 ( 57270 11390 ) M1M2_PR + NEW met1 ( 57270 9690 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 57270 11390 ) RECT ( -595 -70 0 70 ) ; + - _180_ ( _315_ A ) ( _310_ Y ) + USE SIGNAL + + ROUTED met1 ( 57270 12070 ) ( * 12410 ) + NEW met1 ( 54970 12410 ) ( 57270 * ) + NEW met1 ( 54970 11730 ) ( * 12410 ) + NEW met1 ( 54970 11730 ) ( 55430 * ) + NEW met1 ( 55430 11390 ) ( * 11730 ) + NEW li1 ( 57270 12070 ) L1M1_PR + NEW li1 ( 55430 11390 ) L1M1_PR ; + - _181_ ( _312_ A ) ( _311_ Y ) + USE SIGNAL + + ROUTED met2 ( 60950 4590 ) ( * 5780 ) + NEW met2 ( 60950 5780 ) ( 61410 * ) + NEW met2 ( 61410 5780 ) ( * 6630 ) + NEW met1 ( 61410 6630 ) ( 66470 * ) + NEW li1 ( 66470 6630 ) L1M1_PR + NEW li1 ( 60950 4590 ) L1M1_PR + NEW met1 ( 60950 4590 ) M1M2_PR + NEW met1 ( 61410 6630 ) M1M2_PR + NEW met1 ( 60950 4590 ) RECT ( -355 -70 0 70 ) ; + - _182_ ( _314_ A1 ) ( _312_ Y ) + USE SIGNAL + + ROUTED met1 ( 64630 5950 ) ( 66470 * ) + NEW met2 ( 64630 5950 ) ( * 9690 ) + NEW met1 ( 59570 9690 ) ( 64630 * ) + NEW li1 ( 66470 5950 ) L1M1_PR + NEW met1 ( 64630 5950 ) M1M2_PR + NEW met1 ( 64630 9690 ) M1M2_PR + NEW li1 ( 59570 9690 ) L1M1_PR ; + - _183_ ( _335_ A ) ( _331_ A ) ( _329_ A ) ( _314_ A2 ) ( _313_ Y ) + USE SIGNAL + + ROUTED met1 ( 66470 3570 ) ( * 4250 ) + NEW met1 ( 52670 6630 ) ( * 6970 ) + NEW met1 ( 49910 6970 ) ( 52670 * ) + NEW met1 ( 49910 6290 ) ( * 6970 ) + NEW met1 ( 48530 6290 ) ( 49910 * ) + NEW met2 ( 48530 6290 ) ( * 7650 ) + NEW met1 ( 34730 7650 ) ( 48530 * ) + NEW met2 ( 34730 7650 ) ( * 9010 ) + NEW met1 ( 31970 9010 ) ( 34730 * ) + NEW met1 ( 58190 9690 ) ( 58650 * ) + NEW met2 ( 58190 7310 ) ( * 9690 ) + NEW met1 ( 52670 7310 ) ( 58190 * ) + NEW met1 ( 52670 6970 ) ( * 7310 ) + NEW met1 ( 54050 1190 ) ( 54510 * ) + NEW met2 ( 54050 1190 ) ( * 7310 ) + NEW met2 ( 59110 3570 ) ( * 7310 ) + NEW met1 ( 58190 7310 ) ( 59110 * ) + NEW met1 ( 59110 3570 ) ( 66470 * ) + NEW li1 ( 66470 4250 ) L1M1_PR + NEW li1 ( 52670 6630 ) L1M1_PR + NEW met1 ( 48530 6290 ) M1M2_PR + NEW met1 ( 48530 7650 ) M1M2_PR + NEW met1 ( 34730 7650 ) M1M2_PR + NEW met1 ( 34730 9010 ) M1M2_PR + NEW li1 ( 31970 9010 ) L1M1_PR NEW li1 ( 58650 9690 ) L1M1_PR - NEW li1 ( 50370 10030 ) L1M1_PR ; - - _174_ ( _329_ A ) ( _327_ Y ) + USE SIGNAL - + ROUTED met1 ( 60950 4250 ) ( 61410 * ) - NEW met2 ( 60950 4250 ) ( * 8670 ) - NEW met1 ( 59110 8670 ) ( 60950 * ) - NEW li1 ( 61410 4250 ) L1M1_PR - NEW met1 ( 60950 4250 ) M1M2_PR - NEW met1 ( 60950 8670 ) M1M2_PR - NEW li1 ( 59110 8670 ) L1M1_PR ; - - _175_ ( _329_ B ) ( _328_ Y ) + USE SIGNAL - + ROUTED met1 ( 60490 4250 ) ( * 4590 ) - NEW met1 ( 59110 4590 ) ( 60490 * ) - NEW met1 ( 59110 4590 ) ( * 4930 ) - NEW li1 ( 60490 4250 ) L1M1_PR - NEW li1 ( 59110 4930 ) L1M1_PR ; - - _176_ ( _333_ A ) ( _331_ Y ) + USE SIGNAL - + ROUTED met2 ( 80270 1190 ) ( * 5950 ) - NEW met1 ( 79350 5950 ) ( 80270 * ) - NEW li1 ( 80270 1190 ) L1M1_PR - NEW met1 ( 80270 1190 ) M1M2_PR - NEW met1 ( 80270 5950 ) M1M2_PR - NEW li1 ( 79350 5950 ) L1M1_PR - NEW met1 ( 80270 1190 ) RECT ( -355 -70 0 70 ) ; - - _177_ ( _333_ B ) ( _332_ Y ) + USE SIGNAL - + ROUTED met2 ( 79350 1190 ) ( * 1700 ) - NEW met2 ( 61410 1700 ) ( * 1870 ) - NEW met3 ( 61410 1700 ) ( 79350 * ) - NEW li1 ( 79350 1190 ) L1M1_PR - NEW met1 ( 79350 1190 ) M1M2_PR - NEW met2 ( 79350 1700 ) M2M3_PR_M - NEW met2 ( 61410 1700 ) M2M3_PR_M - NEW li1 ( 61410 1870 ) L1M1_PR - NEW met1 ( 61410 1870 ) M1M2_PR - NEW met1 ( 79350 1190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 61410 1870 ) RECT ( -355 -70 0 70 ) ; - - _178_ ( _336_ B1 ) ( _335_ Y ) + USE SIGNAL - + ROUTED met1 ( 71070 9350 ) ( 72910 * ) - NEW li1 ( 72910 9350 ) L1M1_PR - NEW li1 ( 71070 9350 ) L1M1_PR ; - - _179_ ( _364_ A ) ( _338_ B ) ( _337_ Y ) + USE SIGNAL - + ROUTED met1 ( 14030 17510 ) ( 15410 * ) - NEW met2 ( 14030 17510 ) ( * 20570 ) - NEW met2 ( 14030 13090 ) ( * 17510 ) - NEW li1 ( 14030 13090 ) L1M1_PR - NEW met1 ( 14030 13090 ) M1M2_PR - NEW li1 ( 15410 17510 ) L1M1_PR - NEW met1 ( 14030 17510 ) M1M2_PR - NEW li1 ( 14030 20570 ) L1M1_PR - NEW met1 ( 14030 20570 ) M1M2_PR - NEW met1 ( 14030 13090 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 14030 20570 ) RECT ( 0 -70 355 70 ) ; - - _180_ ( _339_ B ) ( _338_ Y ) + USE SIGNAL - + ROUTED met2 ( 14950 21250 ) ( * 23290 ) - NEW li1 ( 14950 21250 ) L1M1_PR - NEW met1 ( 14950 21250 ) M1M2_PR - NEW li1 ( 14950 23290 ) L1M1_PR - NEW met1 ( 14950 23290 ) M1M2_PR - NEW met1 ( 14950 21250 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 14950 23290 ) RECT ( -355 -70 0 70 ) ; - - _181_ ( _344_ A ) ( _343_ X ) + USE SIGNAL - + ROUTED met2 ( 43470 50150 ) ( * 52190 ) - NEW met1 ( 43010 52190 ) ( 43470 * ) - NEW li1 ( 43470 50150 ) L1M1_PR - NEW met1 ( 43470 50150 ) M1M2_PR - NEW met1 ( 43470 52190 ) M1M2_PR - NEW li1 ( 43010 52190 ) L1M1_PR - NEW met1 ( 43470 50150 ) RECT ( -355 -70 0 70 ) ; - - _182_ ( _351_ A2 ) ( _349_ Y ) + USE SIGNAL - + ROUTED met2 ( 57270 10370 ) ( * 15130 ) - NEW li1 ( 57270 10370 ) L1M1_PR - NEW met1 ( 57270 10370 ) M1M2_PR - NEW li1 ( 57270 15130 ) L1M1_PR - NEW met1 ( 57270 15130 ) M1M2_PR - NEW met1 ( 57270 10370 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57270 15130 ) RECT ( -355 -70 0 70 ) ; - - _183_ ( _351_ B1_N ) ( _350_ X ) + USE SIGNAL - + ROUTED met1 ( 59570 15130 ) ( * 15470 ) - NEW met1 ( 56810 15470 ) ( 59570 * ) - NEW met2 ( 56810 15470 ) ( * 16830 ) - NEW li1 ( 59570 15130 ) L1M1_PR - NEW met1 ( 56810 15470 ) M1M2_PR - NEW li1 ( 56810 16830 ) L1M1_PR - NEW met1 ( 56810 16830 ) M1M2_PR - NEW met1 ( 56810 16830 ) RECT ( -355 -70 0 70 ) ; - - _184_ ( _354_ B2 ) ( _353_ Y ) + USE SIGNAL - + ROUTED met2 ( 64170 39950 ) ( * 42330 ) - NEW met1 ( 63710 42330 ) ( 64170 * ) - NEW met1 ( 59570 39950 ) ( 64170 * ) - NEW met1 ( 64170 39950 ) M1M2_PR - NEW met1 ( 64170 42330 ) M1M2_PR - NEW li1 ( 63710 42330 ) L1M1_PR - NEW li1 ( 59570 39950 ) L1M1_PR ; - - _185_ ( _357_ B ) ( _356_ Y ) + USE SIGNAL - + ROUTED met2 ( 67850 2210 ) ( * 3910 ) - NEW met1 ( 63250 3910 ) ( 67850 * ) - NEW li1 ( 67850 2210 ) L1M1_PR - NEW met1 ( 67850 2210 ) M1M2_PR - NEW met1 ( 67850 3910 ) M1M2_PR - NEW li1 ( 63250 3910 ) L1M1_PR - NEW met1 ( 67850 2210 ) RECT ( 0 -70 355 70 ) ; - - _186_ ( _361_ B ) ( _360_ Y ) + USE SIGNAL - + ROUTED met1 ( 43010 21250 ) ( 44850 * ) - NEW met2 ( 44850 21250 ) ( * 23290 ) - NEW li1 ( 43010 21250 ) L1M1_PR - NEW met1 ( 44850 21250 ) M1M2_PR - NEW li1 ( 44850 23290 ) L1M1_PR - NEW met1 ( 44850 23290 ) M1M2_PR - NEW met1 ( 44850 23290 ) RECT ( -355 -70 0 70 ) ; - - _187_ ( _367_ B ) ( _366_ Y ) + USE SIGNAL - + ROUTED met2 ( 17710 51170 ) ( * 52870 ) - NEW met1 ( 17710 52870 ) ( 19090 * ) - NEW li1 ( 17710 51170 ) L1M1_PR - NEW met1 ( 17710 51170 ) M1M2_PR - NEW met1 ( 17710 52870 ) M1M2_PR + NEW met1 ( 58190 9690 ) M1M2_PR + NEW met1 ( 58190 7310 ) M1M2_PR + NEW li1 ( 54510 1190 ) L1M1_PR + NEW met1 ( 54050 1190 ) M1M2_PR + NEW met1 ( 54050 7310 ) M1M2_PR + NEW met1 ( 59110 3570 ) M1M2_PR + NEW met1 ( 59110 7310 ) M1M2_PR + NEW met1 ( 54050 7310 ) RECT ( -595 -70 0 70 ) ; + - _184_ ( _315_ B ) ( _314_ Y ) + USE SIGNAL + + ROUTED met2 ( 58190 10370 ) ( * 11730 ) + NEW met1 ( 57730 11730 ) ( 58190 * ) + NEW li1 ( 58190 10370 ) L1M1_PR + NEW met1 ( 58190 10370 ) M1M2_PR + NEW met1 ( 58190 11730 ) M1M2_PR + NEW li1 ( 57730 11730 ) L1M1_PR + NEW met1 ( 58190 10370 ) RECT ( -355 -70 0 70 ) ; + - _185_ ( _317_ B ) ( _316_ Y ) + USE SIGNAL + + ROUTED met2 ( 28290 21250 ) ( * 23290 ) + NEW li1 ( 28290 21250 ) L1M1_PR + NEW met1 ( 28290 21250 ) M1M2_PR + NEW li1 ( 28290 23290 ) L1M1_PR + NEW met1 ( 28290 23290 ) M1M2_PR + NEW met1 ( 28290 21250 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 28290 23290 ) RECT ( -355 -70 0 70 ) ; + - _186_ ( _319_ A ) ( _318_ X ) + USE SIGNAL + + ROUTED met1 ( 8970 19890 ) ( 13110 * ) + NEW met1 ( 8970 19550 ) ( * 19890 ) + NEW met1 ( 5750 19550 ) ( 8970 * ) + NEW met2 ( 5750 19550 ) ( * 22950 ) + NEW met1 ( 4370 22950 ) ( 5750 * ) + NEW li1 ( 13110 19890 ) L1M1_PR + NEW met1 ( 5750 19550 ) M1M2_PR + NEW met1 ( 5750 22950 ) M1M2_PR + NEW li1 ( 4370 22950 ) L1M1_PR ; + - _187_ ( _321_ A ) ( _320_ X ) + USE SIGNAL + + ROUTED met1 ( 7590 17510 ) ( 13570 * ) + NEW met2 ( 13570 17510 ) ( * 19550 ) + NEW met1 ( 13570 19550 ) ( 17250 * ) + NEW li1 ( 7590 17510 ) L1M1_PR + NEW met1 ( 13570 17510 ) M1M2_PR + NEW met1 ( 13570 19550 ) M1M2_PR + NEW li1 ( 17250 19550 ) L1M1_PR ; + - _188_ ( _324_ A ) ( _322_ X ) + USE SIGNAL + + ROUTED met1 ( 90390 12070 ) ( * 12410 ) + NEW met1 ( 88090 12410 ) ( 90390 * ) + NEW li1 ( 90390 12070 ) L1M1_PR + NEW li1 ( 88090 12410 ) L1M1_PR ; + - _189_ ( _324_ B ) ( _323_ X ) + USE SIGNAL + + ROUTED met1 ( 87630 14450 ) ( 90850 * ) + NEW met2 ( 90850 12070 ) ( * 14450 ) + NEW li1 ( 90850 12070 ) L1M1_PR + NEW met1 ( 90850 12070 ) M1M2_PR + NEW met1 ( 90850 14450 ) M1M2_PR + NEW li1 ( 87630 14450 ) L1M1_PR + NEW met1 ( 90850 12070 ) RECT ( 0 -70 355 70 ) ; + - _190_ ( _328_ A1 ) ( _324_ Y ) + USE SIGNAL + + ROUTED met1 ( 71530 9350 ) ( 72450 * ) + NEW met2 ( 72450 9350 ) ( * 11390 ) + NEW met1 ( 72450 11390 ) ( 90390 * ) + NEW li1 ( 71530 9350 ) L1M1_PR + NEW met1 ( 72450 9350 ) M1M2_PR + NEW met1 ( 72450 11390 ) M1M2_PR + NEW li1 ( 90390 11390 ) L1M1_PR ; + - _191_ ( _327_ A1 ) ( _325_ Y ) + USE SIGNAL + + ROUTED met1 ( 69230 15130 ) ( 70150 * ) + NEW met2 ( 69230 15130 ) ( * 16830 ) + NEW met1 ( 65090 16830 ) ( 69230 * ) + NEW li1 ( 70150 15130 ) L1M1_PR + NEW met1 ( 69230 15130 ) M1M2_PR + NEW met1 ( 69230 16830 ) M1M2_PR + NEW li1 ( 65090 16830 ) L1M1_PR ; + - _192_ ( _327_ A2 ) ( _326_ X ) + USE SIGNAL + + ROUTED met1 ( 70610 14450 ) ( 72450 * ) + NEW li1 ( 70610 14450 ) L1M1_PR + NEW li1 ( 72450 14450 ) L1M1_PR ; + - _193_ ( _328_ A2 ) ( _327_ Y ) + USE SIGNAL + + ROUTED met1 ( 71070 9690 ) ( 71990 * ) + NEW met1 ( 71070 14110 ) ( 71990 * ) + NEW met2 ( 71990 9690 ) ( * 14110 ) + NEW li1 ( 71070 9690 ) L1M1_PR + NEW met1 ( 71990 9690 ) M1M2_PR + NEW met1 ( 71990 14110 ) M1M2_PR + NEW li1 ( 71070 14110 ) L1M1_PR ; + - _194_ ( _339_ A2 ) ( _338_ A ) ( _334_ A ) ( _330_ A ) ( _329_ Y ) + USE SIGNAL + + ROUTED met1 ( 67850 6630 ) ( 70150 * ) + NEW met2 ( 67850 6460 ) ( * 6630 ) + NEW met1 ( 79810 4250 ) ( 83030 * ) + NEW met1 ( 79810 4250 ) ( * 4930 ) + NEW met1 ( 71990 4930 ) ( 79810 * ) + NEW met2 ( 71990 4930 ) ( * 6460 ) + NEW met2 ( 70610 6460 ) ( 71990 * ) + NEW met2 ( 70610 6460 ) ( * 6630 ) + NEW met1 ( 70150 6630 ) ( 70610 * ) + NEW met1 ( 83030 1190 ) ( 84410 * ) + NEW met2 ( 83030 1190 ) ( * 4250 ) + NEW met1 ( 56350 6290 ) ( * 6630 ) + NEW met1 ( 56350 6290 ) ( 60490 * ) + NEW met2 ( 60490 6290 ) ( * 6460 ) + NEW met1 ( 52210 6290 ) ( 54510 * ) + NEW met1 ( 54510 6290 ) ( * 6630 ) + NEW met1 ( 54510 6630 ) ( 56350 * ) + NEW met3 ( 60490 6460 ) ( 67850 * ) + NEW li1 ( 70150 6630 ) L1M1_PR + NEW met1 ( 67850 6630 ) M1M2_PR + NEW met2 ( 67850 6460 ) M2M3_PR_M + NEW li1 ( 83030 4250 ) L1M1_PR + NEW met1 ( 71990 4930 ) M1M2_PR + NEW met1 ( 70610 6630 ) M1M2_PR + NEW li1 ( 84410 1190 ) L1M1_PR + NEW met1 ( 83030 1190 ) M1M2_PR + NEW met1 ( 83030 4250 ) M1M2_PR + NEW li1 ( 56350 6630 ) L1M1_PR + NEW met1 ( 60490 6290 ) M1M2_PR + NEW met2 ( 60490 6460 ) M2M3_PR_M + NEW li1 ( 52210 6290 ) L1M1_PR + NEW met1 ( 83030 4250 ) RECT ( -595 -70 0 70 ) ; + - _195_ ( _332_ A ) ( _330_ Y ) + USE SIGNAL + + ROUTED met1 ( 52670 1190 ) ( * 1530 ) + NEW met1 ( 52670 1530 ) ( 56350 * ) + NEW met2 ( 56350 1530 ) ( * 5950 ) + NEW li1 ( 52670 1190 ) L1M1_PR + NEW met1 ( 56350 1530 ) M1M2_PR + NEW li1 ( 56350 5950 ) L1M1_PR + NEW met1 ( 56350 5950 ) M1M2_PR + NEW met1 ( 56350 5950 ) RECT ( -355 -70 0 70 ) ; + - _196_ ( _332_ B ) ( _331_ Y ) + USE SIGNAL + + ROUTED met1 ( 53590 850 ) ( * 1190 ) + NEW met1 ( 53590 850 ) ( 54510 * ) + NEW met1 ( 54510 510 ) ( * 850 ) + NEW li1 ( 53590 1190 ) L1M1_PR + NEW li1 ( 54510 510 ) L1M1_PR ; + - _197_ ( _336_ A ) ( _334_ Y ) + USE SIGNAL + + ROUTED met1 ( 71070 4250 ) ( * 4590 ) + NEW met1 ( 69230 4590 ) ( 71070 * ) + NEW met2 ( 69230 4590 ) ( * 5950 ) + NEW met1 ( 69230 5950 ) ( 70150 * ) + NEW li1 ( 71070 4250 ) L1M1_PR + NEW met1 ( 69230 4590 ) M1M2_PR + NEW met1 ( 69230 5950 ) M1M2_PR + NEW li1 ( 70150 5950 ) L1M1_PR ; + - _198_ ( _336_ B ) ( _335_ Y ) + USE SIGNAL + + ROUTED met1 ( 70150 3910 ) ( * 4250 ) + NEW met1 ( 67390 3910 ) ( 70150 * ) + NEW met1 ( 67390 3570 ) ( * 3910 ) + NEW li1 ( 70150 4250 ) L1M1_PR + NEW li1 ( 67390 3570 ) L1M1_PR ; + - _199_ ( _339_ B1 ) ( _338_ Y ) + USE SIGNAL + + ROUTED met2 ( 84870 2210 ) ( * 3910 ) + NEW met1 ( 82110 3910 ) ( 84870 * ) + NEW li1 ( 84870 2210 ) L1M1_PR + NEW met1 ( 84870 2210 ) M1M2_PR + NEW met1 ( 84870 3910 ) M1M2_PR + NEW li1 ( 82110 3910 ) L1M1_PR + NEW met1 ( 84870 2210 ) RECT ( -355 -70 0 70 ) ; + - _200_ ( _342_ A ) ( _340_ Y ) + USE SIGNAL + + ROUTED met1 ( 37030 21250 ) ( 41170 * ) + NEW met2 ( 41170 21250 ) ( * 22950 ) + NEW li1 ( 37030 21250 ) L1M1_PR + NEW met1 ( 41170 21250 ) M1M2_PR + NEW li1 ( 41170 22950 ) L1M1_PR + NEW met1 ( 41170 22950 ) M1M2_PR + NEW met1 ( 41170 22950 ) RECT ( -355 -70 0 70 ) ; + - _201_ ( _355_ A1_N ) ( _342_ B ) ( _341_ Y ) + USE SIGNAL + + ROUTED met1 ( 44850 20230 ) ( 52210 * ) + NEW met1 ( 40710 22610 ) ( 44850 * ) + NEW met2 ( 44850 20230 ) ( * 22610 ) + NEW li1 ( 44850 20230 ) L1M1_PR + NEW li1 ( 52210 20230 ) L1M1_PR + NEW li1 ( 40710 22610 ) L1M1_PR + NEW met1 ( 44850 22610 ) M1M2_PR + NEW met1 ( 44850 20230 ) M1M2_PR + NEW met1 ( 44850 20230 ) RECT ( -595 -70 0 70 ) ; + - _202_ ( _343_ B ) ( _342_ Y ) + USE SIGNAL + + ROUTED met2 ( 41170 23970 ) ( * 25670 ) + NEW met1 ( 41170 25670 ) ( 42090 * ) + NEW li1 ( 41170 23970 ) L1M1_PR + NEW met1 ( 41170 23970 ) M1M2_PR + NEW met1 ( 41170 25670 ) M1M2_PR + NEW li1 ( 42090 25670 ) L1M1_PR + NEW met1 ( 41170 23970 ) RECT ( -355 -70 0 70 ) ; + - _203_ ( _348_ A ) ( _347_ X ) + USE SIGNAL + + ROUTED met1 ( 39790 52870 ) ( * 53210 ) + NEW met1 ( 39790 52870 ) ( 43010 * ) + NEW met1 ( 43010 52530 ) ( * 52870 ) + NEW li1 ( 39790 53210 ) L1M1_PR + NEW li1 ( 43010 52530 ) L1M1_PR ; + - _204_ ( _355_ B2 ) ( _354_ Y ) + USE SIGNAL + + ROUTED met1 ( 56350 18530 ) ( 56810 * ) + NEW met2 ( 56350 18530 ) ( * 20570 ) + NEW li1 ( 56810 18530 ) L1M1_PR + NEW met1 ( 56350 18530 ) M1M2_PR + NEW li1 ( 56350 20570 ) L1M1_PR + NEW met1 ( 56350 20570 ) M1M2_PR + NEW met1 ( 56350 20570 ) RECT ( -355 -70 0 70 ) ; + - _205_ ( _357_ B2 ) ( _356_ Y ) + USE SIGNAL + + ROUTED met2 ( 73370 39270 ) ( * 41650 ) + NEW met1 ( 71530 41650 ) ( 73370 * ) + NEW li1 ( 73370 39270 ) L1M1_PR + NEW met1 ( 73370 39270 ) M1M2_PR + NEW met1 ( 73370 41650 ) M1M2_PR + NEW li1 ( 71530 41650 ) L1M1_PR + NEW met1 ( 73370 39270 ) RECT ( -355 -70 0 70 ) ; + - _206_ ( _363_ A ) ( _361_ Y ) + USE SIGNAL + + ROUTED met2 ( 21850 9690 ) ( * 11390 ) + NEW met1 ( 17710 11390 ) ( 21850 * ) + NEW li1 ( 21850 9690 ) L1M1_PR + NEW met1 ( 21850 9690 ) M1M2_PR + NEW met1 ( 21850 11390 ) M1M2_PR + NEW li1 ( 17710 11390 ) L1M1_PR + NEW met1 ( 21850 9690 ) RECT ( -355 -70 0 70 ) ; + - _207_ ( _365_ B ) ( _364_ Y ) + USE SIGNAL + + ROUTED met2 ( 11270 10370 ) ( * 12410 ) + NEW met1 ( 10810 12410 ) ( 11270 * ) + NEW li1 ( 11270 10370 ) L1M1_PR + NEW met1 ( 11270 10370 ) M1M2_PR + NEW met1 ( 11270 12410 ) M1M2_PR + NEW li1 ( 10810 12410 ) L1M1_PR + NEW met1 ( 11270 10370 ) RECT ( -355 -70 0 70 ) ; + - _208_ ( _368_ B ) ( _367_ Y ) + USE SIGNAL + + ROUTED met1 ( 62330 1530 ) ( * 1870 ) + NEW met1 ( 62330 1870 ) ( 65090 * ) + NEW li1 ( 62330 1530 ) L1M1_PR + NEW li1 ( 65090 1870 ) L1M1_PR ; + - _209_ ( _372_ B ) ( _371_ Y ) + USE SIGNAL + + ROUTED met2 ( 29670 7650 ) ( * 9350 ) + NEW met1 ( 29670 9350 ) ( 32890 * ) + NEW li1 ( 29670 7650 ) L1M1_PR + NEW met1 ( 29670 7650 ) M1M2_PR + NEW met1 ( 29670 9350 ) M1M2_PR + NEW li1 ( 32890 9350 ) L1M1_PR + NEW met1 ( 29670 7650 ) RECT ( -355 -70 0 70 ) ; + - _210_ ( _380_ A ) ( _378_ Y ) + USE SIGNAL + + ROUTED met1 ( 20930 36210 ) ( 21390 * ) + NEW met1 ( 21390 36210 ) ( * 36550 ) + NEW met1 ( 21390 36550 ) ( 22310 * ) + NEW met1 ( 22310 36550 ) ( * 36890 ) + NEW li1 ( 20930 36210 ) L1M1_PR + NEW li1 ( 22310 36890 ) L1M1_PR ; + - _211_ ( _382_ B ) ( _381_ Y ) + USE SIGNAL + + ROUTED met2 ( 13110 32130 ) ( * 36550 ) + NEW li1 ( 13110 32130 ) L1M1_PR + NEW met1 ( 13110 32130 ) M1M2_PR + NEW li1 ( 13110 36550 ) L1M1_PR + NEW met1 ( 13110 36550 ) M1M2_PR + NEW met1 ( 13110 32130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 13110 36550 ) RECT ( -355 -70 0 70 ) ; + - _212_ ( _385_ B ) ( _384_ Y ) + USE SIGNAL + + ROUTED met1 ( 19090 51170 ) ( 19550 * ) + NEW met2 ( 19090 51170 ) ( * 52870 ) + NEW li1 ( 19550 51170 ) L1M1_PR + NEW met1 ( 19090 51170 ) M1M2_PR NEW li1 ( 19090 52870 ) L1M1_PR - NEW met1 ( 17710 51170 ) RECT ( -355 -70 0 70 ) ; - - _188_ ( _371_ B ) ( _370_ Y ) + USE SIGNAL - + ROUTED met1 ( 53590 26350 ) ( 56350 * ) - NEW met2 ( 56350 26350 ) ( * 28730 ) - NEW met1 ( 55890 28730 ) ( 56350 * ) - NEW li1 ( 53590 26350 ) L1M1_PR - NEW met1 ( 56350 26350 ) M1M2_PR - NEW met1 ( 56350 28730 ) M1M2_PR - NEW li1 ( 55890 28730 ) L1M1_PR ; - - _189_ ( _377_ B ) ( _376_ Y ) + USE SIGNAL - + ROUTED met1 ( 70610 28730 ) ( 71530 * ) - NEW met2 ( 70610 28730 ) ( * 30430 ) - NEW li1 ( 71530 28730 ) L1M1_PR - NEW met1 ( 70610 28730 ) M1M2_PR - NEW li1 ( 70610 30430 ) L1M1_PR - NEW met1 ( 70610 30430 ) M1M2_PR - NEW met1 ( 70610 30430 ) RECT ( -355 -70 0 70 ) ; - - _190_ ( _412_ X ) ( _394_ A0 ) ( _393_ A0 ) + USE SIGNAL - + ROUTED met1 ( 57730 52190 ) ( 58190 * ) - NEW met2 ( 57730 43010 ) ( * 52190 ) - NEW met1 ( 58190 52190 ) ( * 53210 ) - NEW met1 ( 58190 53210 ) ( 62330 * ) - NEW li1 ( 62330 53210 ) L1M1_PR - NEW li1 ( 58190 52190 ) L1M1_PR - NEW met1 ( 57730 52190 ) M1M2_PR - NEW li1 ( 57730 43010 ) L1M1_PR - NEW met1 ( 57730 43010 ) M1M2_PR - NEW met1 ( 57730 43010 ) RECT ( -355 -70 0 70 ) ; - - _191_ ( _386_ A0 ) ( _385_ X ) + USE SIGNAL - + ROUTED met1 ( 73830 7650 ) ( 74750 * ) - NEW met2 ( 74750 7650 ) ( * 11390 ) - NEW li1 ( 73830 7650 ) L1M1_PR - NEW met1 ( 74750 7650 ) M1M2_PR - NEW li1 ( 74750 11390 ) L1M1_PR - NEW met1 ( 74750 11390 ) M1M2_PR - NEW met1 ( 74750 11390 ) RECT ( -355 -70 0 70 ) ; - - _192_ ( _382_ A0 ) ( _381_ X ) + USE SIGNAL - + ROUTED met1 ( 84870 4930 ) ( 85330 * ) - NEW met2 ( 84870 4930 ) ( * 9690 ) - NEW met1 ( 84870 9690 ) ( 86250 * ) - NEW li1 ( 85330 4930 ) L1M1_PR - NEW met1 ( 84870 4930 ) M1M2_PR - NEW met1 ( 84870 9690 ) M1M2_PR - NEW li1 ( 86250 9690 ) L1M1_PR ; - - _193_ ( _407_ A0 ) ( _406_ X ) + USE SIGNAL - + ROUTED met1 ( 63250 10370 ) ( 65550 * ) - NEW li1 ( 65550 10370 ) L1M1_PR - NEW li1 ( 63250 10370 ) L1M1_PR ; - - _194_ ( _405_ A0 ) ( _404_ X ) + USE SIGNAL - + ROUTED met1 ( 33810 15810 ) ( 36570 * ) - NEW li1 ( 36570 15810 ) L1M1_PR - NEW li1 ( 33810 15810 ) L1M1_PR ; - - _195_ ( _402_ A0 ) ( _401_ X ) + USE SIGNAL - + ROUTED met1 ( 33350 17510 ) ( 36570 * ) - NEW met2 ( 36570 17510 ) ( * 19550 ) - NEW li1 ( 33350 17510 ) L1M1_PR - NEW met1 ( 36570 17510 ) M1M2_PR - NEW li1 ( 36570 19550 ) L1M1_PR - NEW met1 ( 36570 19550 ) M1M2_PR - NEW met1 ( 36570 19550 ) RECT ( -355 -70 0 70 ) ; - - _196_ ( _399_ A0 ) ( _398_ X ) + USE SIGNAL - + ROUTED met1 ( 45770 21250 ) ( 48530 * ) - NEW li1 ( 48530 21250 ) L1M1_PR - NEW li1 ( 45770 21250 ) L1M1_PR ; - - _197_ ( _384_ A0 ) ( _383_ X ) + USE SIGNAL - + ROUTED met2 ( 14950 39270 ) ( * 41310 ) - NEW li1 ( 14950 39270 ) L1M1_PR - NEW met1 ( 14950 39270 ) M1M2_PR - NEW li1 ( 14950 41310 ) L1M1_PR - NEW met1 ( 14950 41310 ) M1M2_PR - NEW met1 ( 14950 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 14950 41310 ) RECT ( -355 -70 0 70 ) ; - - _198_ ( _409_ A0 ) ( _408_ X ) + USE SIGNAL - + ROUTED met2 ( 26450 43010 ) ( * 44030 ) - NEW met1 ( 25070 44030 ) ( 26450 * ) - NEW li1 ( 26450 43010 ) L1M1_PR - NEW met1 ( 26450 43010 ) M1M2_PR - NEW met1 ( 26450 44030 ) M1M2_PR - NEW li1 ( 25070 44030 ) L1M1_PR - NEW met1 ( 26450 43010 ) RECT ( -355 -70 0 70 ) ; - - _199_ ( _396_ A0 ) ( _395_ X ) + USE SIGNAL - + ROUTED met2 ( 20930 43010 ) ( * 44030 ) - NEW met1 ( 20470 44030 ) ( 20930 * ) - NEW li1 ( 20930 43010 ) L1M1_PR - NEW met1 ( 20930 43010 ) M1M2_PR - NEW met1 ( 20930 44030 ) M1M2_PR - NEW li1 ( 20470 44030 ) L1M1_PR - NEW met1 ( 20930 43010 ) RECT ( -355 -70 0 70 ) ; - - _200_ ( _380_ A0 ) ( _379_ X ) + USE SIGNAL - + ROUTED met1 ( 36570 33490 ) ( 42550 * ) - NEW met2 ( 42550 33490 ) ( * 35870 ) - NEW li1 ( 36570 33490 ) L1M1_PR - NEW met1 ( 42550 33490 ) M1M2_PR - NEW li1 ( 42550 35870 ) L1M1_PR - NEW met1 ( 42550 35870 ) M1M2_PR - NEW met1 ( 42550 35870 ) RECT ( -355 -70 0 70 ) ; - - _201_ ( _392_ A0 ) ( _391_ X ) + USE SIGNAL - + ROUTED met2 ( 35650 30770 ) ( * 36890 ) - NEW met1 ( 35650 36890 ) ( 38870 * ) - NEW li1 ( 35650 30770 ) L1M1_PR - NEW met1 ( 35650 30770 ) M1M2_PR - NEW met1 ( 35650 36890 ) M1M2_PR - NEW li1 ( 38870 36890 ) L1M1_PR - NEW met1 ( 35650 30770 ) RECT ( -355 -70 0 70 ) ; - - _202_ ( _389_ A0 ) ( _388_ X ) + USE SIGNAL - + ROUTED met1 ( 51750 32130 ) ( 53130 * ) - NEW met2 ( 53130 32130 ) ( * 33150 ) - NEW met1 ( 53130 33150 ) ( 54510 * ) - NEW li1 ( 51750 32130 ) L1M1_PR - NEW met1 ( 53130 32130 ) M1M2_PR - NEW met1 ( 53130 33150 ) M1M2_PR - NEW li1 ( 54510 33150 ) L1M1_PR ; + NEW met1 ( 19090 52870 ) M1M2_PR + NEW met1 ( 19090 52870 ) RECT ( -355 -70 0 70 ) ; + - _213_ ( _389_ B ) ( _388_ Y ) + USE SIGNAL + + ROUTED met1 ( 34270 41990 ) ( * 42330 ) + NEW met1 ( 34270 42330 ) ( 40250 * ) + NEW met1 ( 40250 42330 ) ( * 42670 ) + NEW met1 ( 40250 42670 ) ( 52670 * ) + NEW met2 ( 52670 42670 ) ( * 45390 ) + NEW li1 ( 34270 41990 ) L1M1_PR + NEW met1 ( 52670 42670 ) M1M2_PR + NEW li1 ( 52670 45390 ) L1M1_PR + NEW met1 ( 52670 45390 ) M1M2_PR + NEW met1 ( 52670 45390 ) RECT ( -355 -70 0 70 ) ; + - _214_ ( _395_ B ) ( _394_ Y ) + USE SIGNAL + + ROUTED met1 ( 84870 25670 ) ( 85790 * ) + NEW met2 ( 84870 25670 ) ( * 29410 ) + NEW met1 ( 80270 29410 ) ( 84870 * ) + NEW li1 ( 85790 25670 ) L1M1_PR + NEW met1 ( 84870 25670 ) M1M2_PR + NEW met1 ( 84870 29410 ) M1M2_PR + NEW li1 ( 80270 29410 ) L1M1_PR ; - clknet_0_ext_clk ( clkbuf_1_1_0_ext_clk A ) ( clkbuf_1_0_0_ext_clk A ) ( clkbuf_0_ext_clk X ) + USE CLOCK - + ROUTED met1 ( 62100 50150 ) ( 64630 * ) - NEW met1 ( 54050 50830 ) ( 60950 * ) - NEW met1 ( 54050 50830 ) ( * 51170 ) - NEW met1 ( 38410 51170 ) ( 54050 * ) - NEW met2 ( 38410 51170 ) ( * 53210 ) - NEW met1 ( 62100 50150 ) ( * 50830 ) - NEW met1 ( 60950 50830 ) ( 62100 * ) - NEW li1 ( 64630 50150 ) L1M1_PR - NEW li1 ( 60950 50830 ) L1M1_PR - NEW met1 ( 38410 51170 ) M1M2_PR - NEW li1 ( 38410 53210 ) L1M1_PR - NEW met1 ( 38410 53210 ) M1M2_PR - NEW met1 ( 38410 53210 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met2 ( 56350 48450 ) ( * 52870 ) + NEW met1 ( 50830 52870 ) ( 56350 * ) + NEW met1 ( 50830 52870 ) ( * 53210 ) + NEW met1 ( 40710 53210 ) ( 50830 * ) + NEW met1 ( 56350 48110 ) ( 61410 * ) + NEW met1 ( 56350 48110 ) ( * 48450 ) + NEW li1 ( 56350 48450 ) L1M1_PR + NEW met1 ( 56350 48450 ) M1M2_PR + NEW met1 ( 56350 52870 ) M1M2_PR + NEW li1 ( 40710 53210 ) L1M1_PR + NEW li1 ( 61410 48110 ) L1M1_PR + NEW met1 ( 56350 48450 ) RECT ( -355 -70 0 70 ) ; - clknet_0_pll_clk ( clkbuf_1_1_0_pll_clk A ) ( clkbuf_1_0_0_pll_clk A ) ( clkbuf_0_pll_clk X ) + USE CLOCK - + ROUTED met1 ( 41170 30430 ) ( 45770 * ) - NEW met2 ( 45770 26350 ) ( * 30430 ) - NEW met1 ( 45770 26350 ) ( 51750 * ) - NEW met1 ( 33350 26350 ) ( * 26690 ) - NEW met1 ( 33350 26690 ) ( 45770 * ) - NEW met1 ( 45770 26350 ) ( * 26690 ) - NEW li1 ( 41170 30430 ) L1M1_PR - NEW met1 ( 45770 30430 ) M1M2_PR - NEW met1 ( 45770 26350 ) M1M2_PR - NEW li1 ( 51750 26350 ) L1M1_PR - NEW li1 ( 33350 26350 ) L1M1_PR ; + + ROUTED met1 ( 45770 27710 ) ( 46690 * ) + NEW met2 ( 46690 26350 ) ( * 27710 ) + NEW met1 ( 46690 26350 ) ( 56350 * ) + NEW met1 ( 34730 26350 ) ( 46690 * ) + NEW li1 ( 45770 27710 ) L1M1_PR + NEW met1 ( 46690 27710 ) M1M2_PR + NEW met1 ( 46690 26350 ) M1M2_PR + NEW li1 ( 56350 26350 ) L1M1_PR + NEW li1 ( 34730 26350 ) L1M1_PR ; - clknet_0_pll_clk90 ( clkbuf_1_1_0_pll_clk90 A ) ( clkbuf_1_0_0_pll_clk90 A ) ( clkbuf_0_pll_clk90 X ) + USE CLOCK - + ROUTED met1 ( 42090 34170 ) ( 42550 * ) - NEW met2 ( 42090 34170 ) ( * 38930 ) - NEW met1 ( 33350 38930 ) ( 42090 * ) - NEW met1 ( 42090 37230 ) ( 53130 * ) - NEW li1 ( 42550 34170 ) L1M1_PR - NEW met1 ( 42090 34170 ) M1M2_PR - NEW met1 ( 42090 38930 ) M1M2_PR - NEW li1 ( 33350 38930 ) L1M1_PR - NEW li1 ( 53130 37230 ) L1M1_PR - NEW met1 ( 42090 37230 ) M1M2_PR - NEW met2 ( 42090 37230 ) RECT ( -70 -485 70 0 ) ; - - clknet_1_0_0_ext_clk ( _343_ A1 ) ( clkbuf_1_0_0_ext_clk X ) + USE CLOCK - + ROUTED met1 ( 39330 53550 ) ( 45310 * ) + + ROUTED met2 ( 44390 39610 ) ( * 45050 ) + NEW met1 ( 33350 45050 ) ( 44390 * ) + NEW met1 ( 33350 44710 ) ( * 45050 ) + NEW met1 ( 44390 37230 ) ( 56810 * ) + NEW met1 ( 44390 37230 ) ( * 37570 ) + NEW met2 ( 44390 37570 ) ( * 39610 ) + NEW li1 ( 44390 39610 ) L1M1_PR + NEW met1 ( 44390 39610 ) M1M2_PR + NEW met1 ( 44390 45050 ) M1M2_PR + NEW li1 ( 33350 44710 ) L1M1_PR + NEW li1 ( 56810 37230 ) L1M1_PR + NEW met1 ( 44390 37570 ) M1M2_PR + NEW met1 ( 44390 39610 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_0_0_ext_clk ( _347_ A1 ) ( clkbuf_1_0_0_ext_clk X ) + USE CLOCK + + ROUTED met1 ( 41630 53550 ) ( 45310 * ) NEW li1 ( 45310 53550 ) L1M1_PR - NEW li1 ( 39330 53550 ) L1M1_PR ; - - clknet_1_0_0_pll_clk ( _420_ CLK ) ( _439_ CLK ) ( _444_ CLK ) ( _445_ CLK ) ( _446_ CLK ) ( _448_ CLK_N ) ( _450_ CLK_N ) - ( _451_ CLK ) ( _452_ CLK ) ( _453_ CLK ) ( _298__4 A ) ( clkbuf_1_0_0_pll_clk X ) + USE CLOCK - + ROUTED met2 ( 8970 6630 ) ( * 9010 ) - NEW met1 ( 1610 8670 ) ( * 9350 ) - NEW met1 ( 1610 8670 ) ( 8970 * ) - NEW met1 ( 8970 8670 ) ( * 9010 ) - NEW met2 ( 1610 15130 ) ( * 20230 ) - NEW met2 ( 1610 9350 ) ( * 15130 ) - NEW met1 ( 27830 12410 ) ( 29670 * ) - NEW met1 ( 26910 4250 ) ( 27370 * ) - NEW met1 ( 27370 4250 ) ( * 4590 ) - NEW met2 ( 27370 4590 ) ( * 5100 ) - NEW met2 ( 27370 5100 ) ( 27830 * ) - NEW met2 ( 27830 5100 ) ( * 12410 ) - NEW met1 ( 17710 9690 ) ( * 10030 ) - NEW met1 ( 17710 10030 ) ( 27830 * ) - NEW met1 ( 17710 9010 ) ( * 9690 ) - NEW met1 ( 8970 9010 ) ( 17710 * ) - NEW met2 ( 37950 26010 ) ( * 47430 ) - NEW met1 ( 37950 47430 ) ( 39790 * ) - NEW met1 ( 32430 26010 ) ( 37950 * ) - NEW met1 ( 24610 20570 ) ( * 21250 ) - NEW met1 ( 24610 21250 ) ( 30130 * ) - NEW met2 ( 30130 21250 ) ( * 26010 ) - NEW met1 ( 30130 26010 ) ( 32430 * ) - NEW met1 ( 18630 23290 ) ( 30130 * ) - NEW met2 ( 27830 12410 ) ( * 21250 ) - NEW li1 ( 8970 6630 ) L1M1_PR - NEW met1 ( 8970 6630 ) M1M2_PR - NEW met1 ( 8970 9010 ) M1M2_PR - NEW li1 ( 1610 9350 ) L1M1_PR - NEW met1 ( 1610 9350 ) M1M2_PR + NEW li1 ( 41630 53550 ) L1M1_PR ; + - clknet_1_0_0_pll_clk ( _444_ CLK ) ( _468_ CLK ) ( _469_ CLK ) ( _470_ CLK ) ( _472_ CLK_N ) ( _474_ CLK_N ) ( _476_ CLK ) + ( _477_ CLK ) ( _478_ CLK ) ( _300__4 A ) ( clkbuf_1_0_0_pll_clk X ) + USE CLOCK + + ROUTED met2 ( 6670 6630 ) ( * 15810 ) + NEW met2 ( 6670 15810 ) ( * 22950 ) + NEW met1 ( 4370 6630 ) ( 6670 * ) + NEW met1 ( 2530 20570 ) ( 4830 * ) + NEW met1 ( 4830 20230 ) ( * 20570 ) + NEW met1 ( 4830 20230 ) ( 6670 * ) + NEW met1 ( 1610 15130 ) ( * 15810 ) + NEW met1 ( 1610 15810 ) ( 6670 * ) + NEW met1 ( 24610 4250 ) ( 25990 * ) + NEW met1 ( 34270 26690 ) ( 37490 * ) + NEW met2 ( 37490 26690 ) ( * 41990 ) + NEW met2 ( 30590 23290 ) ( * 26690 ) + NEW met1 ( 30590 26690 ) ( 34270 * ) + NEW met2 ( 42550 17510 ) ( * 26690 ) + NEW met1 ( 37490 26690 ) ( 42550 * ) + NEW met1 ( 25990 15130 ) ( * 15810 ) + NEW met1 ( 25990 15810 ) ( 30590 * ) + NEW met2 ( 30590 15810 ) ( * 23290 ) + NEW met1 ( 6670 15810 ) ( 25990 * ) + NEW met2 ( 25990 4250 ) ( * 15130 ) + NEW li1 ( 6670 6630 ) L1M1_PR + NEW met1 ( 6670 6630 ) M1M2_PR + NEW met1 ( 6670 15810 ) M1M2_PR + NEW li1 ( 6670 22950 ) L1M1_PR + NEW met1 ( 6670 22950 ) M1M2_PR + NEW li1 ( 4370 6630 ) L1M1_PR + NEW li1 ( 2530 20570 ) L1M1_PR + NEW met1 ( 6670 20230 ) M1M2_PR NEW li1 ( 1610 15130 ) L1M1_PR - NEW met1 ( 1610 15130 ) M1M2_PR - NEW li1 ( 1610 20230 ) L1M1_PR - NEW met1 ( 1610 20230 ) M1M2_PR - NEW li1 ( 29670 12410 ) L1M1_PR - NEW met1 ( 27830 12410 ) M1M2_PR - NEW li1 ( 26910 4250 ) L1M1_PR - NEW met1 ( 27370 4590 ) M1M2_PR - NEW li1 ( 17710 9690 ) L1M1_PR - NEW met1 ( 27830 10030 ) M1M2_PR - NEW li1 ( 37950 26010 ) L1M1_PR - NEW met1 ( 37950 26010 ) M1M2_PR - NEW met1 ( 37950 47430 ) M1M2_PR - NEW li1 ( 39790 47430 ) L1M1_PR - NEW li1 ( 32430 26010 ) L1M1_PR - NEW li1 ( 24610 20570 ) L1M1_PR - NEW met1 ( 30130 21250 ) M1M2_PR - NEW met1 ( 30130 26010 ) M1M2_PR - NEW li1 ( 18630 23290 ) L1M1_PR - NEW met1 ( 30130 23290 ) M1M2_PR - NEW met1 ( 27830 21250 ) M1M2_PR - NEW met1 ( 8970 6630 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 1610 9350 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 1610 15130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 1610 20230 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 27830 10030 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 37950 26010 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 30130 23290 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27830 21250 ) RECT ( -595 -70 0 70 ) ; - - clknet_1_0_0_pll_clk90 ( _418_ CLK ) ( _419_ CLK ) ( _456_ CLK_N ) ( _458_ CLK_N ) ( _460_ CLK_N ) ( _462_ CLK_N ) ( _463_ CLK ) - ( _464_ CLK ) ( _239__1 A ) ( _244__2 A ) ( _258__3 A ) ( clkbuf_1_0_0_pll_clk90 X ) + USE CLOCK - + ROUTED met1 ( 4830 28390 ) ( * 28730 ) + NEW met1 ( 25990 4250 ) M1M2_PR + NEW li1 ( 24610 4250 ) L1M1_PR + NEW li1 ( 34270 26690 ) L1M1_PR + NEW met1 ( 37490 26690 ) M1M2_PR + NEW li1 ( 37490 41990 ) L1M1_PR + NEW met1 ( 37490 41990 ) M1M2_PR + NEW li1 ( 30590 23290 ) L1M1_PR + NEW met1 ( 30590 23290 ) M1M2_PR + NEW met1 ( 30590 26690 ) M1M2_PR + NEW li1 ( 42550 17510 ) L1M1_PR + NEW met1 ( 42550 17510 ) M1M2_PR + NEW met1 ( 42550 26690 ) M1M2_PR + NEW li1 ( 25990 15130 ) L1M1_PR + NEW met1 ( 30590 15810 ) M1M2_PR + NEW met1 ( 25990 15130 ) M1M2_PR + NEW met1 ( 6670 6630 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 6670 22950 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 6670 20230 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 37490 41990 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 30590 23290 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 42550 17510 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 25990 15130 ) RECT ( -595 -70 0 70 ) ; + - clknet_1_0_0_pll_clk90 ( _442_ CLK ) ( _480_ CLK_N ) ( _482_ CLK_N ) ( _484_ CLK_N ) ( _486_ CLK_N ) ( _488_ CLK ) ( _489_ CLK ) + ( _247__1 A ) ( _252__2 A ) ( _267__3 A ) ( clkbuf_1_0_0_pll_clk90 X ) + USE CLOCK + + ROUTED met1 ( 5290 28390 ) ( * 28730 ) NEW met2 ( 1610 28730 ) ( * 31110 ) - NEW met1 ( 1610 28730 ) ( 4830 * ) - NEW met2 ( 1610 31110 ) ( * 36550 ) - NEW met2 ( 1610 36550 ) ( * 41990 ) - NEW met2 ( 1610 41990 ) ( * 47430 ) - NEW met1 ( 1610 53210 ) ( 7590 * ) - NEW met2 ( 1610 47430 ) ( * 53210 ) - NEW met1 ( 20470 28730 ) ( * 29070 ) - NEW met1 ( 18170 29070 ) ( 20470 * ) - NEW met1 ( 18170 28730 ) ( * 29070 ) - NEW met1 ( 20470 28730 ) ( 30590 * ) - NEW met1 ( 30590 39270 ) ( 32430 * ) - NEW met2 ( 30590 28730 ) ( * 39270 ) - NEW met1 ( 28750 41310 ) ( * 41990 ) - NEW met1 ( 28750 41310 ) ( 30590 * ) - NEW met2 ( 30590 39270 ) ( * 41310 ) - NEW met1 ( 30590 44710 ) ( 34270 * ) - NEW met2 ( 30590 41310 ) ( * 44710 ) - NEW met1 ( 30590 47770 ) ( 31510 * ) - NEW met2 ( 30590 44710 ) ( * 47770 ) - NEW met1 ( 4830 28730 ) ( 18170 * ) - NEW li1 ( 4830 28390 ) L1M1_PR + NEW met1 ( 1610 28730 ) ( 5290 * ) + NEW met1 ( 1610 50150 ) ( 2530 * ) + NEW met2 ( 1610 41990 ) ( * 50150 ) + NEW met1 ( 2530 50490 ) ( 8510 * ) + NEW met1 ( 2530 50150 ) ( * 50490 ) + NEW met2 ( 1610 31110 ) ( * 41990 ) + NEW met1 ( 34730 28730 ) ( * 29070 ) + NEW met1 ( 31510 29070 ) ( 34730 * ) + NEW met2 ( 31510 28900 ) ( * 29070 ) + NEW met2 ( 30590 28900 ) ( 31510 * ) + NEW met2 ( 30590 28730 ) ( * 28900 ) + NEW met1 ( 20930 28730 ) ( 30590 * ) + NEW met1 ( 30590 39270 ) ( 31510 * ) + NEW met2 ( 30590 28900 ) ( * 39270 ) + NEW met1 ( 30590 44370 ) ( 32430 * ) + NEW met2 ( 30590 39270 ) ( * 44370 ) + NEW met1 ( 30590 47430 ) ( 37950 * ) + NEW met2 ( 30590 44370 ) ( * 47430 ) + NEW met1 ( 30590 53210 ) ( 31510 * ) + NEW met2 ( 30590 47430 ) ( * 53210 ) + NEW met1 ( 5290 28730 ) ( 20930 * ) + NEW li1 ( 5290 28390 ) L1M1_PR NEW li1 ( 1610 31110 ) L1M1_PR NEW met1 ( 1610 31110 ) M1M2_PR NEW met1 ( 1610 28730 ) M1M2_PR - NEW li1 ( 1610 36550 ) L1M1_PR - NEW met1 ( 1610 36550 ) M1M2_PR NEW li1 ( 1610 41990 ) L1M1_PR NEW met1 ( 1610 41990 ) M1M2_PR - NEW li1 ( 1610 47430 ) L1M1_PR - NEW met1 ( 1610 47430 ) M1M2_PR - NEW li1 ( 7590 53210 ) L1M1_PR - NEW met1 ( 1610 53210 ) M1M2_PR - NEW li1 ( 20470 28730 ) L1M1_PR - NEW li1 ( 30590 28730 ) L1M1_PR - NEW li1 ( 32430 39270 ) L1M1_PR - NEW met1 ( 30590 39270 ) M1M2_PR + NEW li1 ( 2530 50150 ) L1M1_PR + NEW met1 ( 1610 50150 ) M1M2_PR + NEW li1 ( 8510 50490 ) L1M1_PR + NEW li1 ( 20930 28730 ) L1M1_PR + NEW li1 ( 34730 28730 ) L1M1_PR + NEW met1 ( 31510 29070 ) M1M2_PR NEW met1 ( 30590 28730 ) M1M2_PR - NEW li1 ( 28750 41990 ) L1M1_PR - NEW met1 ( 30590 41310 ) M1M2_PR - NEW li1 ( 34270 44710 ) L1M1_PR - NEW met1 ( 30590 44710 ) M1M2_PR - NEW li1 ( 31510 47770 ) L1M1_PR - NEW met1 ( 30590 47770 ) M1M2_PR + NEW li1 ( 31510 39270 ) L1M1_PR + NEW met1 ( 30590 39270 ) M1M2_PR + NEW li1 ( 32430 44370 ) L1M1_PR + NEW met1 ( 30590 44370 ) M1M2_PR + NEW li1 ( 37950 47430 ) L1M1_PR + NEW met1 ( 30590 47430 ) M1M2_PR + NEW li1 ( 31510 53210 ) L1M1_PR + NEW met1 ( 30590 53210 ) M1M2_PR NEW met1 ( 1610 31110 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 1610 36550 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 1610 41990 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 1610 47430 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30590 28730 ) RECT ( -595 -70 0 70 ) ; - - clknet_1_1_0_ext_clk ( _412_ A0 ) ( clkbuf_1_1_0_ext_clk X ) + USE CLOCK - + ROUTED met2 ( 56350 51170 ) ( * 53210 ) - NEW met1 ( 56350 51170 ) ( 64170 * ) - NEW li1 ( 64170 51170 ) L1M1_PR - NEW met1 ( 56350 51170 ) M1M2_PR - NEW li1 ( 56350 53210 ) L1M1_PR - NEW met1 ( 56350 53210 ) M1M2_PR - NEW met1 ( 56350 53210 ) RECT ( -355 -70 0 70 ) ; - - clknet_1_1_0_pll_clk ( _411_ A1 ) ( _414_ CLK ) ( _415_ CLK ) ( _416_ CLK ) ( _424_ CLK ) ( _425_ CLK ) ( _426_ CLK ) - ( _440_ CLK_N ) ( _442_ CLK_N ) ( _443_ CLK ) ( _454_ CLK ) ( _302__5 A ) ( _330__6 A ) ( clkbuf_1_1_0_pll_clk X ) + USE CLOCK - + ROUTED met1 ( 73830 3910 ) ( 81190 * ) - NEW met2 ( 81190 1190 ) ( * 3910 ) - NEW met2 ( 72450 11220 ) ( 72910 * ) - NEW met2 ( 72910 3910 ) ( * 11220 ) - NEW met1 ( 72910 3910 ) ( 73830 * ) - NEW met1 ( 63250 6290 ) ( * 6630 ) - NEW met1 ( 63250 6290 ) ( 72910 * ) - NEW met2 ( 69230 14110 ) ( * 17510 ) - NEW met1 ( 69230 15130 ) ( 72450 * ) - NEW met2 ( 69230 17510 ) ( * 25670 ) - NEW met2 ( 72450 11220 ) ( * 15130 ) - NEW met1 ( 44390 12410 ) ( 48530 * ) - NEW met1 ( 44850 9690 ) ( * 10030 ) - NEW met1 ( 44850 10030 ) ( 48530 * ) - NEW met2 ( 48530 10030 ) ( * 12410 ) - NEW met1 ( 49910 47770 ) ( 50830 * ) - NEW met2 ( 49910 47770 ) ( * 50490 ) - NEW met1 ( 44850 50490 ) ( 49910 * ) - NEW met1 ( 49910 26690 ) ( 52210 * ) - NEW met2 ( 49910 26690 ) ( * 47770 ) + NEW met1 ( 1610 41990 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_1_0_ext_clk ( _436_ A0 ) ( clkbuf_1_1_0_ext_clk X ) + USE CLOCK + + ROUTED met1 ( 54510 43010 ) ( 60950 * ) + NEW met2 ( 60950 43010 ) ( * 46750 ) + NEW li1 ( 54510 43010 ) L1M1_PR + NEW met1 ( 60950 43010 ) M1M2_PR + NEW li1 ( 60950 46750 ) L1M1_PR + NEW met1 ( 60950 46750 ) M1M2_PR + NEW met1 ( 60950 46750 ) RECT ( -355 -70 0 70 ) ; + - clknet_1_1_0_pll_clk ( _435_ A1 ) ( _438_ CLK ) ( _439_ CLK ) ( _440_ CLK ) ( _448_ CLK ) ( _449_ CLK ) ( _450_ CLK ) + ( _463_ CLK ) ( _464_ CLK_N ) ( _466_ CLK_N ) ( _467_ CLK ) ( _475_ CLK ) ( _305__5 A ) ( _333__6 A ) ( clkbuf_1_1_0_pll_clk X ) + USE CLOCK + + ROUTED met1 ( 71530 6290 ) ( * 6630 ) + NEW met1 ( 68770 6290 ) ( 71530 * ) + NEW met2 ( 68770 4930 ) ( * 6290 ) + NEW met1 ( 67390 12410 ) ( 68770 * ) + NEW met2 ( 68770 6290 ) ( * 12410 ) + NEW met1 ( 66470 12410 ) ( 67390 * ) + NEW met1 ( 68770 12410 ) ( 78430 * ) + NEW met1 ( 80730 6290 ) ( * 6630 ) + NEW met1 ( 71530 6290 ) ( 80730 * ) + NEW met1 ( 80730 9350 ) ( 84410 * ) + NEW met2 ( 80730 6630 ) ( * 9350 ) + NEW met2 ( 66470 17850 ) ( * 26350 ) + NEW met1 ( 66470 26350 ) ( 69230 * ) + NEW met1 ( 69230 26010 ) ( * 26350 ) + NEW met1 ( 62790 17510 ) ( * 17850 ) + NEW met1 ( 62790 17850 ) ( 66470 * ) + NEW met2 ( 66470 12410 ) ( * 17850 ) + NEW met1 ( 49450 4250 ) ( * 4930 ) + NEW met1 ( 45770 4250 ) ( * 4590 ) + NEW met1 ( 45770 4590 ) ( 49450 * ) + NEW met1 ( 49450 4930 ) ( 68770 * ) + NEW met1 ( 44850 50490 ) ( 54510 * ) + NEW met1 ( 46230 26690 ) ( 56810 * ) + NEW met2 ( 46230 26690 ) ( * 50490 ) NEW met2 ( 56810 23290 ) ( * 26690 ) - NEW met1 ( 52210 26690 ) ( 56810 * ) - NEW met1 ( 56810 22950 ) ( 58650 * ) + NEW met1 ( 44390 23290 ) ( 45770 * ) + NEW met2 ( 45770 23290 ) ( * 26690 ) + NEW met2 ( 45770 26690 ) ( 46230 * ) NEW met1 ( 56810 22950 ) ( * 23290 ) - NEW met2 ( 48530 26180 ) ( 49450 * ) - NEW met2 ( 49450 26180 ) ( * 26690 ) - NEW met2 ( 49450 26690 ) ( 49910 * ) - NEW met2 ( 61410 15130 ) ( * 22950 ) - NEW met1 ( 58650 22950 ) ( 61410 * ) - NEW met1 ( 61410 14110 ) ( * 15130 ) - NEW met2 ( 48530 12410 ) ( * 26180 ) - NEW met1 ( 61410 14110 ) ( 69230 * ) - NEW li1 ( 73830 3910 ) L1M1_PR - NEW met1 ( 81190 3910 ) M1M2_PR - NEW li1 ( 81190 1190 ) L1M1_PR - NEW met1 ( 81190 1190 ) M1M2_PR - NEW met1 ( 72910 3910 ) M1M2_PR - NEW li1 ( 63250 6630 ) L1M1_PR - NEW met1 ( 72910 6290 ) M1M2_PR - NEW li1 ( 69230 17510 ) L1M1_PR - NEW met1 ( 69230 17510 ) M1M2_PR - NEW met1 ( 69230 14110 ) M1M2_PR - NEW li1 ( 72450 15130 ) L1M1_PR - NEW met1 ( 69230 15130 ) M1M2_PR - NEW met1 ( 72450 15130 ) M1M2_PR - NEW li1 ( 69230 25670 ) L1M1_PR - NEW met1 ( 69230 25670 ) M1M2_PR - NEW li1 ( 44390 12410 ) L1M1_PR - NEW met1 ( 48530 12410 ) M1M2_PR - NEW li1 ( 44850 9690 ) L1M1_PR - NEW met1 ( 48530 10030 ) M1M2_PR - NEW li1 ( 50830 47770 ) L1M1_PR - NEW met1 ( 49910 47770 ) M1M2_PR - NEW met1 ( 49910 50490 ) M1M2_PR + NEW met1 ( 56810 22950 ) ( 66470 * ) + NEW li1 ( 71530 6630 ) L1M1_PR + NEW met1 ( 68770 6290 ) M1M2_PR + NEW met1 ( 68770 4930 ) M1M2_PR + NEW li1 ( 67390 12410 ) L1M1_PR + NEW met1 ( 68770 12410 ) M1M2_PR + NEW met1 ( 66470 12410 ) M1M2_PR + NEW li1 ( 78430 12410 ) L1M1_PR + NEW li1 ( 80730 6630 ) L1M1_PR + NEW li1 ( 84410 9350 ) L1M1_PR + NEW met1 ( 80730 9350 ) M1M2_PR + NEW met1 ( 80730 6630 ) M1M2_PR + NEW li1 ( 66470 17850 ) L1M1_PR + NEW met1 ( 66470 17850 ) M1M2_PR + NEW met1 ( 66470 26350 ) M1M2_PR + NEW li1 ( 69230 26010 ) L1M1_PR + NEW li1 ( 62790 17510 ) L1M1_PR + NEW met1 ( 66470 22950 ) M1M2_PR + NEW li1 ( 49450 4250 ) L1M1_PR + NEW li1 ( 45770 4250 ) L1M1_PR + NEW li1 ( 54510 50490 ) L1M1_PR NEW li1 ( 44850 50490 ) L1M1_PR - NEW li1 ( 52210 26690 ) L1M1_PR - NEW met1 ( 49910 26690 ) M1M2_PR + NEW li1 ( 56810 26690 ) L1M1_PR + NEW met1 ( 46230 26690 ) M1M2_PR + NEW met1 ( 46230 50490 ) M1M2_PR NEW li1 ( 56810 23290 ) L1M1_PR NEW met1 ( 56810 23290 ) M1M2_PR NEW met1 ( 56810 26690 ) M1M2_PR - NEW li1 ( 58650 22950 ) L1M1_PR - NEW li1 ( 61410 15130 ) L1M1_PR - NEW met1 ( 61410 15130 ) M1M2_PR - NEW met1 ( 61410 22950 ) M1M2_PR - NEW met1 ( 81190 1190 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 72910 6290 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 69230 17510 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 69230 15130 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 72450 15130 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 69230 25670 ) RECT ( -355 -70 0 70 ) + NEW li1 ( 44390 23290 ) L1M1_PR + NEW met1 ( 45770 23290 ) M1M2_PR + NEW met1 ( 80730 6630 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 66470 17850 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 66470 22950 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 46230 50490 ) RECT ( -595 -70 0 70 ) NEW met1 ( 56810 23290 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 61410 15130 ) RECT ( -355 -70 0 70 ) ; - - clknet_1_1_0_pll_clk90 ( _413_ A1 ) ( _417_ CLK ) ( _455_ CLK ) ( _465_ CLK ) ( _466_ CLK ) ( _467_ CLK ) ( _468_ CLK ) - ( _469_ CLK ) ( _470_ CLK ) ( clkbuf_1_1_0_pll_clk90 X ) + USE CLOCK - + ROUTED met1 ( 42550 44710 ) ( 43470 * ) - NEW met1 ( 50370 45050 ) ( 54510 * ) - NEW met1 ( 50370 44710 ) ( * 45050 ) - NEW met1 ( 43470 44710 ) ( 50370 * ) - NEW met2 ( 53590 28730 ) ( * 34170 ) - NEW met1 ( 53590 34170 ) ( 54510 * ) - NEW met2 ( 54510 34170 ) ( * 35700 ) - NEW met2 ( 53590 35700 ) ( 54510 * ) - NEW met2 ( 53590 35700 ) ( * 37570 ) - NEW met1 ( 53590 31450 ) ( 60490 * ) - NEW met1 ( 69230 38930 ) ( 71070 * ) - NEW met2 ( 69230 32130 ) ( * 38930 ) - NEW met1 ( 60490 32130 ) ( 69230 * ) - NEW met1 ( 60490 31450 ) ( * 32130 ) - NEW met1 ( 80270 28050 ) ( * 28390 ) - NEW met1 ( 69230 28050 ) ( 80270 * ) - NEW met2 ( 69230 28050 ) ( * 32130 ) - NEW met2 ( 81650 28390 ) ( * 36550 ) - NEW met1 ( 80270 28390 ) ( 81650 * ) - NEW met2 ( 75210 23290 ) ( * 28050 ) - NEW met2 ( 42550 39610 ) ( * 44710 ) - NEW met2 ( 53590 37570 ) ( * 45050 ) - NEW met1 ( 42550 44710 ) M1M2_PR - NEW li1 ( 43470 44710 ) L1M1_PR - NEW li1 ( 54510 45050 ) L1M1_PR - NEW met1 ( 53590 45050 ) M1M2_PR - NEW li1 ( 53590 37570 ) L1M1_PR - NEW met1 ( 53590 37570 ) M1M2_PR - NEW li1 ( 53590 28730 ) L1M1_PR - NEW met1 ( 53590 28730 ) M1M2_PR - NEW met1 ( 53590 34170 ) M1M2_PR - NEW met1 ( 54510 34170 ) M1M2_PR - NEW li1 ( 60490 31450 ) L1M1_PR - NEW met1 ( 53590 31450 ) M1M2_PR - NEW li1 ( 71070 38930 ) L1M1_PR - NEW met1 ( 69230 38930 ) M1M2_PR - NEW met1 ( 69230 32130 ) M1M2_PR - NEW li1 ( 80270 28390 ) L1M1_PR - NEW met1 ( 69230 28050 ) M1M2_PR - NEW li1 ( 81650 36550 ) L1M1_PR - NEW met1 ( 81650 36550 ) M1M2_PR - NEW met1 ( 81650 28390 ) M1M2_PR - NEW li1 ( 75210 23290 ) L1M1_PR - NEW met1 ( 75210 23290 ) M1M2_PR - NEW met1 ( 75210 28050 ) M1M2_PR - NEW li1 ( 42550 39610 ) L1M1_PR - NEW met1 ( 42550 39610 ) M1M2_PR - NEW met1 ( 53590 45050 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 53590 37570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 53590 28730 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 53590 31450 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 81650 36550 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 75210 23290 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 75210 28050 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 42550 39610 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 56810 26690 ) RECT ( -595 -70 0 70 ) ; + - clknet_1_1_0_pll_clk90 ( _437_ A1 ) ( _441_ CLK ) ( _443_ CLK ) ( _479_ CLK ) ( _487_ CLK ) ( _490_ CLK ) ( _491_ CLK ) + ( _492_ CLK ) ( _493_ CLK ) ( _494_ CLK ) ( clkbuf_1_1_0_pll_clk90 X ) + USE CLOCK + + ROUTED met2 ( 72450 36890 ) ( * 43010 ) + NEW met1 ( 72450 43010 ) ( 86710 * ) + NEW met1 ( 72450 31110 ) ( 74750 * ) + NEW met2 ( 72450 31110 ) ( * 36890 ) + NEW met2 ( 72450 26010 ) ( * 31110 ) + NEW met1 ( 66470 45050 ) ( 72450 * ) + NEW met2 ( 72450 43010 ) ( * 45050 ) + NEW met1 ( 66470 28730 ) ( * 29070 ) + NEW met1 ( 66470 29070 ) ( 72450 * ) + NEW met1 ( 41630 33830 ) ( 43010 * ) + NEW met1 ( 43010 34170 ) ( 54510 * ) + NEW met1 ( 43010 33830 ) ( * 34170 ) + NEW met2 ( 57270 33830 ) ( * 35870 ) + NEW met1 ( 54510 33830 ) ( 57270 * ) + NEW met1 ( 54510 33830 ) ( * 34170 ) + NEW met1 ( 55890 28730 ) ( 57270 * ) + NEW met2 ( 57270 28730 ) ( * 33830 ) + NEW met1 ( 55430 26010 ) ( 57270 * ) + NEW met2 ( 57270 26010 ) ( * 28730 ) + NEW met1 ( 57270 28730 ) ( 66470 * ) + NEW li1 ( 72450 36890 ) L1M1_PR + NEW met1 ( 72450 36890 ) M1M2_PR + NEW met1 ( 72450 43010 ) M1M2_PR + NEW li1 ( 86710 43010 ) L1M1_PR + NEW li1 ( 74750 31110 ) L1M1_PR + NEW met1 ( 72450 31110 ) M1M2_PR + NEW li1 ( 72450 26010 ) L1M1_PR + NEW met1 ( 72450 26010 ) M1M2_PR + NEW li1 ( 66470 45050 ) L1M1_PR + NEW met1 ( 72450 45050 ) M1M2_PR + NEW met1 ( 72450 29070 ) M1M2_PR + NEW li1 ( 43010 33830 ) L1M1_PR + NEW li1 ( 41630 33830 ) L1M1_PR + NEW li1 ( 54510 34170 ) L1M1_PR + NEW li1 ( 57270 35870 ) L1M1_PR + NEW met1 ( 57270 35870 ) M1M2_PR + NEW met1 ( 57270 33830 ) M1M2_PR + NEW li1 ( 55890 28730 ) L1M1_PR + NEW met1 ( 57270 28730 ) M1M2_PR + NEW li1 ( 55430 26010 ) L1M1_PR + NEW met1 ( 57270 26010 ) M1M2_PR + NEW met1 ( 72450 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 72450 26010 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 72450 29070 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 57270 35870 ) RECT ( -355 -70 0 70 ) ; - core_clk ( PIN core_clk ) ( output10 X ) + USE SIGNAL + ROUTED met1 ( 35650 53890 ) ( 36570 * ) NEW met2 ( 35650 53890 ) ( * 56100 0 ) NEW li1 ( 36570 53890 ) L1M1_PR NEW met1 ( 35650 53890 ) M1M2_PR ; - - divider.even_0.N\[0\] ( ANTENNA__274__B1 DIODE ) ( ANTENNA__278__B1 DIODE ) ( ANTENNA__310__B1 DIODE ) ( ANTENNA__313__A DIODE ) ( ANTENNA__315__S DIODE ) ( ANTENNA__317__S DIODE ) ( ANTENNA__338__A_N DIODE ) - ( ANTENNA__350__A_N DIODE ) ( ANTENNA__385__A1 DIODE ) ( ANTENNA__386__A1 DIODE ) ( ANTENNA__404__A1 DIODE ) ( ANTENNA__405__A1 DIODE ) ( ANTENNA__414__D DIODE ) ( _430_ Q ) ( _414_ D ) - ( _405_ A1 ) ( _404_ A1 ) ( _386_ A1 ) ( _385_ A1 ) ( _350_ A_N ) ( _338_ A_N ) ( _317_ S ) ( _315_ S ) - ( _313_ A ) ( _310_ B1 ) ( _278_ B1 ) ( _274_ B1 ) + USE SIGNAL - + ROUTED met1 ( 11270 12410 ) ( 12190 * ) - NEW met1 ( 11270 16830 ) ( 12190 * ) - NEW met2 ( 11270 16830 ) ( * 17850 ) - NEW met1 ( 11270 17850 ) ( * 18190 ) - NEW met2 ( 13110 18190 ) ( * 20570 ) - NEW met2 ( 11270 12410 ) ( * 16830 ) - NEW met1 ( 16790 13090 ) ( 17710 * ) - NEW met1 ( 15870 13090 ) ( 16790 * ) - NEW met1 ( 41170 5950 ) ( 47150 * ) - NEW met2 ( 47150 4930 ) ( * 5950 ) - NEW met2 ( 41170 4250 ) ( * 5950 ) - NEW met1 ( 34270 5950 ) ( * 6290 ) - NEW met1 ( 34270 5950 ) ( 41170 * ) - NEW met1 ( 34270 15470 ) ( 34730 * ) - NEW met1 ( 17710 14450 ) ( 28750 * ) - NEW met2 ( 28750 14450 ) ( * 15810 ) - NEW met1 ( 28750 15810 ) ( 30590 * ) - NEW met1 ( 30590 15470 ) ( * 15810 ) - NEW met1 ( 30590 15470 ) ( 34270 * ) - NEW met1 ( 17250 16830 ) ( 17710 * ) - NEW met2 ( 17710 14450 ) ( * 16830 ) - NEW li1 ( 17710 16830 ) ( * 18190 ) - NEW met2 ( 43010 15810 ) ( * 18530 ) - NEW met1 ( 41170 15810 ) ( 43010 * ) - NEW met1 ( 38870 15810 ) ( 41170 * ) - NEW met1 ( 36570 16830 ) ( 37030 * ) - NEW met2 ( 37030 15810 ) ( * 16830 ) - NEW met1 ( 37030 15810 ) ( 38870 * ) - NEW met1 ( 11270 18190 ) ( 17710 * ) - NEW met2 ( 17710 13090 ) ( * 14450 ) - NEW met2 ( 34730 5950 ) ( * 15470 ) - NEW met2 ( 41170 5950 ) ( * 15810 ) - NEW met1 ( 53590 17170 ) ( 54510 * ) - NEW li1 ( 53590 17170 ) ( * 18530 ) - NEW met1 ( 54510 17170 ) ( 57730 * ) - NEW met2 ( 67390 17340 ) ( * 17510 ) - NEW met3 ( 57730 17340 ) ( 67390 * ) - NEW met2 ( 57730 17170 ) ( * 17340 ) - NEW met1 ( 71070 19890 ) ( 72450 * ) - NEW met1 ( 71070 19890 ) ( * 20230 ) - NEW met1 ( 67390 20230 ) ( 71070 * ) - NEW met2 ( 67390 17510 ) ( * 20230 ) - NEW met1 ( 72910 15470 ) ( 73675 * ) - NEW met2 ( 72910 15470 ) ( * 19890 ) - NEW met1 ( 72450 19890 ) ( 72910 * ) - NEW met1 ( 72910 12070 ) ( 75210 * ) - NEW met2 ( 72910 12070 ) ( * 15470 ) - NEW met1 ( 75210 12070 ) ( 77050 * ) - NEW met2 ( 76130 6630 ) ( * 11730 ) - NEW met1 ( 76130 11730 ) ( * 12070 ) - NEW met1 ( 76130 15470 ) ( 82570 * ) - NEW met2 ( 76130 11730 ) ( * 15470 ) - NEW met1 ( 76130 2210 ) ( 78430 * ) - NEW met2 ( 76130 2210 ) ( * 6630 ) - NEW met1 ( 82570 12410 ) ( 89470 * ) - NEW met2 ( 82570 12410 ) ( * 15470 ) - NEW met1 ( 43010 18530 ) ( 53590 * ) - NEW li1 ( 11270 12410 ) L1M1_PR - NEW met1 ( 11270 12410 ) M1M2_PR - NEW li1 ( 12190 12410 ) L1M1_PR - NEW li1 ( 12190 16830 ) L1M1_PR - NEW met1 ( 11270 16830 ) M1M2_PR - NEW li1 ( 11270 17850 ) L1M1_PR - NEW met1 ( 11270 17850 ) M1M2_PR - NEW li1 ( 13110 20570 ) L1M1_PR - NEW met1 ( 13110 20570 ) M1M2_PR - NEW met1 ( 13110 18190 ) M1M2_PR - NEW li1 ( 16790 13090 ) L1M1_PR - NEW met1 ( 17710 13090 ) M1M2_PR - NEW li1 ( 15870 13090 ) L1M1_PR - NEW li1 ( 41170 5950 ) L1M1_PR - NEW met1 ( 47150 5950 ) M1M2_PR - NEW li1 ( 47150 4930 ) L1M1_PR - NEW met1 ( 47150 4930 ) M1M2_PR - NEW li1 ( 41170 4250 ) L1M1_PR - NEW met1 ( 41170 4250 ) M1M2_PR + - divider.even_0.N\[0\] ( rebuffer13 A ) ( rebuffer11 A ) ( rebuffer10 A ) ( rebuffer9 A ) ( _454_ Q ) ( _438_ D ) ( _414_ A1 ) + ( _413_ A1 ) ( _316_ A ) ( _307_ B1 ) ( _287_ B1 ) ( _283_ B1 ) + USE SIGNAL + + ROUTED met2 ( 23690 12070 ) ( * 13090 ) + NEW met1 ( 23690 13090 ) ( 26910 * ) + NEW met2 ( 35190 4250 ) ( * 8670 ) + NEW met1 ( 26910 8670 ) ( 35190 * ) + NEW met2 ( 26910 8670 ) ( * 13090 ) + NEW met2 ( 47610 9180 ) ( * 9350 ) + NEW met2 ( 46690 9180 ) ( 47610 * ) + NEW met2 ( 46690 9010 ) ( * 9180 ) + NEW met1 ( 42550 9010 ) ( 46690 * ) + NEW met2 ( 42550 9010 ) ( * 9180 ) + NEW met2 ( 41170 9180 ) ( 42550 * ) + NEW met2 ( 41170 5950 ) ( * 9180 ) + NEW met1 ( 35190 5950 ) ( 41170 * ) + NEW met2 ( 47610 9350 ) ( * 12070 ) + NEW met2 ( 24610 17510 ) ( * 20230 ) + NEW met1 ( 24610 20230 ) ( 29670 * ) + NEW met2 ( 26910 13090 ) ( * 20230 ) + NEW met1 ( 54095 9690 ) ( 54510 * ) + NEW met1 ( 54095 9350 ) ( * 9690 ) + NEW met1 ( 74750 9690 ) ( 81190 * ) + NEW met1 ( 74750 9350 ) ( * 9690 ) + NEW met1 ( 74290 9350 ) ( 74750 * ) + NEW met1 ( 74290 9010 ) ( * 9350 ) + NEW met1 ( 70610 9010 ) ( 74290 * ) + NEW met1 ( 70610 8670 ) ( * 9010 ) + NEW met1 ( 65090 8670 ) ( 70610 * ) + NEW met1 ( 65090 8670 ) ( * 9010 ) + NEW met1 ( 54095 9010 ) ( 65090 * ) + NEW met1 ( 54095 9010 ) ( * 9350 ) + NEW met1 ( 81190 10030 ) ( 85635 * ) + NEW met1 ( 81190 9690 ) ( * 10030 ) + NEW met1 ( 86710 4930 ) ( 87170 * ) + NEW met2 ( 87170 4930 ) ( * 10030 ) + NEW met1 ( 85635 10030 ) ( 87170 * ) + NEW met1 ( 74750 17510 ) ( 75210 * ) + NEW met2 ( 74750 9690 ) ( * 17510 ) + NEW met1 ( 87170 17170 ) ( 89470 * ) + NEW met2 ( 87170 10030 ) ( * 17170 ) + NEW met1 ( 47610 9350 ) ( 54095 * ) + NEW met1 ( 47610 12070 ) ( 48990 * ) + NEW li1 ( 23690 12070 ) L1M1_PR + NEW met1 ( 23690 12070 ) M1M2_PR + NEW met1 ( 23690 13090 ) M1M2_PR + NEW met1 ( 26910 13090 ) M1M2_PR + NEW li1 ( 35190 4250 ) L1M1_PR + NEW met1 ( 35190 4250 ) M1M2_PR + NEW met1 ( 35190 8670 ) M1M2_PR + NEW met1 ( 26910 8670 ) M1M2_PR + NEW li1 ( 47610 9350 ) L1M1_PR + NEW met1 ( 47610 9350 ) M1M2_PR + NEW met1 ( 46690 9010 ) M1M2_PR + NEW met1 ( 42550 9010 ) M1M2_PR NEW met1 ( 41170 5950 ) M1M2_PR - NEW li1 ( 34270 6290 ) L1M1_PR - NEW met1 ( 34730 5950 ) M1M2_PR - NEW li1 ( 34270 15470 ) L1M1_PR - NEW met1 ( 34730 15470 ) M1M2_PR - NEW met1 ( 17710 14450 ) M1M2_PR - NEW met1 ( 28750 14450 ) M1M2_PR - NEW met1 ( 28750 15810 ) M1M2_PR - NEW li1 ( 17250 16830 ) L1M1_PR - NEW met1 ( 17710 16830 ) M1M2_PR - NEW li1 ( 17710 18190 ) L1M1_PR - NEW li1 ( 17710 16830 ) L1M1_PR - NEW li1 ( 43010 15810 ) L1M1_PR - NEW met1 ( 43010 15810 ) M1M2_PR - NEW met1 ( 43010 18530 ) M1M2_PR - NEW met1 ( 41170 15810 ) M1M2_PR - NEW li1 ( 38870 15810 ) L1M1_PR - NEW li1 ( 36570 16830 ) L1M1_PR - NEW met1 ( 37030 16830 ) M1M2_PR - NEW met1 ( 37030 15810 ) M1M2_PR - NEW li1 ( 54510 17170 ) L1M1_PR - NEW li1 ( 53590 17170 ) L1M1_PR - NEW li1 ( 53590 18530 ) L1M1_PR - NEW li1 ( 57730 17170 ) L1M1_PR - NEW li1 ( 67390 17510 ) L1M1_PR - NEW met1 ( 67390 17510 ) M1M2_PR - NEW met2 ( 67390 17340 ) M2M3_PR_M - NEW met2 ( 57730 17340 ) M2M3_PR_M - NEW met1 ( 57730 17170 ) M1M2_PR - NEW li1 ( 72450 19890 ) L1M1_PR - NEW met1 ( 67390 20230 ) M1M2_PR - NEW li1 ( 73675 15470 ) L1M1_PR - NEW met1 ( 72910 15470 ) M1M2_PR - NEW met1 ( 72910 19890 ) M1M2_PR - NEW li1 ( 75210 12070 ) L1M1_PR - NEW met1 ( 72910 12070 ) M1M2_PR - NEW li1 ( 77050 12070 ) L1M1_PR - NEW li1 ( 76130 6630 ) L1M1_PR - NEW met1 ( 76130 6630 ) M1M2_PR - NEW met1 ( 76130 11730 ) M1M2_PR - NEW li1 ( 82570 15470 ) L1M1_PR - NEW met1 ( 76130 15470 ) M1M2_PR - NEW li1 ( 78430 2210 ) L1M1_PR - NEW met1 ( 76130 2210 ) M1M2_PR - NEW li1 ( 89470 12410 ) L1M1_PR - NEW met1 ( 82570 12410 ) M1M2_PR - NEW met1 ( 82570 15470 ) M1M2_PR - NEW met1 ( 11270 12410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 11270 17850 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 13110 20570 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 13110 18190 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 47150 4930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41170 4250 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41170 5950 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 34730 5950 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 17710 16830 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 43010 15810 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 67390 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57730 17170 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 76130 6630 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 82570 15470 ) RECT ( -595 -70 0 70 ) ; - - divider.even_0.N\[1\] ( split4 A ) ( _431_ Q ) ( _382_ A1 ) ( _381_ A1 ) ( _320_ B_N ) ( _310_ A2 ) ( _278_ A2 ) - ( _274_ A2 ) + USE SIGNAL - + ROUTED met1 ( 86710 10370 ) ( 89470 * ) - NEW met2 ( 87630 4930 ) ( * 10370 ) - NEW met1 ( 65550 18530 ) ( 68310 * ) - NEW met2 ( 65550 18530 ) ( * 18700 ) - NEW met2 ( 65090 18700 ) ( 65550 * ) - NEW met2 ( 65090 18700 ) ( * 20230 ) - NEW met1 ( 63250 20230 ) ( 65090 * ) - NEW li1 ( 63250 19550 ) ( * 20230 ) - NEW met1 ( 75670 15130 ) ( 82110 * ) - NEW met1 ( 75670 15130 ) ( * 15810 ) - NEW met1 ( 67850 15810 ) ( 75670 * ) - NEW met2 ( 67850 15810 ) ( * 18530 ) - NEW met2 ( 87630 15130 ) ( * 17850 ) - NEW met1 ( 82110 15130 ) ( 87630 * ) - NEW met1 ( 87630 15130 ) ( 89470 * ) - NEW met1 ( 87630 17510 ) ( 91310 * ) - NEW met1 ( 87630 17510 ) ( * 17850 ) - NEW met2 ( 89470 10370 ) ( * 15130 ) - NEW met1 ( 42550 4250 ) ( * 4930 ) - NEW met1 ( 42550 4930 ) ( 44850 * ) - NEW met1 ( 36110 6290 ) ( * 6630 ) - NEW met1 ( 36110 6290 ) ( 37950 * ) - NEW met2 ( 37950 4930 ) ( * 6290 ) - NEW met1 ( 37950 4930 ) ( 42550 * ) - NEW met2 ( 44850 4930 ) ( * 13800 ) - NEW met2 ( 44850 13800 ) ( 45770 * ) - NEW met2 ( 45770 13800 ) ( * 19550 ) - NEW met1 ( 45770 19550 ) ( 63250 * ) - NEW li1 ( 86710 10370 ) L1M1_PR - NEW met1 ( 89470 10370 ) M1M2_PR - NEW li1 ( 87630 4930 ) L1M1_PR - NEW met1 ( 87630 4930 ) M1M2_PR + NEW met1 ( 35190 5950 ) M1M2_PR + NEW met1 ( 47610 12070 ) M1M2_PR + NEW li1 ( 24610 17510 ) L1M1_PR + NEW met1 ( 24610 17510 ) M1M2_PR + NEW met1 ( 24610 20230 ) M1M2_PR + NEW li1 ( 29670 20230 ) L1M1_PR + NEW met1 ( 26910 20230 ) M1M2_PR + NEW li1 ( 54510 9690 ) L1M1_PR + NEW li1 ( 81190 9690 ) L1M1_PR + NEW li1 ( 85635 10030 ) L1M1_PR + NEW li1 ( 86710 4930 ) L1M1_PR + NEW met1 ( 87170 4930 ) M1M2_PR + NEW met1 ( 87170 10030 ) M1M2_PR + NEW li1 ( 75210 17510 ) L1M1_PR + NEW met1 ( 74750 17510 ) M1M2_PR + NEW met1 ( 74750 9690 ) M1M2_PR + NEW li1 ( 89470 17170 ) L1M1_PR + NEW met1 ( 87170 17170 ) M1M2_PR + NEW li1 ( 48990 12070 ) L1M1_PR + NEW met1 ( 23690 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 35190 4250 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 47610 9350 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 35190 5950 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 24610 17510 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 26910 20230 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 74750 9690 ) RECT ( 0 -70 595 70 ) ; + - divider.even_0.N\[1\] ( rebuffer7 A ) ( rebuffer6 A ) ( split4 A ) ( _455_ Q ) ( _416_ A1 ) ( _415_ A1 ) ( _307_ A2 ) + ( _287_ A2 ) + USE SIGNAL + + ROUTED met1 ( 46690 9690 ) ( * 10370 ) + NEW met1 ( 29670 11730 ) ( * 12070 ) + NEW met1 ( 29670 11730 ) ( 46690 * ) + NEW met2 ( 46690 10370 ) ( * 11730 ) + NEW met1 ( 24150 11730 ) ( * 12070 ) + NEW met1 ( 24150 11730 ) ( 29670 * ) + NEW met1 ( 22310 9690 ) ( 23230 * ) + NEW met2 ( 22310 9690 ) ( * 11390 ) + NEW met1 ( 22310 11390 ) ( 24150 * ) + NEW met1 ( 24150 11390 ) ( * 11730 ) + NEW met1 ( 73830 10370 ) ( 74750 * ) + NEW met2 ( 73830 9180 ) ( * 10370 ) + NEW met3 ( 56350 9180 ) ( 73830 * ) + NEW met2 ( 56350 9180 ) ( * 10370 ) + NEW met1 ( 75670 6970 ) ( 76130 * ) + NEW met2 ( 75670 6970 ) ( * 10370 ) + NEW met1 ( 74750 10370 ) ( 75670 * ) + NEW met2 ( 87630 10370 ) ( * 23290 ) + NEW met1 ( 75670 10370 ) ( 87630 * ) + NEW met1 ( 87630 22950 ) ( 90850 * ) + NEW met1 ( 87630 22950 ) ( * 23290 ) + NEW met1 ( 46690 10370 ) ( 56350 * ) + NEW li1 ( 46690 9690 ) L1M1_PR + NEW li1 ( 29670 12070 ) L1M1_PR + NEW met1 ( 46690 11730 ) M1M2_PR + NEW met1 ( 46690 10370 ) M1M2_PR + NEW li1 ( 24150 12070 ) L1M1_PR + NEW li1 ( 23230 9690 ) L1M1_PR + NEW met1 ( 22310 9690 ) M1M2_PR + NEW met1 ( 22310 11390 ) M1M2_PR + NEW li1 ( 74750 10370 ) L1M1_PR + NEW met1 ( 73830 10370 ) M1M2_PR + NEW met2 ( 73830 9180 ) M2M3_PR_M + NEW met2 ( 56350 9180 ) M2M3_PR_M + NEW met1 ( 56350 10370 ) M1M2_PR + NEW li1 ( 76130 6970 ) L1M1_PR + NEW met1 ( 75670 6970 ) M1M2_PR + NEW met1 ( 75670 10370 ) M1M2_PR + NEW li1 ( 87630 23290 ) L1M1_PR + NEW met1 ( 87630 23290 ) M1M2_PR NEW met1 ( 87630 10370 ) M1M2_PR - NEW li1 ( 68310 18530 ) L1M1_PR - NEW met1 ( 65550 18530 ) M1M2_PR - NEW met1 ( 65090 20230 ) M1M2_PR - NEW li1 ( 63250 20230 ) L1M1_PR - NEW li1 ( 63250 19550 ) L1M1_PR - NEW li1 ( 82110 15130 ) L1M1_PR - NEW met1 ( 67850 15810 ) M1M2_PR - NEW met1 ( 67850 18530 ) M1M2_PR - NEW li1 ( 87630 17850 ) L1M1_PR - NEW met1 ( 87630 17850 ) M1M2_PR - NEW met1 ( 87630 15130 ) M1M2_PR - NEW met1 ( 89470 15130 ) M1M2_PR - NEW li1 ( 91310 17510 ) L1M1_PR - NEW li1 ( 42550 4250 ) L1M1_PR - NEW met1 ( 44850 4930 ) M1M2_PR - NEW li1 ( 36110 6630 ) L1M1_PR - NEW met1 ( 37950 6290 ) M1M2_PR - NEW met1 ( 37950 4930 ) M1M2_PR - NEW met1 ( 45770 19550 ) M1M2_PR - NEW met1 ( 87630 4930 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 87630 10370 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 67850 18530 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 87630 17850 ) RECT ( -355 -70 0 70 ) ; - - divider.even_0.N\[2\] ( _432_ Q ) ( _416_ D ) ( _407_ A1 ) ( _406_ A1 ) ( _403_ A0 ) ( _399_ A1 ) ( _398_ A1 ) - ( _348_ A ) ( _323_ A ) ( _322_ A ) ( _310_ A1 ) ( _278_ A1 ) ( _274_ A1 ) + USE SIGNAL - + ROUTED met1 ( 67850 10370 ) ( 68310 * ) - NEW met2 ( 63710 9690 ) ( * 11390 ) - NEW met1 ( 63710 11390 ) ( 68310 * ) - NEW met2 ( 68310 10370 ) ( * 13800 ) - NEW met1 ( 66930 20570 ) ( * 20910 ) - NEW met1 ( 66930 20910 ) ( 72910 * ) - NEW met2 ( 72910 20910 ) ( * 23970 ) - NEW met1 ( 72910 23970 ) ( 89470 * ) - NEW met1 ( 89470 23290 ) ( * 23970 ) - NEW met1 ( 65550 20230 ) ( 66930 * ) - NEW met1 ( 66930 20230 ) ( * 20570 ) - NEW met2 ( 68770 17510 ) ( * 20570 ) - NEW met1 ( 68770 20570 ) ( * 20910 ) - NEW met2 ( 68310 13800 ) ( 68770 * ) - NEW met2 ( 68770 13800 ) ( * 17510 ) - NEW met1 ( 30130 6630 ) ( 35190 * ) - NEW met3 ( 35190 6460 ) ( 46230 * ) - NEW met2 ( 35190 6460 ) ( * 6630 ) - NEW met2 ( 43930 4250 ) ( * 6460 ) - NEW met1 ( 62100 20910 ) ( 66930 * ) - NEW met2 ( 30130 6630 ) ( * 13800 ) - NEW met2 ( 29670 13800 ) ( 30130 * ) - NEW met2 ( 29670 13800 ) ( * 14450 ) - NEW met1 ( 29670 14450 ) ( * 14790 ) - NEW met1 ( 27370 14790 ) ( 29670 * ) - NEW met1 ( 27370 14790 ) ( * 15130 ) - NEW met1 ( 18170 15130 ) ( 27370 * ) - NEW met1 ( 46230 20570 ) ( 50830 * ) - NEW met1 ( 46230 20230 ) ( * 20570 ) - NEW met2 ( 56810 19890 ) ( * 20570 ) - NEW met1 ( 52210 19890 ) ( 56810 * ) - NEW met1 ( 52210 19890 ) ( * 20570 ) - NEW met1 ( 50830 20570 ) ( 52210 * ) - NEW met1 ( 56810 22610 ) ( 59875 * ) - NEW met2 ( 56810 20570 ) ( * 22610 ) - NEW met1 ( 62100 20570 ) ( * 20910 ) - NEW met1 ( 56810 20570 ) ( 62100 * ) - NEW met2 ( 46230 6460 ) ( * 20230 ) - NEW li1 ( 67850 10370 ) L1M1_PR - NEW met1 ( 68310 10370 ) M1M2_PR - NEW li1 ( 63710 9690 ) L1M1_PR - NEW met1 ( 63710 9690 ) M1M2_PR - NEW met1 ( 63710 11390 ) M1M2_PR - NEW met1 ( 68310 11390 ) M1M2_PR - NEW li1 ( 66930 20570 ) L1M1_PR - NEW met1 ( 72910 20910 ) M1M2_PR - NEW met1 ( 72910 23970 ) M1M2_PR - NEW li1 ( 89470 23290 ) L1M1_PR - NEW li1 ( 65550 20230 ) L1M1_PR - NEW li1 ( 68770 17510 ) L1M1_PR - NEW met1 ( 68770 17510 ) M1M2_PR - NEW met1 ( 68770 20570 ) M1M2_PR - NEW li1 ( 35190 6630 ) L1M1_PR - NEW met1 ( 30130 6630 ) M1M2_PR - NEW met2 ( 46230 6460 ) M2M3_PR_M - NEW met2 ( 35190 6460 ) M2M3_PR_M - NEW met1 ( 35190 6630 ) M1M2_PR - NEW li1 ( 43930 4250 ) L1M1_PR - NEW met1 ( 43930 4250 ) M1M2_PR - NEW met2 ( 43930 6460 ) M2M3_PR_M - NEW met1 ( 29670 14450 ) M1M2_PR - NEW li1 ( 18170 15130 ) L1M1_PR - NEW li1 ( 46230 20230 ) L1M1_PR - NEW met1 ( 46230 20230 ) M1M2_PR - NEW li1 ( 50830 20570 ) L1M1_PR - NEW li1 ( 56810 20570 ) L1M1_PR - NEW met1 ( 56810 20570 ) M1M2_PR - NEW met1 ( 56810 19890 ) M1M2_PR - NEW li1 ( 59875 22610 ) L1M1_PR - NEW met1 ( 56810 22610 ) M1M2_PR - NEW met1 ( 63710 9690 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 68310 11390 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 68770 17510 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 35190 6630 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 43930 4250 ) RECT ( -355 -70 0 70 ) - NEW met3 ( 43930 6460 ) RECT ( -800 -150 0 150 ) - NEW met1 ( 46230 20230 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 56810 20570 ) RECT ( -355 -70 0 70 ) ; - - divider.even_0.counter\[0\] ( _444_ Q ) ( _364_ B ) ( _363_ B ) ( _362_ A ) ( _338_ C ) ( _317_ A1 ) ( _313_ C ) + USE SIGNAL - + ROUTED met1 ( 13110 12070 ) ( 13570 * ) - NEW met1 ( 13110 14790 ) ( 13570 * ) - NEW met1 ( 13110 17510 ) ( * 17850 ) - NEW met2 ( 13110 14790 ) ( * 17510 ) - NEW met2 ( 13570 17510 ) ( * 19890 ) - NEW met2 ( 13110 17510 ) ( 13570 * ) - NEW met1 ( 10810 19890 ) ( * 20230 ) - NEW met1 ( 10810 19890 ) ( 13570 * ) - NEW met1 ( 10350 17170 ) ( 13110 * ) - NEW met1 ( 13110 17170 ) ( * 17510 ) - NEW met1 ( 13570 20230 ) ( * 20570 ) - NEW met1 ( 13110 20230 ) ( 13570 * ) - NEW met1 ( 13110 19890 ) ( * 20230 ) - NEW met2 ( 13110 12070 ) ( * 14790 ) - NEW met1 ( 16790 19550 ) ( * 19890 ) - NEW met1 ( 16790 19550 ) ( 20010 * ) - NEW met1 ( 20010 19550 ) ( * 20570 ) - NEW met1 ( 16330 17510 ) ( * 17850 ) - NEW met1 ( 13110 17850 ) ( 16330 * ) - NEW met1 ( 13570 19890 ) ( 16790 * ) - NEW li1 ( 13570 12070 ) L1M1_PR - NEW met1 ( 13110 12070 ) M1M2_PR - NEW li1 ( 13570 14790 ) L1M1_PR - NEW met1 ( 13110 14790 ) M1M2_PR - NEW met1 ( 13110 17510 ) M1M2_PR - NEW met1 ( 13570 19890 ) M1M2_PR - NEW li1 ( 10810 20230 ) L1M1_PR - NEW li1 ( 10350 17170 ) L1M1_PR - NEW li1 ( 13570 20570 ) L1M1_PR - NEW li1 ( 20010 20570 ) L1M1_PR - NEW li1 ( 16330 17510 ) L1M1_PR ; - - divider.even_0.counter\[1\] ( _445_ Q ) ( _363_ A ) ( _337_ B ) ( _315_ A1 ) ( _313_ B ) + USE SIGNAL - + ROUTED met1 ( 10350 12750 ) ( 13110 * ) - NEW met1 ( 10350 12410 ) ( * 12750 ) - NEW met1 ( 13110 12410 ) ( * 12750 ) - NEW met2 ( 10350 14110 ) ( * 15130 ) - NEW met2 ( 10350 12410 ) ( * 14110 ) - NEW met1 ( 14030 12070 ) ( * 12410 ) - NEW met1 ( 13110 12410 ) ( 14030 * ) - NEW met1 ( 10350 15130 ) ( 14030 * ) - NEW li1 ( 10350 12410 ) L1M1_PR - NEW met1 ( 10350 12410 ) M1M2_PR - NEW li1 ( 13110 12750 ) L1M1_PR - NEW li1 ( 10350 14110 ) L1M1_PR - NEW met1 ( 10350 14110 ) M1M2_PR - NEW met1 ( 10350 15130 ) M1M2_PR - NEW li1 ( 14030 12070 ) L1M1_PR - NEW li1 ( 14030 15130 ) L1M1_PR - NEW met1 ( 10350 12410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 10350 14110 ) RECT ( -355 -70 0 70 ) ; - - divider.even_0.counter\[2\] ( _446_ Q ) ( _337_ A ) ( _314_ A ) + USE SIGNAL - + ROUTED met1 ( 10350 9690 ) ( * 10370 ) - NEW met1 ( 14490 9690 ) ( 14950 * ) - NEW met2 ( 14950 9690 ) ( * 12070 ) - NEW met1 ( 10350 9690 ) ( 14490 * ) - NEW li1 ( 10350 10370 ) L1M1_PR - NEW li1 ( 14490 9690 ) L1M1_PR - NEW met1 ( 14950 9690 ) M1M2_PR - NEW li1 ( 14950 12070 ) L1M1_PR - NEW met1 ( 14950 12070 ) M1M2_PR - NEW met1 ( 14950 12070 ) RECT ( -355 -70 0 70 ) ; - - divider.even_0.out_counter ( _439_ Q ) ( _411_ A0 ) ( _339_ A ) + USE SIGNAL - + ROUTED met1 ( 27600 22610 ) ( 56350 * ) - NEW met1 ( 15410 22270 ) ( * 22950 ) - NEW met1 ( 15410 22270 ) ( 25990 * ) - NEW met1 ( 25990 22270 ) ( * 22610 ) - NEW met1 ( 25990 22610 ) ( 27600 * ) - NEW li1 ( 27600 22610 ) L1M1_PR - NEW li1 ( 56350 22610 ) L1M1_PR - NEW li1 ( 15410 22950 ) L1M1_PR ; - - divider.odd_0.counter2\[0\] ( _440_ Q ) ( _356_ B ) ( _355_ B ) ( _334_ A ) ( _308_ B ) ( _305_ C_N ) + USE SIGNAL - + ROUTED met2 ( 82570 1530 ) ( * 3230 ) - NEW met2 ( 72450 3570 ) ( * 6630 ) - NEW met1 ( 72450 3570 ) ( 73370 * ) - NEW met1 ( 73370 3230 ) ( * 3570 ) - NEW met1 ( 73370 3230 ) ( 82570 * ) - NEW met1 ( 67850 6630 ) ( 72450 * ) - NEW met1 ( 67850 850 ) ( * 1190 ) - NEW met1 ( 67850 850 ) ( 72450 * ) - NEW met2 ( 72450 850 ) ( * 3570 ) - NEW met2 ( 65090 850 ) ( * 4250 ) - NEW met1 ( 65090 850 ) ( 67850 * ) - NEW met1 ( 82570 1530 ) ( 84870 * ) - NEW li1 ( 84870 1530 ) L1M1_PR - NEW li1 ( 82570 3230 ) L1M1_PR - NEW met1 ( 82570 3230 ) M1M2_PR - NEW met1 ( 82570 1530 ) M1M2_PR - NEW li1 ( 72450 6630 ) L1M1_PR - NEW met1 ( 72450 6630 ) M1M2_PR - NEW met1 ( 72450 3570 ) M1M2_PR - NEW li1 ( 67850 6630 ) L1M1_PR - NEW li1 ( 67850 1190 ) L1M1_PR - NEW met1 ( 72450 850 ) M1M2_PR - NEW li1 ( 65090 4250 ) L1M1_PR - NEW met1 ( 65090 4250 ) M1M2_PR - NEW met1 ( 65090 850 ) M1M2_PR - NEW met1 ( 82570 3230 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 72450 6630 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 65090 4250 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.counter2\[1\] ( _441_ Q ) ( _356_ A ) ( _355_ A ) ( _332_ C ) ( _307_ B ) ( _305_ B ) + USE SIGNAL - + ROUTED met1 ( 85330 1190 ) ( 89470 * ) - NEW met2 ( 89470 1190 ) ( * 6290 ) - NEW met2 ( 68770 4250 ) ( * 4420 ) - NEW met2 ( 68770 1190 ) ( * 4250 ) - NEW met1 ( 67390 1190 ) ( * 1530 ) - NEW met1 ( 67390 1530 ) ( 68770 * ) - NEW met1 ( 68770 1190 ) ( * 1530 ) - NEW met3 ( 68770 4420 ) ( 89470 * ) - NEW met1 ( 61870 1190 ) ( * 1530 ) - NEW met1 ( 61870 1530 ) ( 67390 * ) - NEW li1 ( 85330 1190 ) L1M1_PR - NEW met1 ( 89470 1190 ) M1M2_PR - NEW li1 ( 89470 6290 ) L1M1_PR - NEW met1 ( 89470 6290 ) M1M2_PR - NEW met2 ( 89470 4420 ) M2M3_PR_M - NEW li1 ( 68770 4250 ) L1M1_PR - NEW met1 ( 68770 4250 ) M1M2_PR - NEW met2 ( 68770 4420 ) M2M3_PR_M - NEW li1 ( 68770 1190 ) L1M1_PR - NEW met1 ( 68770 1190 ) M1M2_PR - NEW li1 ( 67390 1190 ) L1M1_PR - NEW li1 ( 61870 1190 ) L1M1_PR - NEW met1 ( 89470 6290 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 89470 4420 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 68770 4250 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 68770 1190 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.counter2\[2\] ( _442_ Q ) ( _357_ A ) ( _328_ C ) ( _307_ A ) ( _305_ A ) + USE SIGNAL - + ROUTED met1 ( 62790 3910 ) ( * 4250 ) - NEW met1 ( 66010 4250 ) ( * 4590 ) - NEW met1 ( 62790 4590 ) ( 66010 * ) - NEW met1 ( 62790 4250 ) ( * 4590 ) - NEW met2 ( 66470 1190 ) ( * 4250 ) - NEW met1 ( 66010 4250 ) ( 66470 * ) - NEW met2 ( 57730 4590 ) ( * 5950 ) - NEW met1 ( 54510 5950 ) ( 57730 * ) - NEW met1 ( 57730 3910 ) ( * 4590 ) - NEW met1 ( 57730 3910 ) ( 62790 * ) - NEW li1 ( 62790 4250 ) L1M1_PR - NEW li1 ( 66010 4250 ) L1M1_PR - NEW li1 ( 66470 1190 ) L1M1_PR - NEW met1 ( 66470 1190 ) M1M2_PR - NEW met1 ( 66470 4250 ) M1M2_PR - NEW li1 ( 57730 4590 ) L1M1_PR - NEW met1 ( 57730 4590 ) M1M2_PR - NEW met1 ( 57730 5950 ) M1M2_PR - NEW li1 ( 54510 5950 ) L1M1_PR - NEW met1 ( 66470 1190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 57730 4590 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.counter\[0\] ( _451_ Q ) ( _360_ B ) ( _359_ B ) ( _358_ A ) ( _288_ B ) ( _272_ B ) + USE SIGNAL - + ROUTED met1 ( 20930 13090 ) ( 27370 * ) - NEW met2 ( 41630 15130 ) ( * 17170 ) - NEW met1 ( 36110 17170 ) ( 41630 * ) - NEW met1 ( 36110 16830 ) ( * 17170 ) - NEW met1 ( 27370 16830 ) ( 36110 * ) - NEW met2 ( 27370 15470 ) ( * 16830 ) - NEW met1 ( 41630 15130 ) ( 44390 * ) - NEW met1 ( 43470 20230 ) ( * 20570 ) - NEW met1 ( 41630 20230 ) ( 43470 * ) - NEW met2 ( 41630 17170 ) ( * 20230 ) - NEW met1 ( 35650 23290 ) ( 41630 * ) - NEW met2 ( 41630 20230 ) ( * 23290 ) - NEW met2 ( 27370 13090 ) ( * 15470 ) - NEW li1 ( 20930 13090 ) L1M1_PR - NEW met1 ( 27370 13090 ) M1M2_PR - NEW li1 ( 27370 15470 ) L1M1_PR - NEW met1 ( 27370 15470 ) M1M2_PR - NEW li1 ( 41630 15130 ) L1M1_PR - NEW met1 ( 41630 15130 ) M1M2_PR - NEW met1 ( 41630 17170 ) M1M2_PR - NEW met1 ( 27370 16830 ) M1M2_PR - NEW li1 ( 44390 15130 ) L1M1_PR - NEW li1 ( 43470 20570 ) L1M1_PR - NEW met1 ( 41630 20230 ) M1M2_PR - NEW li1 ( 35650 23290 ) L1M1_PR - NEW met1 ( 41630 23290 ) M1M2_PR - NEW met1 ( 27370 15470 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 41630 15130 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.counter\[1\] ( _452_ Q ) ( _360_ A ) ( _359_ A ) ( _286_ B ) ( _271_ B ) + USE SIGNAL - + ROUTED met2 ( 41170 18190 ) ( * 20570 ) - NEW met1 ( 33580 20570 ) ( 41170 * ) - NEW met2 ( 35190 20570 ) ( * 22950 ) - NEW met1 ( 29210 17170 ) ( 35190 * ) - NEW met2 ( 35190 17170 ) ( * 20570 ) - NEW met1 ( 48070 17510 ) ( * 18190 ) - NEW met1 ( 41170 18190 ) ( 48070 * ) - NEW met1 ( 41170 20570 ) ( 42550 * ) - NEW met1 ( 41170 20570 ) M1M2_PR - NEW met1 ( 41170 18190 ) M1M2_PR - NEW li1 ( 33580 20570 ) L1M1_PR - NEW li1 ( 35190 22950 ) L1M1_PR - NEW met1 ( 35190 22950 ) M1M2_PR - NEW met1 ( 35190 20570 ) M1M2_PR - NEW li1 ( 29210 17170 ) L1M1_PR - NEW met1 ( 35190 17170 ) M1M2_PR - NEW li1 ( 48070 17510 ) L1M1_PR - NEW li1 ( 42550 20570 ) L1M1_PR - NEW met1 ( 35190 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 35190 20570 ) RECT ( -595 -70 0 70 ) ; - - divider.odd_0.counter\[2\] ( _453_ Q ) ( _361_ A ) ( _284_ B ) ( _271_ A ) + USE SIGNAL - + ROUTED met2 ( 45310 22950 ) ( * 24990 ) - NEW met1 ( 45310 24990 ) ( 46690 * ) - NEW met1 ( 45310 17170 ) ( 46690 * ) - NEW met2 ( 45310 17170 ) ( * 22950 ) - NEW met2 ( 48990 17340 ) ( * 17510 ) - NEW met3 ( 45310 17340 ) ( 48990 * ) - NEW li1 ( 45310 22950 ) L1M1_PR - NEW met1 ( 45310 22950 ) M1M2_PR - NEW met1 ( 45310 24990 ) M1M2_PR - NEW li1 ( 46690 24990 ) L1M1_PR - NEW li1 ( 46690 17170 ) L1M1_PR - NEW met1 ( 45310 17170 ) M1M2_PR - NEW li1 ( 48990 17510 ) L1M1_PR - NEW met1 ( 48990 17510 ) M1M2_PR - NEW met2 ( 48990 17340 ) M2M3_PR_M - NEW met2 ( 45310 17340 ) M2M3_PR_M - NEW met1 ( 45310 22950 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 48990 17510 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 45310 17340 ) RECT ( -70 -485 70 0 ) ; - - divider.odd_0.initial_begin\[0\] ( _448_ Q ) ( _301_ A2 ) ( _299_ A_N ) ( _293_ A_N ) ( _290_ B ) + USE SIGNAL - + ROUTED met2 ( 25530 4250 ) ( * 9690 ) - NEW met1 ( 25530 9690 ) ( 26450 * ) - NEW met1 ( 19550 6970 ) ( * 7310 ) - NEW met1 ( 19550 7310 ) ( 25530 * ) - NEW met1 ( 17710 7310 ) ( 19550 * ) - NEW met1 ( 20010 9350 ) ( 20470 * ) - NEW met2 ( 20470 7310 ) ( * 9350 ) - NEW li1 ( 25530 4250 ) L1M1_PR - NEW met1 ( 25530 4250 ) M1M2_PR - NEW met1 ( 25530 9690 ) M1M2_PR - NEW li1 ( 26450 9690 ) L1M1_PR - NEW li1 ( 19550 6970 ) L1M1_PR - NEW met1 ( 25530 7310 ) M1M2_PR - NEW li1 ( 17710 7310 ) L1M1_PR - NEW li1 ( 20010 9350 ) L1M1_PR - NEW met1 ( 20470 9350 ) M1M2_PR - NEW met1 ( 20470 7310 ) M1M2_PR - NEW met1 ( 25530 4250 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 25530 7310 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 20470 7310 ) RECT ( -595 -70 0 70 ) ; - - divider.odd_0.initial_begin\[1\] ( _449_ Q ) ( _309_ B ) ( _295_ A ) ( _290_ A ) + USE SIGNAL - + ROUTED met2 ( 24610 4250 ) ( * 4420 ) - NEW met3 ( 24610 4420 ) ( 38870 * ) - NEW met2 ( 38870 4250 ) ( * 4420 ) - NEW met1 ( 24610 6290 ) ( * 6630 ) - NEW met1 ( 24610 6290 ) ( 26450 * ) - NEW met2 ( 26450 4420 ) ( * 6290 ) - NEW met1 ( 21620 4930 ) ( 24150 * ) - NEW met1 ( 24150 4590 ) ( * 4930 ) - NEW met1 ( 24150 4590 ) ( 24610 * ) - NEW met1 ( 24610 4250 ) ( * 4590 ) - NEW li1 ( 24610 4250 ) L1M1_PR - NEW met1 ( 24610 4250 ) M1M2_PR - NEW met2 ( 24610 4420 ) M2M3_PR_M - NEW met2 ( 38870 4420 ) M2M3_PR_M - NEW li1 ( 38870 4250 ) L1M1_PR - NEW met1 ( 38870 4250 ) M1M2_PR - NEW li1 ( 24610 6630 ) L1M1_PR - NEW met1 ( 26450 6290 ) M1M2_PR - NEW met2 ( 26450 4420 ) M2M3_PR_M - NEW li1 ( 21620 4930 ) L1M1_PR - NEW met1 ( 24610 4250 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 38870 4250 ) RECT ( -355 -70 0 70 ) - NEW met3 ( 26450 4420 ) RECT ( -800 -150 0 150 ) ; - - divider.odd_0.initial_begin\[2\] ( _450_ Q ) ( _309_ A ) ( _297_ A1_N ) ( _294_ A ) + USE SIGNAL - + ROUTED met2 ( 29670 1190 ) ( * 6630 ) - NEW met1 ( 29670 4930 ) ( 35650 * ) - NEW met1 ( 37950 4250 ) ( * 4590 ) - NEW met1 ( 35650 4590 ) ( 37950 * ) - NEW met1 ( 35650 4590 ) ( * 4930 ) - NEW li1 ( 29670 1190 ) L1M1_PR - NEW met1 ( 29670 1190 ) M1M2_PR - NEW li1 ( 29670 6630 ) L1M1_PR - NEW met1 ( 29670 6630 ) M1M2_PR - NEW li1 ( 35650 4930 ) L1M1_PR - NEW met1 ( 29670 4930 ) M1M2_PR - NEW li1 ( 37950 4250 ) L1M1_PR - NEW met1 ( 29670 1190 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 29670 6630 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 29670 4930 ) RECT ( -70 -485 70 0 ) ; - - divider.odd_0.old_N\[0\] ( _414_ Q ) ( _321_ C ) + USE SIGNAL - + ROUTED met1 ( 77050 15810 ) ( 79350 * ) - NEW met2 ( 77050 15810 ) ( * 22610 ) - NEW li1 ( 79350 15810 ) L1M1_PR - NEW met1 ( 77050 15810 ) M1M2_PR - NEW li1 ( 77050 22610 ) L1M1_PR + NEW li1 ( 90850 22950 ) L1M1_PR + NEW met1 ( 46690 10370 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 87630 23290 ) RECT ( -355 -70 0 70 ) ; + - divider.even_0.N\[2\] ( split15 A ) ( _456_ Q ) ( _417_ A1 ) ( _362_ B1 ) ( _361_ B ) ( _307_ A1 ) ( _287_ A1 ) + USE SIGNAL + + ROUTED met1 ( 66010 10370 ) ( 68310 * ) + NEW met1 ( 68310 10030 ) ( * 10370 ) + NEW met1 ( 68310 10030 ) ( 77050 * ) + NEW met2 ( 66010 10370 ) ( * 12750 ) + NEW met1 ( 77050 22610 ) ( 77510 * ) + NEW met1 ( 83030 25670 ) ( * 26010 ) + NEW met1 ( 77050 25670 ) ( 83030 * ) + NEW met2 ( 77050 22610 ) ( * 25670 ) + NEW met2 ( 77050 10030 ) ( * 22610 ) + NEW met2 ( 46230 9690 ) ( * 12410 ) + NEW met1 ( 46230 12410 ) ( 50830 * ) + NEW met1 ( 50830 12410 ) ( * 12750 ) + NEW met1 ( 24610 12070 ) ( * 12750 ) + NEW met1 ( 24610 12750 ) ( 34730 * ) + NEW met1 ( 34730 12750 ) ( * 13090 ) + NEW met1 ( 34730 13090 ) ( 42550 * ) + NEW li1 ( 42550 11390 ) ( * 13090 ) + NEW met1 ( 42550 11390 ) ( 46230 * ) + NEW met1 ( 21850 12410 ) ( 24610 * ) + NEW met1 ( 17250 12410 ) ( 21850 * ) + NEW met1 ( 50830 12750 ) ( 66010 * ) + NEW met2 ( 21850 12410 ) ( * 15130 ) + NEW li1 ( 66010 10370 ) L1M1_PR + NEW met1 ( 77050 10030 ) M1M2_PR + NEW met1 ( 66010 12750 ) M1M2_PR + NEW met1 ( 66010 10370 ) M1M2_PR + NEW li1 ( 77510 22610 ) L1M1_PR NEW met1 ( 77050 22610 ) M1M2_PR - NEW met1 ( 77050 22610 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.old_N\[1\] ( _415_ Q ) ( _320_ A ) ( _319_ B_N ) + USE SIGNAL - + ROUTED met1 ( 75670 18530 ) ( 76130 * ) - NEW met2 ( 75670 18530 ) ( * 20570 ) - NEW met1 ( 69230 20570 ) ( 75670 * ) - NEW met1 ( 75670 14110 ) ( 80730 * ) - NEW met2 ( 75670 14110 ) ( * 18530 ) - NEW li1 ( 76130 18530 ) L1M1_PR - NEW met1 ( 75670 18530 ) M1M2_PR - NEW met1 ( 75670 20570 ) M1M2_PR - NEW li1 ( 69230 20570 ) L1M1_PR - NEW li1 ( 80730 14110 ) L1M1_PR - NEW met1 ( 75670 14110 ) M1M2_PR ; - - divider.odd_0.old_N\[2\] ( _416_ Q ) ( _323_ B ) ( _322_ B ) + USE SIGNAL - + ROUTED met2 ( 66010 20570 ) ( * 22270 ) - NEW met1 ( 65550 22270 ) ( 66010 * ) - NEW met1 ( 64630 20570 ) ( 66010 * ) - NEW li1 ( 66010 20570 ) L1M1_PR - NEW met1 ( 66010 20570 ) M1M2_PR - NEW met1 ( 66010 22270 ) M1M2_PR - NEW li1 ( 65550 22270 ) L1M1_PR - NEW li1 ( 64630 20570 ) L1M1_PR - NEW met1 ( 66010 20570 ) RECT ( -355 -70 0 70 ) ; - - divider.odd_0.out_counter ( _454_ Q ) ( _349_ A ) ( _273_ A ) + USE SIGNAL - + ROUTED met1 ( 57270 11730 ) ( * 12070 ) - NEW met1 ( 53360 11730 ) ( 57270 * ) - NEW met1 ( 55430 9690 ) ( 56350 * ) - NEW met2 ( 56350 9690 ) ( * 11730 ) - NEW li1 ( 57270 12070 ) L1M1_PR - NEW li1 ( 53360 11730 ) L1M1_PR - NEW li1 ( 55430 9690 ) L1M1_PR - NEW met1 ( 56350 9690 ) M1M2_PR - NEW met1 ( 56350 11730 ) M1M2_PR - NEW met1 ( 56350 11730 ) RECT ( -595 -70 0 70 ) ; - - divider.odd_0.out_counter2 ( _447_ Q ) ( _349_ B ) ( _304_ A ) + USE SIGNAL - + ROUTED met1 ( 51520 6630 ) ( 54970 * ) - NEW met2 ( 54970 6630 ) ( * 9350 ) - NEW met2 ( 52210 4250 ) ( * 6630 ) - NEW li1 ( 51520 6630 ) L1M1_PR - NEW met1 ( 54970 6630 ) M1M2_PR - NEW li1 ( 54970 9350 ) L1M1_PR - NEW met1 ( 54970 9350 ) M1M2_PR - NEW li1 ( 52210 4250 ) L1M1_PR - NEW met1 ( 52210 4250 ) M1M2_PR - NEW met1 ( 52210 6630 ) M1M2_PR - NEW met1 ( 54970 9350 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 52210 4250 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 52210 6630 ) RECT ( -595 -70 0 70 ) ; - - divider.odd_0.rst_pulse ( _443_ Q ) ( _407_ S ) ( _405_ S ) ( _402_ S ) ( _399_ S ) ( _397_ A1 ) ( _386_ S ) - ( _382_ S ) ( _292_ A1 ) ( _276_ A ) + USE SIGNAL - + ROUTED met2 ( 33810 6970 ) ( * 9690 ) - NEW met1 ( 28290 6970 ) ( 33810 * ) - NEW met1 ( 28290 6630 ) ( * 6970 ) - NEW met1 ( 35190 14790 ) ( 39330 * ) - NEW met1 ( 39330 14110 ) ( * 14790 ) - NEW met1 ( 34270 17850 ) ( 34730 * ) - NEW met1 ( 34270 17850 ) ( * 18190 ) - NEW met2 ( 34270 14790 ) ( * 18190 ) - NEW met1 ( 34270 14790 ) ( 35190 * ) - NEW met2 ( 33810 14790 ) ( 34270 * ) - NEW met2 ( 33810 9690 ) ( * 14790 ) - NEW met1 ( 76130 12410 ) ( 77970 * ) - NEW met2 ( 77970 9350 ) ( * 12410 ) - NEW met1 ( 77970 9350 ) ( 87170 * ) - NEW met2 ( 71530 12410 ) ( * 14790 ) - NEW met1 ( 71530 12410 ) ( 76130 * ) - NEW met2 ( 64170 9350 ) ( * 12410 ) - NEW met1 ( 64170 12410 ) ( 71530 * ) - NEW met1 ( 48990 9640 ) ( 49410 * ) - NEW met1 ( 48990 9010 ) ( * 9640 ) - NEW met1 ( 48990 9010 ) ( 64170 * ) - NEW met1 ( 64170 9010 ) ( * 9350 ) - NEW met2 ( 49450 9010 ) ( * 14110 ) - NEW met2 ( 49450 14110 ) ( * 20230 ) - NEW met1 ( 39330 14110 ) ( 49450 * ) - NEW met1 ( 47150 20230 ) ( 49450 * ) - NEW li1 ( 33810 9690 ) L1M1_PR - NEW met1 ( 33810 9690 ) M1M2_PR - NEW met1 ( 33810 6970 ) M1M2_PR - NEW li1 ( 28290 6630 ) L1M1_PR - NEW li1 ( 47150 20230 ) L1M1_PR - NEW li1 ( 35190 14790 ) L1M1_PR + NEW li1 ( 83030 26010 ) L1M1_PR + NEW met1 ( 77050 25670 ) M1M2_PR + NEW li1 ( 46230 9690 ) L1M1_PR + NEW met1 ( 46230 9690 ) M1M2_PR + NEW met1 ( 46230 12410 ) M1M2_PR + NEW li1 ( 24610 12070 ) L1M1_PR + NEW li1 ( 42550 13090 ) L1M1_PR + NEW li1 ( 42550 11390 ) L1M1_PR + NEW met1 ( 46230 11390 ) M1M2_PR + NEW met1 ( 21850 12410 ) M1M2_PR + NEW li1 ( 17250 12410 ) L1M1_PR + NEW li1 ( 21850 15130 ) L1M1_PR + NEW met1 ( 21850 15130 ) M1M2_PR + NEW met1 ( 66010 10370 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 46230 9690 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 46230 11390 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 21850 15130 ) RECT ( -355 -70 0 70 ) ; + - divider.even_0.counter\[0\] ( _468_ Q ) ( _374_ B ) ( _373_ A ) ( _351_ C_N ) ( _342_ C ) ( _320_ A1 ) ( _316_ C ) + USE SIGNAL + + ROUTED met1 ( 27830 20570 ) ( 28290 * ) + NEW met2 ( 27830 20570 ) ( * 23970 ) + NEW met1 ( 27830 23970 ) ( 39790 * ) + NEW met1 ( 39790 22950 ) ( * 23970 ) + NEW met1 ( 24610 22950 ) ( 25530 * ) + NEW met1 ( 25530 22610 ) ( * 22950 ) + NEW met1 ( 25530 22610 ) ( 27370 * ) + NEW met2 ( 27370 22610 ) ( * 22780 ) + NEW met2 ( 27370 22780 ) ( 27830 * ) + NEW met1 ( 22770 20570 ) ( 27830 * ) + NEW met1 ( 19550 20570 ) ( 22770 * ) + NEW met1 ( 17250 25670 ) ( 19550 * ) + NEW met2 ( 19550 20570 ) ( * 25670 ) + NEW met1 ( 18170 20230 ) ( * 20570 ) + NEW met1 ( 18170 20230 ) ( 19550 * ) + NEW met1 ( 19550 20230 ) ( * 20570 ) + NEW met1 ( 11730 20570 ) ( 18170 * ) + NEW li1 ( 11730 20570 ) L1M1_PR + NEW li1 ( 28290 20570 ) L1M1_PR + NEW met1 ( 27830 20570 ) M1M2_PR + NEW met1 ( 27830 23970 ) M1M2_PR + NEW li1 ( 39790 22950 ) L1M1_PR + NEW li1 ( 24610 22950 ) L1M1_PR + NEW met1 ( 27370 22610 ) M1M2_PR + NEW li1 ( 22770 20570 ) L1M1_PR + NEW li1 ( 19550 20570 ) L1M1_PR + NEW li1 ( 17250 25670 ) L1M1_PR + NEW met1 ( 19550 25670 ) M1M2_PR + NEW met1 ( 19550 20570 ) M1M2_PR + NEW met1 ( 19550 20570 ) RECT ( 0 -70 595 70 ) ; + - divider.even_0.counter\[1\] ( _469_ Q ) ( _374_ A ) ( _351_ B ) ( _340_ B ) ( _318_ A1 ) ( _316_ B ) + USE SIGNAL + + ROUTED met1 ( 28750 20570 ) ( 36570 * ) + NEW met1 ( 23690 22610 ) ( * 22950 ) + NEW met1 ( 23690 22610 ) ( 25070 * ) + NEW met2 ( 25070 20910 ) ( * 22610 ) + NEW met1 ( 25070 20910 ) ( 28750 * ) + NEW met1 ( 28750 20570 ) ( * 20910 ) + NEW met1 ( 15410 20910 ) ( 25070 * ) + NEW met2 ( 15410 20910 ) ( * 22270 ) + NEW met1 ( 17710 26010 ) ( 18170 * ) + NEW met2 ( 18170 20910 ) ( * 26010 ) + NEW li1 ( 28750 20570 ) L1M1_PR + NEW li1 ( 36570 20570 ) L1M1_PR + NEW li1 ( 23690 22950 ) L1M1_PR + NEW met1 ( 25070 22610 ) M1M2_PR + NEW met1 ( 25070 20910 ) M1M2_PR + NEW li1 ( 15410 20910 ) L1M1_PR + NEW li1 ( 15410 22270 ) L1M1_PR + NEW met1 ( 15410 22270 ) M1M2_PR + NEW met1 ( 15410 20910 ) M1M2_PR + NEW li1 ( 17710 26010 ) L1M1_PR + NEW met1 ( 18170 26010 ) M1M2_PR + NEW met1 ( 18170 20910 ) M1M2_PR + NEW met1 ( 15410 22270 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 15410 20910 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 18170 20910 ) RECT ( -595 -70 0 70 ) ; + - divider.even_0.counter\[2\] ( _470_ Q ) ( _351_ A ) ( _340_ A ) ( _317_ A ) + USE SIGNAL + + ROUTED met1 ( 27370 22950 ) ( 27830 * ) + NEW met1 ( 27370 22950 ) ( * 23290 ) + NEW met1 ( 24150 23290 ) ( 27370 * ) + NEW met1 ( 27830 22270 ) ( 39330 * ) + NEW met1 ( 27830 22270 ) ( * 22950 ) + NEW met1 ( 37030 20570 ) ( 37490 * ) + NEW met2 ( 37030 20570 ) ( * 22270 ) + NEW li1 ( 27830 22950 ) L1M1_PR + NEW li1 ( 24150 23290 ) L1M1_PR + NEW li1 ( 39330 22270 ) L1M1_PR + NEW li1 ( 37490 20570 ) L1M1_PR + NEW met1 ( 37030 20570 ) M1M2_PR + NEW met1 ( 37030 22270 ) M1M2_PR + NEW met1 ( 37030 22270 ) RECT ( -595 -70 0 70 ) ; + - divider.even_0.out_counter ( _463_ Q ) ( _435_ A0 ) ( _343_ A ) + USE SIGNAL + + ROUTED met1 ( 44390 23970 ) ( 53360 * ) + NEW met2 ( 44390 23970 ) ( * 26010 ) + NEW met1 ( 42550 26010 ) ( 44390 * ) + NEW met1 ( 54050 22950 ) ( 56350 * ) + NEW met1 ( 54050 22950 ) ( * 23970 ) + NEW met1 ( 53360 23970 ) ( 54050 * ) + NEW li1 ( 53360 23970 ) L1M1_PR + NEW met1 ( 44390 23970 ) M1M2_PR + NEW met1 ( 44390 26010 ) M1M2_PR + NEW li1 ( 42550 26010 ) L1M1_PR + NEW li1 ( 56350 22950 ) L1M1_PR ; + - divider.odd_0.counter2\[0\] ( _464_ Q ) ( _367_ B ) ( _366_ B ) ( _337_ A ) ( _312_ B ) ( _309_ C_N ) + USE SIGNAL + + ROUTED met1 ( 86710 1190 ) ( 89470 * ) + NEW met2 ( 89470 1190 ) ( * 5950 ) + NEW met1 ( 74290 1530 ) ( * 1870 ) + NEW met1 ( 74290 1870 ) ( 86710 * ) + NEW met1 ( 86710 1190 ) ( * 1870 ) + NEW met1 ( 65090 1190 ) ( * 1530 ) + NEW met1 ( 65090 1530 ) ( 74290 * ) + NEW met2 ( 66010 1530 ) ( * 4250 ) + NEW met1 ( 67390 6290 ) ( * 6630 ) + NEW met1 ( 66010 6290 ) ( 67390 * ) + NEW met2 ( 66010 4250 ) ( * 6290 ) + NEW li1 ( 86710 1190 ) L1M1_PR + NEW met1 ( 89470 1190 ) M1M2_PR + NEW li1 ( 89470 5950 ) L1M1_PR + NEW met1 ( 89470 5950 ) M1M2_PR + NEW li1 ( 74290 1530 ) L1M1_PR + NEW li1 ( 65090 1190 ) L1M1_PR + NEW li1 ( 66010 4250 ) L1M1_PR + NEW met1 ( 66010 4250 ) M1M2_PR + NEW met1 ( 66010 1530 ) M1M2_PR + NEW li1 ( 67390 6630 ) L1M1_PR + NEW met1 ( 66010 6290 ) M1M2_PR + NEW met1 ( 89470 5950 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 66010 4250 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 66010 1530 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.counter2\[1\] ( _465_ Q ) ( _367_ A ) ( _366_ A ) ( _335_ C ) ( _311_ B ) ( _309_ B ) + USE SIGNAL + + ROUTED met2 ( 73830 1190 ) ( * 3230 ) + NEW met1 ( 73830 3230 ) ( 81420 * ) + NEW met1 ( 67850 4250 ) ( 68310 * ) + NEW li1 ( 68310 3230 ) ( * 4250 ) + NEW met1 ( 68310 3230 ) ( 73830 * ) + NEW met1 ( 64170 4250 ) ( 65090 * ) + NEW met1 ( 65090 4250 ) ( * 4590 ) + NEW met1 ( 65090 4590 ) ( 67850 * ) + NEW met1 ( 67850 4250 ) ( * 4590 ) + NEW met2 ( 64170 1190 ) ( * 4250 ) + NEW met1 ( 61410 4250 ) ( * 4300 ) + NEW met1 ( 61410 4300 ) ( 61870 * ) + NEW met1 ( 61870 4250 ) ( * 4300 ) + NEW met2 ( 61870 4250 ) ( 64170 * ) + NEW li1 ( 73830 1190 ) L1M1_PR + NEW met1 ( 73830 1190 ) M1M2_PR + NEW met1 ( 73830 3230 ) M1M2_PR + NEW li1 ( 81420 3230 ) L1M1_PR + NEW li1 ( 67850 4250 ) L1M1_PR + NEW li1 ( 68310 4250 ) L1M1_PR + NEW li1 ( 68310 3230 ) L1M1_PR + NEW li1 ( 64170 4250 ) L1M1_PR + NEW li1 ( 64170 1190 ) L1M1_PR + NEW met1 ( 64170 1190 ) M1M2_PR + NEW met1 ( 64170 4250 ) M1M2_PR + NEW li1 ( 61410 4250 ) L1M1_PR + NEW met1 ( 61870 4250 ) M1M2_PR + NEW met1 ( 73830 1190 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 64170 1190 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 64170 4250 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.counter2\[2\] ( _466_ Q ) ( _368_ A ) ( _331_ C ) ( _311_ A ) ( _309_ A ) + USE SIGNAL + + ROUTED met2 ( 58190 1190 ) ( * 3230 ) + NEW met1 ( 55890 1190 ) ( 58190 * ) + NEW met1 ( 58190 4250 ) ( 60490 * ) + NEW met1 ( 58190 3230 ) ( * 4250 ) + NEW met1 ( 58190 1190 ) ( 61870 * ) + NEW met1 ( 62330 4250 ) ( * 4280 ) + NEW met1 ( 62330 4280 ) ( 63250 * ) + NEW met1 ( 63250 3910 ) ( * 4280 ) + NEW met1 ( 60490 3910 ) ( 63250 * ) + NEW met1 ( 60490 3910 ) ( * 4250 ) + NEW li1 ( 58190 3230 ) L1M1_PR + NEW met1 ( 58190 3230 ) M1M2_PR + NEW met1 ( 58190 1190 ) M1M2_PR + NEW li1 ( 55890 1190 ) L1M1_PR + NEW li1 ( 60490 4250 ) L1M1_PR + NEW li1 ( 61870 1190 ) L1M1_PR + NEW li1 ( 62330 4250 ) L1M1_PR + NEW met1 ( 58190 3230 ) RECT ( -355 -70 0 70 ) ; + - divider.odd_0.counter\[0\] ( _475_ Q ) ( _371_ B ) ( _370_ B ) ( _369_ A ) ( _296_ B ) ( _281_ B ) + USE SIGNAL + + ROUTED met1 ( 43470 9690 ) ( 43930 * ) + NEW met2 ( 43930 3910 ) ( * 9690 ) + NEW met1 ( 43930 3910 ) ( 46230 * ) + NEW met1 ( 46230 3910 ) ( * 4250 ) + NEW met2 ( 39790 8670 ) ( * 9690 ) + NEW met1 ( 39790 8670 ) ( 43930 * ) + NEW met1 ( 37030 3910 ) ( 43930 * ) + NEW met2 ( 37030 3910 ) ( * 6970 ) + NEW met1 ( 29670 6630 ) ( 31970 * ) + NEW met1 ( 31970 6630 ) ( * 6970 ) + NEW met1 ( 31970 6970 ) ( 32890 * ) + NEW met1 ( 32890 6970 ) ( * 7310 ) + NEW met1 ( 32890 7310 ) ( 36110 * ) + NEW met2 ( 36110 6290 ) ( * 7310 ) + NEW met1 ( 36110 6290 ) ( 37030 * ) + NEW li1 ( 43470 9690 ) L1M1_PR + NEW met1 ( 43930 9690 ) M1M2_PR + NEW met1 ( 43930 3910 ) M1M2_PR + NEW li1 ( 46230 4250 ) L1M1_PR + NEW li1 ( 39790 9690 ) L1M1_PR + NEW met1 ( 39790 9690 ) M1M2_PR + NEW met1 ( 39790 8670 ) M1M2_PR + NEW met1 ( 43930 8670 ) M1M2_PR + NEW li1 ( 37030 3910 ) L1M1_PR + NEW li1 ( 37030 6970 ) L1M1_PR + NEW met1 ( 37030 6970 ) M1M2_PR + NEW met1 ( 37030 3910 ) M1M2_PR + NEW li1 ( 29670 6630 ) L1M1_PR + NEW met1 ( 36110 7310 ) M1M2_PR + NEW met1 ( 36110 6290 ) M1M2_PR + NEW met1 ( 37030 6290 ) M1M2_PR + NEW met1 ( 39790 9690 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 43930 8670 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 37030 6970 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 37030 3910 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 37030 6290 ) RECT ( -70 -485 70 0 ) ; + - divider.odd_0.counter\[1\] ( _476_ Q ) ( _371_ A ) ( _370_ A ) ( _294_ B ) ( _280_ B ) + USE SIGNAL + + ROUTED met1 ( 37030 9010 ) ( * 9690 ) + NEW met1 ( 37030 9010 ) ( 41630 * ) + NEW met1 ( 41630 9010 ) ( * 9690 ) + NEW met1 ( 41630 9690 ) ( 42090 * ) + NEW met1 ( 36570 6630 ) ( 37490 * ) + NEW met2 ( 37490 6630 ) ( * 9010 ) + NEW met1 ( 33580 3570 ) ( 37490 * ) + NEW met2 ( 37490 3570 ) ( * 6630 ) + NEW met1 ( 28750 6290 ) ( * 6630 ) + NEW met1 ( 28750 6290 ) ( 31970 * ) + NEW met2 ( 31970 3570 ) ( * 6290 ) + NEW met1 ( 31970 3570 ) ( 33580 * ) + NEW li1 ( 37030 9690 ) L1M1_PR + NEW li1 ( 42090 9690 ) L1M1_PR + NEW li1 ( 36570 6630 ) L1M1_PR + NEW met1 ( 37490 6630 ) M1M2_PR + NEW met1 ( 37490 9010 ) M1M2_PR + NEW li1 ( 33580 3570 ) L1M1_PR + NEW met1 ( 37490 3570 ) M1M2_PR + NEW li1 ( 28750 6630 ) L1M1_PR + NEW met1 ( 31970 6290 ) M1M2_PR + NEW met1 ( 31970 3570 ) M1M2_PR + NEW met1 ( 37490 9010 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.counter\[2\] ( _477_ Q ) ( _372_ A ) ( _292_ B ) ( _280_ A ) + USE SIGNAL + + ROUTED met1 ( 33350 9690 ) ( * 10030 ) + NEW met1 ( 33350 10030 ) ( 41170 * ) + NEW met1 ( 41170 9690 ) ( * 10030 ) + NEW met1 ( 34730 14790 ) ( 37030 * ) + NEW met1 ( 37030 14790 ) ( * 15130 ) + NEW met2 ( 34730 10030 ) ( * 14790 ) + NEW li1 ( 33350 9690 ) L1M1_PR + NEW li1 ( 41170 9690 ) L1M1_PR + NEW met1 ( 34730 10030 ) M1M2_PR + NEW li1 ( 34730 14790 ) L1M1_PR + NEW li1 ( 37030 15130 ) L1M1_PR + NEW met1 ( 34730 14790 ) M1M2_PR + NEW met1 ( 34730 10030 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 34730 14790 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.initial_begin\[0\] ( _472_ Q ) ( _364_ B ) ( _360_ B ) ( _358_ A ) ( _303_ A0 ) + USE SIGNAL + + ROUTED met2 ( 14030 9690 ) ( * 12410 ) + NEW met1 ( 14030 7650 ) ( 15410 * ) + NEW met2 ( 14030 7650 ) ( * 9690 ) + NEW met1 ( 15410 6630 ) ( 15870 * ) + NEW met1 ( 15410 6630 ) ( * 7650 ) + NEW met1 ( 14030 4930 ) ( 14490 * ) + NEW met2 ( 14030 4930 ) ( * 7650 ) + NEW met1 ( 11730 9690 ) ( 14030 * ) + NEW li1 ( 11730 9690 ) L1M1_PR + NEW met1 ( 14030 9690 ) M1M2_PR + NEW li1 ( 14030 12410 ) L1M1_PR + NEW met1 ( 14030 12410 ) M1M2_PR + NEW li1 ( 15410 7650 ) L1M1_PR + NEW met1 ( 14030 7650 ) M1M2_PR + NEW li1 ( 15870 6630 ) L1M1_PR + NEW li1 ( 14490 4930 ) L1M1_PR + NEW met1 ( 14030 4930 ) M1M2_PR + NEW met1 ( 14030 12410 ) RECT ( 0 -70 355 70 ) ; + - divider.odd_0.initial_begin\[1\] ( _473_ Q ) ( _364_ A ) ( _360_ A ) ( _306_ B ) ( _301_ A0 ) + USE SIGNAL + + ROUTED met1 ( 13570 12070 ) ( 14490 * ) + NEW met2 ( 14490 12070 ) ( * 17850 ) + NEW met1 ( 14490 17850 ) ( 16790 * ) + NEW met1 ( 16790 17510 ) ( * 17850 ) + NEW met2 ( 14490 10370 ) ( * 12070 ) + NEW met1 ( 10840 9690 ) ( 11270 * ) + NEW met1 ( 11270 9350 ) ( * 9690 ) + NEW met1 ( 11270 9350 ) ( 14490 * ) + NEW met2 ( 14490 9350 ) ( * 10370 ) + NEW met1 ( 11040 4570 ) ( * 4590 ) + NEW met1 ( 11040 4570 ) ( 11270 * ) + NEW met1 ( 11270 4570 ) ( * 4590 ) + NEW met2 ( 11270 4590 ) ( * 9350 ) + NEW li1 ( 13570 12070 ) L1M1_PR + NEW met1 ( 14490 12070 ) M1M2_PR + NEW met1 ( 14490 17850 ) M1M2_PR + NEW li1 ( 16790 17510 ) L1M1_PR + NEW li1 ( 14490 10370 ) L1M1_PR + NEW met1 ( 14490 10370 ) M1M2_PR + NEW li1 ( 10840 9690 ) L1M1_PR + NEW met1 ( 14490 9350 ) M1M2_PR + NEW li1 ( 11040 4590 ) L1M1_PR + NEW met1 ( 11270 4590 ) M1M2_PR + NEW met1 ( 11270 9350 ) M1M2_PR + NEW met1 ( 14490 10370 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 11270 9350 ) RECT ( 0 -70 595 70 ) ; + - divider.odd_0.initial_begin\[2\] ( _474_ Q ) ( _365_ A ) ( _306_ A ) ( _298_ A0 ) + USE SIGNAL + + ROUTED met2 ( 13570 15470 ) ( * 16830 ) + NEW met1 ( 10350 14790 ) ( 13570 * ) + NEW met1 ( 13570 14790 ) ( * 15470 ) + NEW met2 ( 10350 12070 ) ( * 14790 ) + NEW met1 ( 15870 16830 ) ( * 17510 ) + NEW met1 ( 13570 15470 ) ( 14490 * ) + NEW met1 ( 13570 16830 ) ( 15870 * ) + NEW met1 ( 13570 15470 ) M1M2_PR + NEW met1 ( 13570 16830 ) M1M2_PR + NEW li1 ( 10350 14790 ) L1M1_PR + NEW li1 ( 10350 12070 ) L1M1_PR + NEW met1 ( 10350 12070 ) M1M2_PR + NEW met1 ( 10350 14790 ) M1M2_PR + NEW li1 ( 15870 17510 ) L1M1_PR + NEW li1 ( 14490 15470 ) L1M1_PR + NEW met1 ( 10350 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 10350 14790 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.old_N\[0\] ( _438_ Q ) ( _324_ C ) + USE SIGNAL + + ROUTED met2 ( 91310 10370 ) ( * 11730 ) + NEW met1 ( 91310 11730 ) ( 91770 * ) + NEW li1 ( 91310 10370 ) L1M1_PR + NEW met1 ( 91310 10370 ) M1M2_PR + NEW met1 ( 91310 11730 ) M1M2_PR + NEW li1 ( 91770 11730 ) L1M1_PR + NEW met1 ( 91310 10370 ) RECT ( -355 -70 0 70 ) ; + - divider.odd_0.old_N\[1\] ( _439_ Q ) ( _323_ A ) ( _322_ B_N ) + USE SIGNAL + + ROUTED met1 ( 85330 13090 ) ( 85790 * ) + NEW met2 ( 85790 12070 ) ( * 13090 ) + NEW met2 ( 85790 13090 ) ( * 14110 ) + NEW li1 ( 85330 13090 ) L1M1_PR + NEW met1 ( 85790 13090 ) M1M2_PR + NEW li1 ( 85790 12070 ) L1M1_PR + NEW met1 ( 85790 12070 ) M1M2_PR + NEW li1 ( 85790 14110 ) L1M1_PR + NEW met1 ( 85790 14110 ) M1M2_PR + NEW met1 ( 85790 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 85790 14110 ) RECT ( -355 -70 0 70 ) ; + - divider.odd_0.old_N\[2\] ( _440_ Q ) ( _326_ B ) ( _325_ B ) + USE SIGNAL + + ROUTED met1 ( 70610 16830 ) ( 73370 * ) + NEW met1 ( 70610 16830 ) ( * 17510 ) + NEW met1 ( 65550 17510 ) ( 70610 * ) + NEW met2 ( 73370 15130 ) ( * 16830 ) + NEW li1 ( 73370 16830 ) L1M1_PR + NEW li1 ( 65550 17510 ) L1M1_PR + NEW li1 ( 73370 15130 ) L1M1_PR + NEW met1 ( 73370 15130 ) M1M2_PR + NEW met1 ( 73370 16830 ) M1M2_PR + NEW met1 ( 73370 15130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 73370 16830 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.out_counter ( _478_ Q ) ( _354_ A ) ( _282_ A ) + USE SIGNAL + + ROUTED met1 ( 51520 17510 ) ( 55430 * ) + NEW met1 ( 49450 15130 ) ( 50370 * ) + NEW met2 ( 50370 15130 ) ( * 17510 ) + NEW met1 ( 50370 17510 ) ( 51520 * ) + NEW li1 ( 51520 17510 ) L1M1_PR + NEW li1 ( 55430 17510 ) L1M1_PR + NEW li1 ( 49450 15130 ) L1M1_PR + NEW met1 ( 50370 15130 ) M1M2_PR + NEW met1 ( 50370 17510 ) M1M2_PR ; + - divider.odd_0.out_counter2 ( _471_ Q ) ( _354_ B ) ( _308_ A ) + USE SIGNAL + + ROUTED met2 ( 59110 14110 ) ( * 17850 ) + NEW met1 ( 55890 17850 ) ( 59110 * ) + NEW met2 ( 60030 12070 ) ( * 14110 ) + NEW met1 ( 59110 14110 ) ( 69460 * ) + NEW li1 ( 69460 14110 ) L1M1_PR + NEW li1 ( 60030 12070 ) L1M1_PR + NEW met1 ( 60030 12070 ) M1M2_PR + NEW met1 ( 59110 14110 ) M1M2_PR + NEW met1 ( 59110 17850 ) M1M2_PR + NEW li1 ( 55890 17850 ) L1M1_PR + NEW met1 ( 60030 14110 ) M1M2_PR + NEW met1 ( 60030 12070 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 60030 14110 ) RECT ( -595 -70 0 70 ) ; + - divider.odd_0.rst_pulse ( _467_ Q ) ( _424_ S ) ( _422_ S ) ( _420_ S ) ( _418_ S ) ( _416_ S ) ( _414_ S ) + ( _412_ S ) ( _411_ S ) ( _410_ S ) ( _398_ A1 ) ( _285_ A ) + USE SIGNAL + + ROUTED met1 ( 75210 8670 ) ( * 9350 ) + NEW met1 ( 73830 8670 ) ( 75210 * ) + NEW met2 ( 73830 7820 ) ( * 8670 ) + NEW met2 ( 73370 7820 ) ( 73830 * ) + NEW met2 ( 73370 7650 ) ( * 7820 ) + NEW met1 ( 63710 7650 ) ( 73370 * ) + NEW met1 ( 63710 6970 ) ( * 7650 ) + NEW met1 ( 75210 9350 ) ( 80270 * ) + NEW met2 ( 77510 9350 ) ( * 11730 ) + NEW met1 ( 62100 6970 ) ( 63710 * ) + NEW met1 ( 50830 9690 ) ( 53590 * ) + NEW met2 ( 53590 9180 ) ( * 9690 ) + NEW met2 ( 53590 9180 ) ( 55890 * ) + NEW met2 ( 55890 7650 ) ( * 9180 ) + NEW met1 ( 55890 7650 ) ( 62100 * ) + NEW met1 ( 62100 6970 ) ( * 7650 ) + NEW met1 ( 45770 6970 ) ( 48990 * ) + NEW met2 ( 48990 6970 ) ( * 9690 ) + NEW met1 ( 48990 9690 ) ( 50830 * ) + NEW met1 ( 33810 6970 ) ( 36570 * ) + NEW met1 ( 36570 6970 ) ( * 7310 ) + NEW met1 ( 36570 7310 ) ( 39790 * ) + NEW met1 ( 39790 6970 ) ( * 7310 ) + NEW met1 ( 39790 6970 ) ( 45770 * ) + NEW met1 ( 33350 6970 ) ( 33810 * ) + NEW met1 ( 26450 6290 ) ( 28290 * ) + NEW li1 ( 28290 6290 ) ( * 7310 ) + NEW met1 ( 28290 7310 ) ( 30130 * ) + NEW met1 ( 30130 7310 ) ( * 7650 ) + NEW met1 ( 30130 7650 ) ( 33350 * ) + NEW met2 ( 20010 6290 ) ( * 9350 ) + NEW met1 ( 20010 6290 ) ( 26450 * ) + NEW met2 ( 20010 3910 ) ( * 6290 ) + NEW met1 ( 33350 17850 ) ( 34730 * ) + NEW met2 ( 20010 9350 ) ( * 14790 ) + NEW met2 ( 33350 6970 ) ( * 17850 ) + NEW li1 ( 63710 6970 ) L1M1_PR + NEW li1 ( 75210 9350 ) L1M1_PR + NEW met1 ( 73830 8670 ) M1M2_PR + NEW met1 ( 73370 7650 ) M1M2_PR + NEW li1 ( 80270 9350 ) L1M1_PR + NEW li1 ( 77510 11730 ) L1M1_PR + NEW met1 ( 77510 11730 ) M1M2_PR + NEW met1 ( 77510 9350 ) M1M2_PR + NEW li1 ( 50830 9690 ) L1M1_PR + NEW met1 ( 53590 9690 ) M1M2_PR + NEW met1 ( 55890 7650 ) M1M2_PR + NEW li1 ( 45770 6970 ) L1M1_PR + NEW met1 ( 48990 6970 ) M1M2_PR + NEW met1 ( 48990 9690 ) M1M2_PR + NEW li1 ( 33810 6970 ) L1M1_PR + NEW met1 ( 33350 6970 ) M1M2_PR + NEW li1 ( 26450 6290 ) L1M1_PR + NEW li1 ( 28290 6290 ) L1M1_PR + NEW li1 ( 28290 7310 ) L1M1_PR + NEW met1 ( 33350 7650 ) M1M2_PR + NEW li1 ( 20010 9350 ) L1M1_PR + NEW met1 ( 20010 9350 ) M1M2_PR + NEW met1 ( 20010 6290 ) M1M2_PR + NEW li1 ( 20010 3910 ) L1M1_PR + NEW met1 ( 20010 3910 ) M1M2_PR + NEW met1 ( 33350 17850 ) M1M2_PR NEW li1 ( 34730 17850 ) L1M1_PR - NEW met1 ( 34270 18190 ) M1M2_PR - NEW met1 ( 34270 14790 ) M1M2_PR - NEW li1 ( 76130 12410 ) L1M1_PR - NEW met1 ( 77970 12410 ) M1M2_PR - NEW met1 ( 77970 9350 ) M1M2_PR - NEW li1 ( 87170 9350 ) L1M1_PR - NEW li1 ( 71530 14790 ) L1M1_PR - NEW met1 ( 71530 14790 ) M1M2_PR - NEW met1 ( 71530 12410 ) M1M2_PR - NEW li1 ( 64170 9350 ) L1M1_PR - NEW met1 ( 64170 9350 ) M1M2_PR - NEW met1 ( 64170 12410 ) M1M2_PR - NEW li1 ( 49410 9640 ) L1M1_PR - NEW met1 ( 49450 14110 ) M1M2_PR - NEW met1 ( 49450 9010 ) M1M2_PR - NEW met1 ( 49450 20230 ) M1M2_PR - NEW met1 ( 33810 9690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 71530 14790 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 64170 9350 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 49450 9010 ) RECT ( -595 -70 0 70 ) ; - - divider.out ( _432_ CLK ) ( _431_ CLK ) ( _430_ CLK ) ( _429_ CLK ) ( _428_ CLK ) ( _427_ CLK ) ( _393_ A1 ) - ( _351_ Y ) + USE SIGNAL - + ROUTED met1 ( 66010 51170 ) ( 74750 * ) - NEW met2 ( 66010 51170 ) ( * 52530 ) - NEW met1 ( 62790 52530 ) ( 66010 * ) - NEW met1 ( 62790 52530 ) ( * 52870 ) - NEW met2 ( 74290 20230 ) ( 74750 * ) - NEW met2 ( 74750 20230 ) ( * 26010 ) - NEW met2 ( 79350 21250 ) ( * 22950 ) - NEW met1 ( 74750 21250 ) ( 79350 * ) - NEW met1 ( 78890 17510 ) ( 79350 * ) - NEW met2 ( 79350 17510 ) ( * 21250 ) - NEW met2 ( 79350 12410 ) ( * 17510 ) - NEW met1 ( 74750 9350 ) ( 76590 * ) - NEW met2 ( 76590 9350 ) ( * 11730 ) - NEW met1 ( 76590 11730 ) ( 79350 * ) - NEW met1 ( 79350 11730 ) ( * 12410 ) - NEW met2 ( 58650 11730 ) ( * 14790 ) - NEW met1 ( 58650 11730 ) ( 75210 * ) - NEW met1 ( 75210 11390 ) ( * 11730 ) - NEW met1 ( 75210 11390 ) ( 76590 * ) - NEW met1 ( 76590 11390 ) ( * 11730 ) - NEW met2 ( 74750 26010 ) ( * 51170 ) - NEW met1 ( 74750 51170 ) M1M2_PR - NEW met1 ( 66010 51170 ) M1M2_PR - NEW met1 ( 66010 52530 ) M1M2_PR - NEW li1 ( 62790 52870 ) L1M1_PR - NEW li1 ( 74750 26010 ) L1M1_PR - NEW met1 ( 74750 26010 ) M1M2_PR + NEW li1 ( 20010 14790 ) L1M1_PR + NEW met1 ( 20010 14790 ) M1M2_PR + NEW met1 ( 77510 11730 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 77510 9350 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 33350 7650 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 20010 9350 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 20010 3910 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 20010 14790 ) RECT ( -355 -70 0 70 ) ; + - divider.out ( _456_ CLK ) ( _455_ CLK ) ( _454_ CLK ) ( _453_ CLK ) ( _452_ CLK ) ( _451_ CLK ) ( _399_ A1 ) + ( _355_ Y ) + USE SIGNAL + + ROUTED met2 ( 66010 20230 ) ( * 20740 ) + NEW met2 ( 65550 20740 ) ( 66010 * ) + NEW met2 ( 65550 20740 ) ( * 53550 ) + NEW met1 ( 65550 23290 ) ( 67390 * ) + NEW met1 ( 71070 20570 ) ( * 20910 ) + NEW met1 ( 69230 20910 ) ( 71070 * ) + NEW met2 ( 69230 20230 ) ( * 20910 ) + NEW met1 ( 66010 20230 ) ( 69230 * ) + NEW met1 ( 71070 20230 ) ( 74290 * ) + NEW met1 ( 71070 20230 ) ( * 20570 ) + NEW met1 ( 75210 22950 ) ( 78890 * ) + NEW met2 ( 75210 20230 ) ( * 22950 ) + NEW met1 ( 74290 20230 ) ( 75210 * ) + NEW met2 ( 79350 17510 ) ( * 22950 ) + NEW met1 ( 78890 22950 ) ( 79350 * ) + NEW met1 ( 74750 15130 ) ( * 15810 ) + NEW met1 ( 74750 15810 ) ( 75210 * ) + NEW met2 ( 75210 15810 ) ( * 20230 ) + NEW met1 ( 58650 19890 ) ( * 20230 ) + NEW met1 ( 55890 19890 ) ( 58650 * ) + NEW met1 ( 58650 20230 ) ( 66010 * ) + NEW met1 ( 61870 53550 ) ( 65550 * ) + NEW met1 ( 66010 20230 ) M1M2_PR + NEW met1 ( 65550 53550 ) M1M2_PR + NEW li1 ( 67390 23290 ) L1M1_PR + NEW met1 ( 65550 23290 ) M1M2_PR + NEW li1 ( 71070 20570 ) L1M1_PR + NEW met1 ( 69230 20910 ) M1M2_PR + NEW met1 ( 69230 20230 ) M1M2_PR NEW li1 ( 74290 20230 ) L1M1_PR - NEW met1 ( 74290 20230 ) M1M2_PR - NEW li1 ( 79350 22950 ) L1M1_PR - NEW met1 ( 79350 22950 ) M1M2_PR - NEW met1 ( 79350 21250 ) M1M2_PR - NEW met1 ( 74750 21250 ) M1M2_PR - NEW li1 ( 78890 17510 ) L1M1_PR + NEW li1 ( 78890 22950 ) L1M1_PR + NEW met1 ( 75210 22950 ) M1M2_PR + NEW met1 ( 75210 20230 ) M1M2_PR + NEW li1 ( 79350 17510 ) L1M1_PR NEW met1 ( 79350 17510 ) M1M2_PR - NEW li1 ( 79350 12410 ) L1M1_PR - NEW met1 ( 79350 12410 ) M1M2_PR - NEW li1 ( 74750 9350 ) L1M1_PR - NEW met1 ( 76590 9350 ) M1M2_PR - NEW met1 ( 76590 11730 ) M1M2_PR - NEW li1 ( 58650 14790 ) L1M1_PR - NEW met1 ( 58650 14790 ) M1M2_PR - NEW met1 ( 58650 11730 ) M1M2_PR - NEW met1 ( 74750 26010 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 74290 20230 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 79350 22950 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 74750 21250 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 79350 12410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 58650 14790 ) RECT ( -355 -70 0 70 ) ; - - divider.syncNp\[0\] ( _430_ D ) ( _427_ Q ) + USE SIGNAL - + ROUTED met2 ( 80730 10370 ) ( * 11730 ) - NEW met1 ( 80730 10370 ) ( 83490 * ) - NEW li1 ( 83490 10370 ) L1M1_PR - NEW met1 ( 80730 10370 ) M1M2_PR - NEW li1 ( 80730 11730 ) L1M1_PR - NEW met1 ( 80730 11730 ) M1M2_PR - NEW met1 ( 80730 11730 ) RECT ( -355 -70 0 70 ) ; - - divider.syncNp\[1\] ( _431_ D ) ( _428_ Q ) + USE SIGNAL - + ROUTED met2 ( 80730 17850 ) ( * 19550 ) - NEW met1 ( 80730 19550 ) ( 83260 * ) - NEW li1 ( 80730 17850 ) L1M1_PR - NEW met1 ( 80730 17850 ) M1M2_PR - NEW met1 ( 80730 19550 ) M1M2_PR - NEW li1 ( 83260 19550 ) L1M1_PR - NEW met1 ( 80730 17850 ) RECT ( -355 -70 0 70 ) ; - - divider.syncNp\[2\] ( _432_ D ) ( _429_ Q ) + USE SIGNAL - + ROUTED met1 ( 80730 23290 ) ( 83490 * ) - NEW met2 ( 83490 23290 ) ( * 24990 ) - NEW li1 ( 80730 23290 ) L1M1_PR - NEW met1 ( 83490 23290 ) M1M2_PR - NEW li1 ( 83490 24990 ) L1M1_PR - NEW met1 ( 83490 24990 ) M1M2_PR - NEW met1 ( 83490 24990 ) RECT ( -355 -70 0 70 ) ; - - divider2.even_0.N\[0\] ( _436_ Q ) ( _417_ D ) ( _384_ A1 ) ( _383_ A1 ) ( _380_ A1 ) ( _379_ A1 ) ( _376_ B ) - ( _266_ A ) ( _251_ B1 ) ( _245_ B1 ) ( _215_ B1 ) ( _213_ B1 ) ( _206_ S ) ( _204_ S ) + USE SIGNAL - + ROUTED met2 ( 87630 31110 ) ( * 38930 ) - NEW met1 ( 87630 38930 ) ( 89470 * ) - NEW met1 ( 71070 30430 ) ( * 30770 ) - NEW met1 ( 71070 30430 ) ( 77050 * ) - NEW met1 ( 77050 30430 ) ( * 30770 ) - NEW met1 ( 77050 30770 ) ( 87630 * ) - NEW met1 ( 87630 30770 ) ( * 31110 ) - NEW met1 ( 69230 33830 ) ( * 34170 ) - NEW met1 ( 67850 33830 ) ( 69230 * ) - NEW met2 ( 67850 30770 ) ( * 33830 ) - NEW met1 ( 67850 30770 ) ( 71070 * ) - NEW met1 ( 65090 39270 ) ( 67850 * ) - NEW met2 ( 67850 33830 ) ( * 39270 ) - NEW met1 ( 62100 39270 ) ( 65090 * ) - NEW met1 ( 55890 36890 ) ( 56810 * ) - NEW met2 ( 56810 36890 ) ( * 39950 ) - NEW met1 ( 56810 39950 ) ( 57730 * ) - NEW met1 ( 57730 39610 ) ( * 39950 ) - NEW met1 ( 57730 39610 ) ( 62100 * ) + NEW met1 ( 79350 22950 ) M1M2_PR + NEW li1 ( 74750 15130 ) L1M1_PR + NEW met1 ( 75210 15810 ) M1M2_PR + NEW li1 ( 61870 53550 ) L1M1_PR + NEW li1 ( 55890 19890 ) L1M1_PR + NEW met2 ( 65550 23290 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 79350 17510 ) RECT ( -355 -70 0 70 ) ; + - divider.syncNp\[0\] ( _454_ D ) ( _451_ Q ) + USE SIGNAL + + ROUTED met1 ( 80730 15810 ) ( 83490 * ) + NEW met2 ( 80730 15810 ) ( * 17170 ) + NEW li1 ( 83490 15810 ) L1M1_PR + NEW met1 ( 80730 15810 ) M1M2_PR + NEW li1 ( 80730 17170 ) L1M1_PR + NEW met1 ( 80730 17170 ) M1M2_PR + NEW met1 ( 80730 17170 ) RECT ( -355 -70 0 70 ) ; + - divider.syncNp\[1\] ( _455_ D ) ( _452_ Q ) + USE SIGNAL + + ROUTED met1 ( 83030 20570 ) ( 83260 * ) + NEW met2 ( 83030 20570 ) ( * 23290 ) + NEW met1 ( 80730 23290 ) ( 83030 * ) + NEW li1 ( 83260 20570 ) L1M1_PR + NEW met1 ( 83030 20570 ) M1M2_PR + NEW met1 ( 83030 23290 ) M1M2_PR + NEW li1 ( 80730 23290 ) L1M1_PR ; + - divider.syncNp\[2\] ( _456_ D ) ( _453_ Q ) + USE SIGNAL + + ROUTED met1 ( 62330 21250 ) ( 68770 * ) + NEW met2 ( 68770 21250 ) ( * 22610 ) + NEW li1 ( 62330 21250 ) L1M1_PR + NEW met1 ( 68770 21250 ) M1M2_PR + NEW li1 ( 68770 22610 ) L1M1_PR + NEW met1 ( 68770 22610 ) M1M2_PR + NEW met1 ( 68770 22610 ) RECT ( -355 -70 0 70 ) ; + - divider2.even_0.N\[0\] ( _460_ Q ) ( _441_ D ) ( _433_ A1 ) ( _432_ A1 ) ( _402_ A1 ) ( _401_ A1 ) ( _394_ B ) + ( _379_ A1 ) ( _378_ A ) ( _376_ A ) ( _275_ A ) ( _260_ B1 ) ( _254_ B1 ) ( _227_ B1 ) ( _225_ B1 ) + ( _218_ S ) ( _216_ S ) + USE SIGNAL + + ROUTED met2 ( 79350 28730 ) ( * 33490 ) + NEW met1 ( 79350 28730 ) ( 79810 * ) + NEW met2 ( 71530 28730 ) ( * 30430 ) + NEW met1 ( 71530 30430 ) ( 79350 * ) + NEW met1 ( 69690 38930 ) ( * 39270 ) + NEW met1 ( 69690 38930 ) ( 73830 * ) + NEW met2 ( 73830 30430 ) ( * 38930 ) + NEW met1 ( 65090 30770 ) ( * 31110 ) + NEW met1 ( 65090 30770 ) ( 71530 * ) + NEW met1 ( 71530 30430 ) ( * 30770 ) + NEW met1 ( 63710 38930 ) ( * 39270 ) + NEW met1 ( 63710 38930 ) ( 69690 * ) + NEW met1 ( 52670 36210 ) ( * 36550 ) + NEW met1 ( 52670 36210 ) ( 59110 * ) + NEW met1 ( 59110 36210 ) ( * 36890 ) + NEW met1 ( 59110 36890 ) ( 60950 * ) + NEW met2 ( 60950 36890 ) ( * 38930 ) + NEW met1 ( 54050 26010 ) ( 54095 * ) + NEW met2 ( 54050 26010 ) ( * 36210 ) + NEW met1 ( 60950 38930 ) ( 63710 * ) + NEW met1 ( 40710 36550 ) ( 41170 * ) + NEW met2 ( 41170 34850 ) ( * 36550 ) + NEW met1 ( 31510 28050 ) ( * 28390 ) + NEW met1 ( 31510 28050 ) ( 41170 * ) + NEW met2 ( 41170 28050 ) ( * 34850 ) + NEW met1 ( 23230 33490 ) ( * 33830 ) + NEW met1 ( 23230 33490 ) ( 28750 * ) + NEW met2 ( 28750 28390 ) ( * 33490 ) + NEW met1 ( 28750 28390 ) ( 31510 * ) + NEW met1 ( 25070 36920 ) ( 25425 * ) + NEW met1 ( 25070 36550 ) ( * 36920 ) + NEW met1 ( 24150 36550 ) ( 25070 * ) + NEW met2 ( 24150 33490 ) ( * 36550 ) + NEW met1 ( 22310 31110 ) ( 22770 * ) + NEW met2 ( 22770 31110 ) ( * 33490 ) + NEW met1 ( 22770 33490 ) ( 23230 * ) + NEW met1 ( 19090 37230 ) ( 19550 * ) + NEW met2 ( 19090 37060 ) ( * 37230 ) + NEW met3 ( 19090 37060 ) ( 24150 * ) + NEW met2 ( 24150 36550 ) ( * 37060 ) + NEW met1 ( 29210 41990 ) ( 30130 * ) + NEW met2 ( 29210 33490 ) ( * 41990 ) + NEW met2 ( 28750 33490 ) ( 29210 * ) + NEW met1 ( 14950 38590 ) ( 19090 * ) + NEW met2 ( 19090 37230 ) ( * 38590 ) + NEW met1 ( 9890 38590 ) ( 14950 * ) + NEW met1 ( 41170 34850 ) ( 54050 * ) + NEW li1 ( 79350 33490 ) L1M1_PR + NEW met1 ( 79350 33490 ) M1M2_PR + NEW met1 ( 79350 28730 ) M1M2_PR + NEW li1 ( 79810 28730 ) L1M1_PR + NEW li1 ( 71530 28730 ) L1M1_PR + NEW met1 ( 71530 28730 ) M1M2_PR + NEW met1 ( 71530 30430 ) M1M2_PR + NEW met1 ( 79350 30430 ) M1M2_PR + NEW li1 ( 69690 39270 ) L1M1_PR + NEW met1 ( 73830 38930 ) M1M2_PR + NEW met1 ( 73830 30430 ) M1M2_PR + NEW li1 ( 65090 31110 ) L1M1_PR + NEW li1 ( 63710 39270 ) L1M1_PR + NEW li1 ( 52670 36550 ) L1M1_PR + NEW met1 ( 60950 36890 ) M1M2_PR + NEW met1 ( 60950 38930 ) M1M2_PR + NEW li1 ( 54095 26010 ) L1M1_PR + NEW met1 ( 54050 26010 ) M1M2_PR + NEW met1 ( 54050 36210 ) M1M2_PR + NEW met1 ( 54050 34850 ) M1M2_PR + NEW li1 ( 40710 36550 ) L1M1_PR + NEW met1 ( 41170 36550 ) M1M2_PR + NEW met1 ( 41170 34850 ) M1M2_PR + NEW li1 ( 31510 28390 ) L1M1_PR + NEW met1 ( 41170 28050 ) M1M2_PR + NEW li1 ( 23230 33830 ) L1M1_PR + NEW met1 ( 28750 33490 ) M1M2_PR + NEW met1 ( 28750 28390 ) M1M2_PR + NEW li1 ( 25425 36920 ) L1M1_PR + NEW met1 ( 24150 36550 ) M1M2_PR + NEW met1 ( 24150 33490 ) M1M2_PR + NEW li1 ( 22310 31110 ) L1M1_PR + NEW met1 ( 22770 31110 ) M1M2_PR + NEW met1 ( 22770 33490 ) M1M2_PR + NEW li1 ( 19550 37230 ) L1M1_PR + NEW met1 ( 19090 37230 ) M1M2_PR + NEW met2 ( 19090 37060 ) M2M3_PR_M + NEW met2 ( 24150 37060 ) M2M3_PR_M + NEW li1 ( 30130 41990 ) L1M1_PR + NEW met1 ( 29210 41990 ) M1M2_PR + NEW li1 ( 14950 38590 ) L1M1_PR + NEW met1 ( 19090 38590 ) M1M2_PR + NEW li1 ( 9890 38590 ) L1M1_PR + NEW met1 ( 79350 33490 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 71530 28730 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 79350 30430 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 73830 30430 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 54095 26010 ) RECT ( 0 -70 310 70 ) + NEW met1 ( 54050 36210 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 54050 34850 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 24150 33490 ) RECT ( -595 -70 0 70 ) ; + - divider2.even_0.N\[1\] ( _461_ Q ) ( _442_ D ) ( _409_ A1 ) ( _406_ A1 ) ( _405_ A1 ) ( _404_ A1 ) ( _403_ A1 ) + ( _379_ A2 ) ( _378_ C ) ( _376_ B ) ( _350_ B ) ( _260_ A2 ) ( _254_ A2 ) ( _227_ A2 ) ( _225_ A2 ) + ( _221_ B_N ) ( _220_ A ) + USE SIGNAL + + ROUTED met1 ( 78890 39950 ) ( 79810 * ) + NEW met2 ( 79810 39950 ) ( * 44710 ) + NEW met1 ( 77510 44710 ) ( 79810 * ) + NEW met1 ( 76130 33150 ) ( 79810 * ) + NEW met2 ( 79810 33150 ) ( * 39950 ) + NEW met1 ( 64630 39270 ) ( 66010 * ) + NEW met1 ( 66010 39270 ) ( * 39610 ) + NEW met1 ( 66010 39610 ) ( 71070 * ) + NEW met1 ( 71070 39610 ) ( * 39950 ) + NEW met1 ( 71070 39950 ) ( 78890 * ) + NEW met1 ( 64630 39270 ) ( * 39610 ) + NEW met1 ( 62100 39610 ) ( 64630 * ) + NEW met1 ( 56810 38590 ) ( 60490 * ) + NEW met1 ( 60490 38590 ) ( * 39270 ) + NEW met1 ( 60490 39270 ) ( 62100 * ) NEW met1 ( 62100 39270 ) ( * 39610 ) - NEW met1 ( 44850 37570 ) ( 45770 * ) - NEW met2 ( 45770 37570 ) ( * 39610 ) - NEW met1 ( 45770 39610 ) ( 48990 * ) - NEW met1 ( 48990 39610 ) ( * 39950 ) - NEW met1 ( 48990 39950 ) ( 56810 * ) - NEW met1 ( 44805 44370 ) ( 45770 * ) - NEW met2 ( 45770 39610 ) ( * 44370 ) - NEW met1 ( 36110 33150 ) ( 37030 * ) - NEW met2 ( 36110 33150 ) ( * 37570 ) - NEW met1 ( 36110 37570 ) ( 44850 * ) - NEW met2 ( 26450 37230 ) ( * 39610 ) - NEW met1 ( 26450 37230 ) ( 36110 * ) - NEW met1 ( 36110 37230 ) ( * 37570 ) - NEW met1 ( 23230 34170 ) ( 26910 * ) - NEW met2 ( 26910 34170 ) ( * 35020 ) - NEW met2 ( 26450 35020 ) ( 26910 * ) - NEW met2 ( 26450 35020 ) ( * 37230 ) - NEW met2 ( 21390 34510 ) ( * 36890 ) - NEW met1 ( 21390 34510 ) ( 23230 * ) - NEW met1 ( 23230 34170 ) ( * 34510 ) - NEW met1 ( 17250 42670 ) ( 20470 * ) - NEW met2 ( 20470 41140 ) ( * 42670 ) - NEW met2 ( 20470 41140 ) ( 20930 * ) - NEW met2 ( 20930 36890 ) ( * 41140 ) - NEW met2 ( 20930 36890 ) ( 21390 * ) - NEW met1 ( 15410 38590 ) ( 20930 * ) - NEW li1 ( 87630 31110 ) L1M1_PR - NEW met1 ( 87630 31110 ) M1M2_PR - NEW met1 ( 87630 38930 ) M1M2_PR - NEW li1 ( 89470 38930 ) L1M1_PR - NEW li1 ( 71070 30770 ) L1M1_PR - NEW li1 ( 69230 34170 ) L1M1_PR - NEW met1 ( 67850 33830 ) M1M2_PR - NEW met1 ( 67850 30770 ) M1M2_PR - NEW li1 ( 65090 39270 ) L1M1_PR - NEW met1 ( 67850 39270 ) M1M2_PR - NEW li1 ( 55890 36890 ) L1M1_PR - NEW met1 ( 56810 36890 ) M1M2_PR - NEW met1 ( 56810 39950 ) M1M2_PR - NEW li1 ( 44850 37570 ) L1M1_PR - NEW met1 ( 45770 37570 ) M1M2_PR - NEW met1 ( 45770 39610 ) M1M2_PR - NEW li1 ( 44805 44370 ) L1M1_PR - NEW met1 ( 45770 44370 ) M1M2_PR - NEW li1 ( 37030 33150 ) L1M1_PR - NEW met1 ( 36110 33150 ) M1M2_PR - NEW met1 ( 36110 37570 ) M1M2_PR - NEW li1 ( 26450 39610 ) L1M1_PR - NEW met1 ( 26450 39610 ) M1M2_PR - NEW met1 ( 26450 37230 ) M1M2_PR - NEW li1 ( 23230 34170 ) L1M1_PR - NEW met1 ( 26910 34170 ) M1M2_PR - NEW li1 ( 21390 36890 ) L1M1_PR - NEW met1 ( 21390 36890 ) M1M2_PR - NEW met1 ( 21390 34510 ) M1M2_PR - NEW li1 ( 17250 42670 ) L1M1_PR - NEW met1 ( 20470 42670 ) M1M2_PR - NEW li1 ( 15410 38590 ) L1M1_PR - NEW met1 ( 20930 38590 ) M1M2_PR - NEW met1 ( 87630 31110 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 26450 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 21390 36890 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 20930 38590 ) RECT ( -70 -485 70 0 ) ; - - divider2.even_0.N\[1\] ( _437_ Q ) ( _418_ D ) ( _409_ A1 ) ( _408_ A1 ) ( _392_ A1 ) ( _391_ A1 ) ( _387_ A0 ) - ( _352_ A ) ( _251_ A1 ) ( _245_ A1 ) ( _215_ A1 ) ( _213_ A1 ) ( _209_ A ) ( _208_ B_N ) + USE SIGNAL - + ROUTED met1 ( 89470 44030 ) ( 90390 * ) - NEW met2 ( 90390 32130 ) ( * 44030 ) - NEW met1 ( 71070 42330 ) ( 71990 * ) - NEW met2 ( 71990 42330 ) ( * 44030 ) - NEW met1 ( 71990 44030 ) ( 89470 * ) - NEW met1 ( 70610 44030 ) ( * 44370 ) - NEW met1 ( 70610 44030 ) ( 71990 * ) - NEW met1 ( 62100 44370 ) ( 70610 * ) - NEW met2 ( 54050 36890 ) ( 54510 * ) - NEW met2 ( 54050 36890 ) ( * 44710 ) - NEW met1 ( 54050 44710 ) ( 54970 * ) - NEW met1 ( 54970 44710 ) ( * 45050 ) - NEW met1 ( 54970 45050 ) ( 60030 * ) - NEW met1 ( 60030 44710 ) ( * 45050 ) - NEW met1 ( 60030 44710 ) ( 62100 * ) - NEW met1 ( 62100 44370 ) ( * 44710 ) - NEW met1 ( 46690 41310 ) ( 54050 * ) - NEW met1 ( 42550 41990 ) ( * 42330 ) - NEW met1 ( 42550 41990 ) ( 43470 * ) - NEW met2 ( 43470 41310 ) ( * 41990 ) - NEW met1 ( 43470 41310 ) ( 46690 * ) - NEW met1 ( 39330 36890 ) ( 43470 * ) - NEW met2 ( 43470 36890 ) ( * 41310 ) - NEW met1 ( 35605 44710 ) ( 42090 * ) - NEW met2 ( 42090 42330 ) ( * 44710 ) - NEW met1 ( 42090 42330 ) ( 42550 * ) - NEW met2 ( 33350 32130 ) ( * 36550 ) - NEW met1 ( 33350 36550 ) ( 39330 * ) - NEW met1 ( 39330 36550 ) ( * 36890 ) - NEW met1 ( 27830 39270 ) ( * 39610 ) - NEW met1 ( 27830 39610 ) ( 33350 * ) - NEW met2 ( 33350 36550 ) ( * 39610 ) - NEW met1 ( 25070 41990 ) ( 26910 * ) - NEW met2 ( 25070 39270 ) ( * 41990 ) - NEW met1 ( 25070 39270 ) ( 27830 * ) - NEW met1 ( 24150 33830 ) ( 24610 * ) - NEW met2 ( 24610 33830 ) ( * 37570 ) - NEW met2 ( 24610 37570 ) ( 25070 * ) - NEW met2 ( 25070 37570 ) ( * 39270 ) - NEW met1 ( 25070 44370 ) ( 27370 * ) - NEW met1 ( 25070 44370 ) ( * 44710 ) - NEW met2 ( 25070 41990 ) ( * 44710 ) - NEW met1 ( 22310 36890 ) ( 24610 * ) - NEW li1 ( 89470 44030 ) L1M1_PR - NEW met1 ( 90390 44030 ) M1M2_PR - NEW li1 ( 90390 32130 ) L1M1_PR - NEW met1 ( 90390 32130 ) M1M2_PR - NEW li1 ( 71070 42330 ) L1M1_PR - NEW met1 ( 71990 42330 ) M1M2_PR - NEW met1 ( 71990 44030 ) M1M2_PR - NEW li1 ( 54510 36890 ) L1M1_PR - NEW met1 ( 54510 36890 ) M1M2_PR - NEW met1 ( 54050 44710 ) M1M2_PR - NEW li1 ( 46690 41310 ) L1M1_PR - NEW met1 ( 54050 41310 ) M1M2_PR - NEW li1 ( 42550 42330 ) L1M1_PR - NEW met1 ( 43470 41990 ) M1M2_PR - NEW met1 ( 43470 41310 ) M1M2_PR - NEW li1 ( 39330 36890 ) L1M1_PR - NEW met1 ( 43470 36890 ) M1M2_PR - NEW li1 ( 35605 44710 ) L1M1_PR - NEW met1 ( 42090 44710 ) M1M2_PR - NEW met1 ( 42090 42330 ) M1M2_PR - NEW li1 ( 33350 32130 ) L1M1_PR - NEW met1 ( 33350 32130 ) M1M2_PR - NEW met1 ( 33350 36550 ) M1M2_PR - NEW li1 ( 27830 39270 ) L1M1_PR - NEW met1 ( 33350 39610 ) M1M2_PR - NEW li1 ( 26910 41990 ) L1M1_PR - NEW met1 ( 25070 41990 ) M1M2_PR - NEW met1 ( 25070 39270 ) M1M2_PR - NEW li1 ( 24150 33830 ) L1M1_PR - NEW met1 ( 24610 33830 ) M1M2_PR - NEW li1 ( 27370 44370 ) L1M1_PR - NEW met1 ( 25070 44710 ) M1M2_PR - NEW li1 ( 22310 36890 ) L1M1_PR - NEW met1 ( 24610 36890 ) M1M2_PR - NEW met1 ( 90390 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 54510 36890 ) RECT ( 0 -70 355 70 ) - NEW met2 ( 54050 41310 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 33350 32130 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 24610 36890 ) RECT ( -70 -485 70 0 ) ; - - divider2.even_0.N\[2\] ( _438_ Q ) ( _419_ D ) ( _410_ A0 ) ( _396_ A1 ) ( _395_ A1 ) ( _389_ A1 ) ( _388_ A1 ) - ( _352_ B ) ( _251_ A2 ) ( _245_ A2 ) ( _215_ A2 ) ( _213_ A2 ) ( _212_ B ) ( _211_ B ) + USE SIGNAL - + ROUTED met1 ( 72910 33830 ) ( 75670 * ) - NEW met1 ( 72910 33830 ) ( * 34170 ) - NEW met1 ( 69690 34170 ) ( 72910 * ) - NEW met1 ( 69690 34170 ) ( * 34510 ) - NEW met1 ( 68770 34510 ) ( 69690 * ) - NEW met1 ( 68770 34170 ) ( * 34510 ) - NEW met1 ( 70150 41990 ) ( * 42330 ) - NEW met1 ( 70150 41990 ) ( 72450 * ) - NEW met2 ( 72450 34170 ) ( * 41990 ) - NEW met1 ( 72450 45050 ) ( 77510 * ) - NEW met2 ( 72450 41990 ) ( * 45050 ) - NEW met1 ( 62100 34170 ) ( 68770 * ) - NEW met1 ( 56810 33490 ) ( 62100 * ) - NEW met1 ( 62100 33490 ) ( * 34170 ) - NEW met2 ( 54970 33490 ) ( * 35870 ) - NEW met1 ( 54970 33490 ) ( 56810 * ) - NEW met1 ( 51290 30770 ) ( * 31110 ) - NEW met1 ( 50370 30770 ) ( 51290 * ) - NEW met2 ( 50370 30770 ) ( * 34850 ) - NEW met1 ( 50370 34850 ) ( 54970 * ) - NEW met2 ( 37490 34850 ) ( * 39270 ) - NEW met1 ( 37490 34850 ) ( 50370 * ) - NEW met1 ( 37950 42330 ) ( * 42670 ) - NEW met1 ( 37490 42670 ) ( 37950 * ) - NEW met2 ( 37490 39270 ) ( * 42670 ) - NEW met1 ( 30085 42670 ) ( 37490 * ) - NEW met1 ( 26910 39950 ) ( 27370 * ) - NEW met2 ( 26910 39950 ) ( * 42670 ) - NEW met1 ( 26910 42670 ) ( 30085 * ) - NEW met2 ( 25530 33830 ) ( * 39950 ) - NEW met1 ( 25530 39950 ) ( 26910 * ) - NEW met1 ( 21850 36890 ) ( * 37230 ) - NEW met1 ( 21850 37230 ) ( 25070 * ) - NEW met1 ( 25070 36890 ) ( * 37230 ) - NEW met2 ( 25070 36890 ) ( 25530 * ) - NEW met1 ( 21390 42670 ) ( 26910 * ) - NEW met1 ( 22310 44030 ) ( 22770 * ) - NEW met2 ( 22310 42670 ) ( * 44030 ) - NEW li1 ( 75670 33830 ) L1M1_PR - NEW li1 ( 70150 42330 ) L1M1_PR - NEW met1 ( 72450 41990 ) M1M2_PR - NEW met1 ( 72450 34170 ) M1M2_PR - NEW li1 ( 77510 45050 ) L1M1_PR - NEW met1 ( 72450 45050 ) M1M2_PR - NEW li1 ( 56810 33490 ) L1M1_PR - NEW li1 ( 54970 35870 ) L1M1_PR - NEW met1 ( 54970 35870 ) M1M2_PR - NEW met1 ( 54970 33490 ) M1M2_PR - NEW li1 ( 51290 31110 ) L1M1_PR - NEW met1 ( 50370 30770 ) M1M2_PR - NEW met1 ( 50370 34850 ) M1M2_PR - NEW met1 ( 54970 34850 ) M1M2_PR - NEW li1 ( 37490 39270 ) L1M1_PR - NEW met1 ( 37490 39270 ) M1M2_PR - NEW met1 ( 37490 34850 ) M1M2_PR - NEW li1 ( 37950 42330 ) L1M1_PR - NEW met1 ( 37490 42670 ) M1M2_PR - NEW li1 ( 30085 42670 ) L1M1_PR - NEW li1 ( 27370 39950 ) L1M1_PR - NEW met1 ( 26910 39950 ) M1M2_PR - NEW met1 ( 26910 42670 ) M1M2_PR - NEW li1 ( 25530 33830 ) L1M1_PR - NEW met1 ( 25530 33830 ) M1M2_PR - NEW met1 ( 25530 39950 ) M1M2_PR - NEW li1 ( 21850 36890 ) L1M1_PR - NEW met1 ( 25070 36890 ) M1M2_PR - NEW li1 ( 21390 42670 ) L1M1_PR - NEW li1 ( 22770 44030 ) L1M1_PR - NEW met1 ( 22310 44030 ) M1M2_PR - NEW met1 ( 22310 42670 ) M1M2_PR - NEW met1 ( 72450 34170 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 54970 35870 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 54970 34850 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 37490 39270 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 25530 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 22310 42670 ) RECT ( -595 -70 0 70 ) ; - - divider2.even_0.counter\[0\] ( _468_ Q ) ( _376_ C ) ( _373_ B ) ( _372_ A ) ( _265_ C_N ) ( _206_ A1 ) + USE SIGNAL - + ROUTED met1 ( 76590 30770 ) ( * 31450 ) - NEW met1 ( 71530 30770 ) ( 76590 * ) - NEW met1 ( 71530 30770 ) ( * 31450 ) - NEW met1 ( 76590 31110 ) ( 78430 * ) - NEW met1 ( 78430 31110 ) ( 86710 * ) - NEW met1 ( 86710 29410 ) ( 89240 * ) - NEW met2 ( 86710 29410 ) ( * 31110 ) - NEW met1 ( 90390 28390 ) ( * 29410 ) - NEW met1 ( 89240 29410 ) ( 90390 * ) - NEW li1 ( 76590 31450 ) L1M1_PR - NEW li1 ( 71530 31450 ) L1M1_PR - NEW li1 ( 78430 31110 ) L1M1_PR - NEW li1 ( 86710 31110 ) L1M1_PR - NEW li1 ( 89240 29410 ) L1M1_PR - NEW met1 ( 86710 29410 ) M1M2_PR - NEW met1 ( 86710 31110 ) M1M2_PR - NEW li1 ( 90390 28390 ) L1M1_PR - NEW met1 ( 86710 31110 ) RECT ( -595 -70 0 70 ) ; - - divider2.even_0.counter\[1\] ( _469_ Q ) ( _376_ A ) ( _373_ A ) ( _265_ A ) ( _204_ A1 ) + USE SIGNAL - + ROUTED met1 ( 72910 31450 ) ( * 31790 ) - NEW met1 ( 72910 31790 ) ( 78890 * ) - NEW met1 ( 78890 31450 ) ( * 31790 ) - NEW met1 ( 70150 31790 ) ( 72910 * ) - NEW met1 ( 69230 31110 ) ( 70150 * ) - NEW met1 ( 70150 31110 ) ( * 31790 ) - NEW met2 ( 68770 31790 ) ( * 33150 ) - NEW met1 ( 68770 31790 ) ( 70150 * ) - NEW li1 ( 72910 31450 ) L1M1_PR - NEW li1 ( 78890 31450 ) L1M1_PR - NEW li1 ( 70150 31790 ) L1M1_PR - NEW li1 ( 69230 31110 ) L1M1_PR - NEW li1 ( 68770 33150 ) L1M1_PR - NEW met1 ( 68770 33150 ) M1M2_PR - NEW met1 ( 68770 31790 ) M1M2_PR - NEW met1 ( 68770 33150 ) RECT ( -355 -70 0 70 ) ; - - divider2.even_0.counter\[2\] ( _470_ Q ) ( _377_ A ) ( _265_ B ) + USE SIGNAL - + ROUTED met2 ( 71990 23970 ) ( * 28390 ) - NEW met1 ( 66470 23970 ) ( 71990 * ) - NEW met1 ( 73830 31110 ) ( * 31450 ) - NEW met1 ( 71990 31110 ) ( 73830 * ) - NEW met2 ( 71990 28390 ) ( * 31110 ) - NEW li1 ( 71990 28390 ) L1M1_PR - NEW met1 ( 71990 28390 ) M1M2_PR - NEW met1 ( 71990 23970 ) M1M2_PR - NEW li1 ( 66470 23970 ) L1M1_PR - NEW li1 ( 73830 31450 ) L1M1_PR - NEW met1 ( 71990 31110 ) M1M2_PR - NEW met1 ( 71990 28390 ) RECT ( -355 -70 0 70 ) ; - - divider2.even_0.out_counter ( _455_ Q ) ( _413_ A0 ) ( _267_ A ) + USE SIGNAL - + ROUTED met1 ( 70610 39270 ) ( 72910 * ) - NEW met1 ( 72680 37230 ) ( 72910 * ) - NEW met2 ( 72910 37230 ) ( * 39270 ) - NEW li1 ( 72910 39270 ) L1M1_PR - NEW li1 ( 70610 39270 ) L1M1_PR - NEW li1 ( 72680 37230 ) L1M1_PR - NEW met1 ( 72910 37230 ) M1M2_PR - NEW met1 ( 72910 39270 ) M1M2_PR - NEW met1 ( 72910 39270 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.counter2\[0\] ( _456_ Q ) ( _366_ B ) ( _365_ B ) ( _262_ A ) ( _250_ B ) ( _247_ C_N ) + USE SIGNAL - + ROUTED met1 ( 10350 41650 ) ( 11270 * ) - NEW met2 ( 11270 41650 ) ( * 49470 ) - NEW met1 ( 9890 39270 ) ( * 39610 ) - NEW met1 ( 9890 39610 ) ( 11270 * ) - NEW met2 ( 11270 39610 ) ( * 41650 ) - NEW met1 ( 18630 50150 ) ( * 50830 ) - NEW met1 ( 18630 50830 ) ( 24610 * ) - NEW met2 ( 24610 50150 ) ( * 50830 ) - NEW met1 ( 17710 50150 ) ( 18630 * ) - NEW met1 ( 21390 47430 ) ( 21850 * ) - NEW met2 ( 21850 47430 ) ( * 50830 ) - NEW met1 ( 14950 49470 ) ( * 50490 ) - NEW met1 ( 14950 50490 ) ( 18630 * ) - NEW met1 ( 11270 49470 ) ( 14950 * ) - NEW li1 ( 10350 41650 ) L1M1_PR - NEW met1 ( 11270 41650 ) M1M2_PR - NEW met1 ( 11270 49470 ) M1M2_PR - NEW li1 ( 9890 39270 ) L1M1_PR - NEW met1 ( 11270 39610 ) M1M2_PR - NEW li1 ( 18630 50150 ) L1M1_PR - NEW met1 ( 24610 50830 ) M1M2_PR - NEW li1 ( 24610 50150 ) L1M1_PR - NEW met1 ( 24610 50150 ) M1M2_PR - NEW li1 ( 17710 50150 ) L1M1_PR - NEW li1 ( 21390 47430 ) L1M1_PR - NEW met1 ( 21850 47430 ) M1M2_PR - NEW met1 ( 21850 50830 ) M1M2_PR - NEW met1 ( 24610 50150 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 21850 50830 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.counter2\[1\] ( _457_ Q ) ( _366_ A ) ( _365_ A ) ( _260_ C ) ( _249_ B ) ( _247_ B ) + USE SIGNAL - + ROUTED met1 ( 21390 49810 ) ( * 50150 ) - NEW met1 ( 21390 49810 ) ( 24150 * ) - NEW met1 ( 24150 49810 ) ( * 50150 ) - NEW met1 ( 20930 47770 ) ( * 48110 ) - NEW met1 ( 20470 48110 ) ( 20930 * ) - NEW met2 ( 20470 48110 ) ( * 49810 ) - NEW met1 ( 20470 49810 ) ( 21390 * ) - NEW met1 ( 16790 49810 ) ( * 50150 ) - NEW met1 ( 16790 49810 ) ( 20470 * ) - NEW met1 ( 15870 48110 ) ( 20470 * ) - NEW met1 ( 15640 49810 ) ( 16790 * ) - NEW li1 ( 21390 50150 ) L1M1_PR - NEW li1 ( 24150 50150 ) L1M1_PR - NEW li1 ( 20930 47770 ) L1M1_PR - NEW met1 ( 20470 48110 ) M1M2_PR - NEW met1 ( 20470 49810 ) M1M2_PR - NEW li1 ( 16790 50150 ) L1M1_PR - NEW li1 ( 15870 48110 ) L1M1_PR - NEW li1 ( 15640 49810 ) L1M1_PR ; - - divider2.odd_0.counter2\[2\] ( _458_ Q ) ( _367_ A ) ( _256_ C ) ( _249_ A ) ( _247_ A ) + USE SIGNAL - + ROUTED met1 ( 14030 48110 ) ( * 48450 ) - NEW met1 ( 10350 48450 ) ( 14030 * ) - NEW met1 ( 22310 50150 ) ( * 50490 ) - NEW met1 ( 20930 50490 ) ( 22310 * ) - NEW met2 ( 20930 48450 ) ( * 50490 ) - NEW met1 ( 14030 48450 ) ( 20930 * ) - NEW met1 ( 22310 50150 ) ( 23230 * ) - NEW met1 ( 19550 53210 ) ( 20930 * ) - NEW met2 ( 20930 50490 ) ( * 53210 ) - NEW li1 ( 14030 48110 ) L1M1_PR - NEW li1 ( 10350 48450 ) L1M1_PR - NEW li1 ( 22310 50150 ) L1M1_PR - NEW met1 ( 20930 50490 ) M1M2_PR - NEW met1 ( 20930 48450 ) M1M2_PR - NEW li1 ( 23230 50150 ) L1M1_PR - NEW li1 ( 19550 53210 ) L1M1_PR - NEW met1 ( 20930 53210 ) M1M2_PR ; - - divider2.odd_0.counter\[0\] ( _463_ Q ) ( _370_ B ) ( _369_ B ) ( _368_ A ) ( _231_ C ) ( _220_ B ) + USE SIGNAL - + ROUTED met1 ( 52210 36890 ) ( 52670 * ) - NEW met2 ( 52670 25670 ) ( * 36890 ) - NEW met1 ( 52670 25670 ) ( 54050 * ) - NEW met1 ( 54050 25670 ) ( * 26010 ) - NEW met1 ( 41170 28390 ) ( * 28730 ) - NEW met1 ( 41170 28730 ) ( 50370 * ) - NEW met2 ( 50370 25670 ) ( * 28730 ) - NEW met1 ( 50370 25670 ) ( 52670 * ) - NEW met1 ( 41170 33830 ) ( * 34170 ) - NEW met1 ( 41170 33830 ) ( 43010 * ) - NEW met1 ( 43010 33830 ) ( * 34170 ) - NEW met1 ( 43010 34170 ) ( 52670 * ) - NEW met1 ( 29210 29410 ) ( 36110 * ) - NEW met1 ( 36110 28730 ) ( * 29410 ) - NEW met1 ( 36110 28730 ) ( 41170 * ) - NEW met2 ( 30130 29410 ) ( * 31450 ) - NEW li1 ( 52210 36890 ) L1M1_PR - NEW met1 ( 52670 36890 ) M1M2_PR - NEW met1 ( 52670 25670 ) M1M2_PR - NEW li1 ( 54050 26010 ) L1M1_PR - NEW li1 ( 41170 28390 ) L1M1_PR - NEW met1 ( 50370 28730 ) M1M2_PR - NEW met1 ( 50370 25670 ) M1M2_PR - NEW li1 ( 41170 34170 ) L1M1_PR - NEW met1 ( 52670 34170 ) M1M2_PR - NEW li1 ( 29210 29410 ) L1M1_PR - NEW li1 ( 30130 31450 ) L1M1_PR - NEW met1 ( 30130 31450 ) M1M2_PR - NEW met1 ( 30130 29410 ) M1M2_PR - NEW met2 ( 52670 34170 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 30130 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 30130 29410 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.counter\[1\] ( _464_ Q ) ( _370_ A ) ( _369_ A ) ( _229_ C ) ( _219_ B ) + USE SIGNAL - + ROUTED met1 ( 36110 33830 ) ( 40710 * ) - NEW met1 ( 36110 33490 ) ( * 33830 ) - NEW met1 ( 29670 33490 ) ( 36110 * ) - NEW met1 ( 38410 29410 ) ( 39560 * ) - NEW met2 ( 38410 29410 ) ( * 33830 ) - NEW met1 ( 49450 31110 ) ( * 31450 ) - NEW met1 ( 38410 31110 ) ( 49450 * ) - NEW met2 ( 53130 26010 ) ( * 31450 ) - NEW met1 ( 49450 31450 ) ( 53130 * ) - NEW li1 ( 40710 33830 ) L1M1_PR - NEW li1 ( 29670 33490 ) L1M1_PR - NEW li1 ( 39560 29410 ) L1M1_PR - NEW met1 ( 38410 29410 ) M1M2_PR - NEW met1 ( 38410 33830 ) M1M2_PR - NEW li1 ( 49450 31450 ) L1M1_PR - NEW met1 ( 38410 31110 ) M1M2_PR - NEW li1 ( 53130 26010 ) L1M1_PR - NEW met1 ( 53130 26010 ) M1M2_PR - NEW met1 ( 53130 31450 ) M1M2_PR - NEW met1 ( 38410 33830 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 38410 31110 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 53130 26010 ) RECT ( -355 -70 0 70 ) ; - - divider2.odd_0.counter\[2\] ( _465_ Q ) ( _371_ A ) ( _227_ C ) ( _219_ A ) + USE SIGNAL - + ROUTED met2 ( 48530 29410 ) ( * 31450 ) - NEW met1 ( 44850 29410 ) ( 48530 * ) - NEW met1 ( 48530 33490 ) ( 51750 * ) - NEW met2 ( 48530 31450 ) ( * 33490 ) - NEW met1 ( 54050 28390 ) ( 55430 * ) - NEW met1 ( 54050 28390 ) ( * 29410 ) - NEW met1 ( 48530 29410 ) ( 54050 * ) - NEW li1 ( 48530 31450 ) L1M1_PR - NEW met1 ( 48530 31450 ) M1M2_PR - NEW met1 ( 48530 29410 ) M1M2_PR - NEW li1 ( 44850 29410 ) L1M1_PR - NEW li1 ( 51750 33490 ) L1M1_PR - NEW met1 ( 48530 33490 ) M1M2_PR - NEW li1 ( 55430 28390 ) L1M1_PR - NEW met1 ( 48530 31450 ) RECT ( -355 -70 0 70 ) ; - - divider2.odd_0.initial_begin\[0\] ( _460_ Q ) ( _243_ A2 ) ( _241_ A_N ) ( _235_ B ) ( _233_ B ) + USE SIGNAL - + ROUTED met1 ( 13570 31110 ) ( * 31450 ) - NEW met1 ( 14950 28390 ) ( 15410 * ) - NEW met2 ( 14950 28390 ) ( * 31110 ) - NEW met1 ( 14490 28390 ) ( 14950 * ) - NEW met1 ( 10350 31110 ) ( 15410 * ) - NEW met1 ( 13570 31450 ) ( 14030 * ) - NEW li1 ( 10350 31110 ) L1M1_PR - NEW li1 ( 15410 31110 ) L1M1_PR - NEW li1 ( 15410 28390 ) L1M1_PR - NEW met1 ( 14950 28390 ) M1M2_PR - NEW met1 ( 14950 31110 ) M1M2_PR - NEW li1 ( 14490 28390 ) L1M1_PR - NEW li1 ( 14030 31450 ) L1M1_PR - NEW met1 ( 14950 31110 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.initial_begin\[1\] ( _461_ Q ) ( _240_ A ) ( _235_ A ) ( _234_ B ) + USE SIGNAL - + ROUTED met2 ( 13110 26010 ) ( * 31450 ) - NEW met2 ( 13110 31450 ) ( * 33150 ) - NEW met1 ( 15410 33150 ) ( * 33490 ) - NEW met1 ( 15410 33490 ) ( 16790 * ) - NEW met1 ( 16790 33490 ) ( * 33830 ) - NEW met1 ( 11500 26010 ) ( 14030 * ) - NEW met1 ( 13110 33150 ) ( 15410 * ) - NEW li1 ( 11500 26010 ) L1M1_PR - NEW li1 ( 13110 31450 ) L1M1_PR - NEW met1 ( 13110 31450 ) M1M2_PR - NEW met1 ( 13110 26010 ) M1M2_PR - NEW met1 ( 13110 33150 ) M1M2_PR - NEW li1 ( 14030 26010 ) L1M1_PR - NEW li1 ( 16790 33830 ) L1M1_PR - NEW met1 ( 13110 31450 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 13110 26010 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.initial_begin\[2\] ( _462_ Q ) ( _238_ B2 ) ( _234_ A ) + USE SIGNAL - + ROUTED met1 ( 10350 36210 ) ( 13800 * ) - NEW met1 ( 14950 33830 ) ( 15410 * ) - NEW met2 ( 14950 33830 ) ( * 35870 ) - NEW met1 ( 13800 35870 ) ( 14950 * ) - NEW met1 ( 13800 35870 ) ( * 36210 ) - NEW met1 ( 15410 33830 ) ( 15870 * ) - NEW li1 ( 10350 36210 ) L1M1_PR - NEW li1 ( 15410 33830 ) L1M1_PR - NEW met1 ( 14950 33830 ) M1M2_PR - NEW met1 ( 14950 35870 ) M1M2_PR - NEW li1 ( 15870 33830 ) L1M1_PR ; - - divider2.odd_0.old_N\[0\] ( _417_ Q ) ( _210_ C ) + USE SIGNAL - + ROUTED met1 ( 52210 44710 ) ( 52670 * ) - NEW li1 ( 52670 44710 ) ( * 45390 ) - NEW met1 ( 50370 45390 ) ( 52670 * ) - NEW li1 ( 52210 44710 ) L1M1_PR - NEW li1 ( 52670 44710 ) L1M1_PR - NEW li1 ( 52670 45390 ) L1M1_PR - NEW li1 ( 50370 45390 ) L1M1_PR ; - - divider2.odd_0.old_N\[1\] ( _418_ Q ) ( _209_ B_N ) ( _208_ A ) + USE SIGNAL - + ROUTED met2 ( 43010 41310 ) ( * 44030 ) - NEW met1 ( 41170 44030 ) ( 43010 * ) - NEW met1 ( 43010 42330 ) ( 45310 * ) - NEW li1 ( 43010 41310 ) L1M1_PR - NEW met1 ( 43010 41310 ) M1M2_PR - NEW met1 ( 43010 44030 ) M1M2_PR - NEW li1 ( 41170 44030 ) L1M1_PR - NEW li1 ( 45310 42330 ) L1M1_PR - NEW met1 ( 43010 42330 ) M1M2_PR - NEW met1 ( 43010 41310 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 43010 42330 ) RECT ( -70 -485 70 0 ) ; - - divider2.odd_0.old_N\[2\] ( _419_ Q ) ( _212_ A ) ( _211_ A ) + USE SIGNAL - + ROUTED met1 ( 35650 42330 ) ( 37030 * ) - NEW met1 ( 35650 41650 ) ( * 42330 ) - NEW met2 ( 36570 39610 ) ( * 42330 ) - NEW li1 ( 37030 42330 ) L1M1_PR - NEW li1 ( 35650 41650 ) L1M1_PR - NEW li1 ( 36570 39610 ) L1M1_PR - NEW met1 ( 36570 39610 ) M1M2_PR - NEW met1 ( 36570 42330 ) M1M2_PR - NEW met1 ( 36570 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 36570 42330 ) RECT ( -595 -70 0 70 ) ; - - divider2.odd_0.out_counter ( _466_ Q ) ( _353_ A ) ( _221_ A ) + USE SIGNAL - + ROUTED met1 ( 60030 42330 ) ( 61410 * ) - NEW met2 ( 60030 39270 ) ( * 42330 ) - NEW met1 ( 57730 39270 ) ( 60030 * ) - NEW met2 ( 60030 42330 ) ( * 44030 ) - NEW met1 ( 60030 44030 ) ( 63480 * ) - NEW li1 ( 63480 44030 ) L1M1_PR - NEW li1 ( 61410 42330 ) L1M1_PR - NEW met1 ( 60030 42330 ) M1M2_PR - NEW met1 ( 60030 39270 ) M1M2_PR - NEW li1 ( 57730 39270 ) L1M1_PR - NEW met1 ( 60030 44030 ) M1M2_PR ; - - divider2.odd_0.out_counter2 ( _459_ Q ) ( _353_ B ) ( _246_ A ) + USE SIGNAL - + ROUTED met1 ( 38870 47770 ) ( 44850 * ) - NEW met1 ( 44850 47430 ) ( * 47770 ) - NEW met1 ( 44850 47430 ) ( 50370 * ) - NEW met1 ( 50370 46750 ) ( * 47430 ) - NEW met1 ( 50370 46750 ) ( 57270 * ) - NEW met2 ( 57270 39610 ) ( * 46750 ) - NEW met1 ( 38410 49470 ) ( 39560 * ) - NEW met2 ( 38410 47770 ) ( * 49470 ) - NEW met1 ( 38410 47770 ) ( 38870 * ) - NEW li1 ( 38870 47770 ) L1M1_PR - NEW met1 ( 57270 46750 ) M1M2_PR - NEW li1 ( 57270 39610 ) L1M1_PR - NEW met1 ( 57270 39610 ) M1M2_PR - NEW li1 ( 39560 49470 ) L1M1_PR - NEW met1 ( 38410 49470 ) M1M2_PR - NEW met1 ( 38410 47770 ) M1M2_PR - NEW met1 ( 57270 39610 ) RECT ( -355 -70 0 70 ) ; - - divider2.odd_0.rst_pulse ( _467_ Q ) ( _409_ S ) ( _396_ S ) ( _392_ S ) ( _390_ A1 ) ( _389_ S ) ( _384_ S ) - ( _380_ S ) ( _237_ A1 ) ( _233_ A ) ( _216_ A ) + USE SIGNAL - + ROUTED met2 ( 50830 31110 ) ( * 38930 ) - NEW met1 ( 50830 38930 ) ( 52670 * ) - NEW met2 ( 50370 36210 ) ( * 36380 ) - NEW met2 ( 50370 36380 ) ( 50830 * ) - NEW met1 ( 27370 41650 ) ( * 41990 ) - NEW met1 ( 25990 41650 ) ( 27370 * ) - NEW met1 ( 22310 41650 ) ( * 41990 ) - NEW met1 ( 22310 41650 ) ( 25990 * ) - NEW met1 ( 41400 36210 ) ( 50370 * ) - NEW met1 ( 40250 36550 ) ( 41400 * ) - NEW met1 ( 41400 36210 ) ( * 36550 ) - NEW met1 ( 37950 34170 ) ( 40250 * ) - NEW met2 ( 40250 34170 ) ( * 36550 ) - NEW met1 ( 26910 30770 ) ( * 31110 ) - NEW met1 ( 26910 30770 ) ( 33350 * ) - NEW met1 ( 33350 30770 ) ( * 31110 ) - NEW met1 ( 33350 31110 ) ( 37030 * ) - NEW met2 ( 37030 31110 ) ( * 33660 ) - NEW met2 ( 37030 33660 ) ( 37490 * ) - NEW met2 ( 37490 33660 ) ( * 34170 ) - NEW met1 ( 37490 34170 ) ( 37950 * ) - NEW met1 ( 25990 31110 ) ( 26910 * ) - NEW met2 ( 17250 31450 ) ( * 31620 ) - NEW met3 ( 17250 31620 ) ( 25990 * ) - NEW met1 ( 15870 31110 ) ( 17250 * ) - NEW met1 ( 17250 31110 ) ( * 31450 ) - NEW met1 ( 17250 36890 ) ( 17710 * ) - NEW met2 ( 17710 34850 ) ( * 36890 ) - NEW met2 ( 17250 34850 ) ( 17710 * ) - NEW met2 ( 17250 31620 ) ( * 34850 ) - NEW met1 ( 16330 39610 ) ( 17710 * ) - NEW met2 ( 17710 36890 ) ( * 39610 ) - NEW met2 ( 25990 31110 ) ( * 41650 ) - NEW li1 ( 50830 31110 ) L1M1_PR - NEW met1 ( 50830 31110 ) M1M2_PR - NEW met1 ( 50830 38930 ) M1M2_PR - NEW li1 ( 52670 38930 ) L1M1_PR - NEW met1 ( 50370 36210 ) M1M2_PR - NEW li1 ( 27370 41990 ) L1M1_PR - NEW met1 ( 25990 41650 ) M1M2_PR + NEW met2 ( 56350 37570 ) ( * 38590 ) + NEW met1 ( 56350 38590 ) ( 56810 * ) + NEW met1 ( 19550 41990 ) ( 22310 * ) + NEW met1 ( 19550 41650 ) ( * 41990 ) + NEW met1 ( 18170 41650 ) ( 19550 * ) + NEW met1 ( 18170 41650 ) ( * 41990 ) + NEW met1 ( 20930 36550 ) ( * 36890 ) + NEW met1 ( 19550 36550 ) ( 20930 * ) + NEW met2 ( 19550 36550 ) ( * 41650 ) + NEW met1 ( 25070 33830 ) ( * 34510 ) + NEW met1 ( 19550 34510 ) ( 25070 * ) + NEW met1 ( 19550 34510 ) ( * 34850 ) + NEW met2 ( 19550 34850 ) ( * 36550 ) + NEW met1 ( 25990 36890 ) ( * 36905 ) + NEW met2 ( 25990 34510 ) ( * 36890 ) + NEW met1 ( 25070 34510 ) ( 25990 * ) + NEW met1 ( 21850 30430 ) ( 22310 * ) + NEW met2 ( 22310 30430 ) ( * 34510 ) + NEW met1 ( 25990 41310 ) ( 29210 * ) + NEW met2 ( 25990 36890 ) ( * 41310 ) + NEW met1 ( 31050 28730 ) ( * 29410 ) + NEW met1 ( 22310 29410 ) ( 31050 * ) + NEW met2 ( 22310 29410 ) ( * 30430 ) + NEW met1 ( 31970 28390 ) ( 36010 * ) + NEW met1 ( 31970 28390 ) ( * 28730 ) + NEW met1 ( 31050 28730 ) ( 31970 * ) + NEW met2 ( 40250 28390 ) ( * 31450 ) + NEW met1 ( 36010 28390 ) ( 40250 * ) + NEW met2 ( 43470 28390 ) ( * 30430 ) + NEW met1 ( 40250 28390 ) ( 43470 * ) + NEW met2 ( 44850 30770 ) ( * 36550 ) + NEW met1 ( 43470 30770 ) ( 44850 * ) + NEW met1 ( 43470 30430 ) ( * 30770 ) + NEW met2 ( 44850 36550 ) ( * 37570 ) + NEW met1 ( 44850 37570 ) ( 56350 * ) + NEW li1 ( 78890 39950 ) L1M1_PR + NEW met1 ( 79810 39950 ) M1M2_PR + NEW met1 ( 79810 44710 ) M1M2_PR + NEW li1 ( 77510 44710 ) L1M1_PR + NEW li1 ( 76130 33150 ) L1M1_PR + NEW met1 ( 79810 33150 ) M1M2_PR + NEW li1 ( 64630 39270 ) L1M1_PR + NEW li1 ( 56810 38590 ) L1M1_PR + NEW met1 ( 56350 37570 ) M1M2_PR + NEW met1 ( 56350 38590 ) M1M2_PR NEW li1 ( 22310 41990 ) L1M1_PR - NEW li1 ( 40250 36550 ) L1M1_PR - NEW li1 ( 37950 34170 ) L1M1_PR - NEW met1 ( 40250 34170 ) M1M2_PR - NEW met1 ( 40250 36550 ) M1M2_PR - NEW li1 ( 26910 31110 ) L1M1_PR - NEW met1 ( 37030 31110 ) M1M2_PR - NEW met1 ( 37490 34170 ) M1M2_PR - NEW met1 ( 25990 31110 ) M1M2_PR - NEW li1 ( 17250 31450 ) L1M1_PR - NEW met1 ( 17250 31450 ) M1M2_PR - NEW met2 ( 17250 31620 ) M2M3_PR_M - NEW met2 ( 25990 31620 ) M2M3_PR_M - NEW li1 ( 15870 31110 ) L1M1_PR - NEW li1 ( 17250 36890 ) L1M1_PR - NEW met1 ( 17710 36890 ) M1M2_PR - NEW li1 ( 16330 39610 ) L1M1_PR - NEW met1 ( 17710 39610 ) M1M2_PR - NEW met1 ( 50830 31110 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 40250 36550 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 17250 31450 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 25990 31620 ) RECT ( -70 -485 70 0 ) ; - - divider2.out ( _438_ CLK ) ( _437_ CLK ) ( _436_ CLK ) ( _435_ CLK ) ( _434_ CLK ) ( _433_ CLK ) ( _394_ A1 ) - ( _354_ Y ) + USE SIGNAL - + ROUTED met1 ( 83490 41990 ) ( 89470 * ) - NEW met2 ( 89470 33830 ) ( * 41990 ) - NEW met1 ( 79350 39610 ) ( 83490 * ) - NEW met2 ( 83490 39610 ) ( * 41990 ) - NEW met1 ( 78890 45050 ) ( 83490 * ) - NEW met2 ( 83490 41990 ) ( * 45050 ) - NEW met1 ( 71530 47090 ) ( * 47430 ) + NEW li1 ( 18170 41990 ) L1M1_PR + NEW li1 ( 20930 36890 ) L1M1_PR + NEW met1 ( 19550 36550 ) M1M2_PR + NEW met1 ( 19550 41650 ) M1M2_PR + NEW li1 ( 25070 33830 ) L1M1_PR + NEW met1 ( 19550 34850 ) M1M2_PR + NEW li1 ( 25990 36905 ) L1M1_PR + NEW met1 ( 25990 36890 ) M1M2_PR + NEW met1 ( 25990 34510 ) M1M2_PR + NEW li1 ( 21850 30430 ) L1M1_PR + NEW met1 ( 22310 30430 ) M1M2_PR + NEW met1 ( 22310 34510 ) M1M2_PR + NEW li1 ( 29210 41310 ) L1M1_PR + NEW met1 ( 25990 41310 ) M1M2_PR + NEW li1 ( 31050 28730 ) L1M1_PR + NEW met1 ( 22310 29410 ) M1M2_PR + NEW li1 ( 36010 28390 ) L1M1_PR + NEW li1 ( 40250 31450 ) L1M1_PR + NEW met1 ( 40250 31450 ) M1M2_PR + NEW met1 ( 40250 28390 ) M1M2_PR + NEW li1 ( 43470 30430 ) L1M1_PR + NEW met1 ( 43470 30430 ) M1M2_PR + NEW met1 ( 43470 28390 ) M1M2_PR + NEW li1 ( 44850 36550 ) L1M1_PR + NEW met1 ( 44850 36550 ) M1M2_PR + NEW met1 ( 44850 30770 ) M1M2_PR + NEW met1 ( 44850 37570 ) M1M2_PR + NEW met1 ( 19550 41650 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 25990 36905 ) RECT ( 0 -70 340 70 ) + NEW met1 ( 22310 34510 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 40250 31450 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 43470 30430 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 44850 36550 ) RECT ( -355 -70 0 70 ) ; + - divider2.even_0.N\[2\] ( _462_ Q ) ( _443_ D ) ( _434_ A1 ) ( _431_ A1 ) ( _430_ A1 ) ( _408_ A1 ) ( _407_ A1 ) + ( _379_ B1 ) ( _378_ B ) ( _350_ A ) ( _260_ A1 ) ( _254_ A1 ) ( _227_ A1 ) ( _225_ A1 ) ( _224_ A ) + ( _223_ A ) + USE SIGNAL + + ROUTED met1 ( 72910 44710 ) ( 76590 * ) + NEW met1 ( 72910 44710 ) ( * 45730 ) + NEW met1 ( 77970 44370 ) ( 79350 * ) + NEW met1 ( 77970 44030 ) ( * 44370 ) + NEW met1 ( 76590 44030 ) ( 77970 * ) + NEW met1 ( 76590 44030 ) ( * 44710 ) + NEW met1 ( 63710 33830 ) ( 67850 * ) + NEW met2 ( 67850 32130 ) ( * 33830 ) + NEW met1 ( 67850 32130 ) ( 69690 * ) + NEW met1 ( 63710 33490 ) ( * 33830 ) + NEW met1 ( 63250 36890 ) ( 64630 * ) + NEW met2 ( 64630 33830 ) ( * 36890 ) + NEW met1 ( 63250 36890 ) ( * 37570 ) + NEW met1 ( 65090 39610 ) ( * 39950 ) + NEW met2 ( 60490 39950 ) ( * 45730 ) + NEW met2 ( 60490 37570 ) ( * 39950 ) + NEW met1 ( 56810 44030 ) ( 60490 * ) + NEW met1 ( 50830 44030 ) ( 56810 * ) + NEW met2 ( 50830 41310 ) ( * 44030 ) + NEW met1 ( 55845 33490 ) ( 63710 * ) + NEW met1 ( 60490 37570 ) ( 63250 * ) + NEW met1 ( 60490 39950 ) ( 65090 * ) + NEW met1 ( 60490 45730 ) ( 72910 * ) + NEW met1 ( 28750 42330 ) ( 30590 * ) + NEW met1 ( 30590 41310 ) ( * 42330 ) + NEW met1 ( 26680 36890 ) ( 28750 * ) + NEW met2 ( 28750 36890 ) ( * 42330 ) + NEW met1 ( 26450 33830 ) ( 26910 * ) + NEW met2 ( 26910 33830 ) ( * 36890 ) + NEW met1 ( 26910 48110 ) ( 28290 * ) + NEW met2 ( 28290 48110 ) ( 28750 * ) + NEW met2 ( 28750 42330 ) ( * 48110 ) + NEW met1 ( 21390 44030 ) ( 28750 * ) + NEW met1 ( 20010 36890 ) ( 20470 * ) + NEW met1 ( 20010 36890 ) ( * 37570 ) + NEW met1 ( 20010 37570 ) ( 26910 * ) + NEW met1 ( 26910 36890 ) ( * 37570 ) + NEW met1 ( 20470 31450 ) ( 20930 * ) + NEW met2 ( 20470 31450 ) ( * 36890 ) + NEW met1 ( 30590 41310 ) ( 50830 * ) + NEW li1 ( 76590 44710 ) L1M1_PR + NEW li1 ( 79350 44370 ) L1M1_PR + NEW li1 ( 63710 33830 ) L1M1_PR + NEW met1 ( 67850 33830 ) M1M2_PR + NEW met1 ( 67850 32130 ) M1M2_PR + NEW li1 ( 69690 32130 ) L1M1_PR + NEW li1 ( 63250 36890 ) L1M1_PR + NEW met1 ( 64630 36890 ) M1M2_PR + NEW met1 ( 64630 33830 ) M1M2_PR + NEW li1 ( 65090 39610 ) L1M1_PR + NEW met1 ( 60490 39950 ) M1M2_PR + NEW met1 ( 60490 45730 ) M1M2_PR + NEW met1 ( 60490 37570 ) M1M2_PR + NEW li1 ( 56810 44030 ) L1M1_PR + NEW met1 ( 60490 44030 ) M1M2_PR + NEW li1 ( 50830 44030 ) L1M1_PR + NEW met1 ( 50830 41310 ) M1M2_PR + NEW met1 ( 50830 44030 ) M1M2_PR + NEW li1 ( 55845 33490 ) L1M1_PR + NEW li1 ( 28750 42330 ) L1M1_PR + NEW li1 ( 26680 36890 ) L1M1_PR + NEW met1 ( 28750 36890 ) M1M2_PR + NEW met1 ( 28750 42330 ) M1M2_PR + NEW li1 ( 26450 33830 ) L1M1_PR + NEW met1 ( 26910 33830 ) M1M2_PR + NEW met1 ( 26910 36890 ) M1M2_PR + NEW li1 ( 26910 48110 ) L1M1_PR + NEW met1 ( 28290 48110 ) M1M2_PR + NEW li1 ( 21390 44030 ) L1M1_PR + NEW met1 ( 28750 44030 ) M1M2_PR + NEW li1 ( 20470 36890 ) L1M1_PR + NEW li1 ( 20930 31450 ) L1M1_PR + NEW met1 ( 20470 31450 ) M1M2_PR + NEW met1 ( 20470 36890 ) M1M2_PR + NEW met1 ( 64630 33830 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 60490 44030 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 50830 44030 ) RECT ( 0 -70 595 70 ) + NEW met1 ( 28750 42330 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 26910 36890 ) RECT ( 0 -70 595 70 ) + NEW met2 ( 28750 44030 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 20470 36890 ) RECT ( -595 -70 0 70 ) ; + - divider2.even_0.counter\[0\] ( _492_ Q ) ( _394_ C ) ( _391_ B ) ( _390_ A ) ( _274_ C_N ) ( _218_ A1 ) + USE SIGNAL + + ROUTED met1 ( 80270 28390 ) ( 81190 * ) + NEW met2 ( 81190 28390 ) ( * 30770 ) + NEW met1 ( 81190 30770 ) ( 88550 * ) + NEW met1 ( 88550 30770 ) ( * 31450 ) + NEW met1 ( 73830 31450 ) ( * 31790 ) + NEW met1 ( 73830 31790 ) ( 76130 * ) + NEW met1 ( 76130 31790 ) ( * 32130 ) + NEW met1 ( 76130 32130 ) ( 81190 * ) + NEW met2 ( 81190 30770 ) ( * 32130 ) + NEW met1 ( 72450 28050 ) ( 73370 * ) + NEW met2 ( 73370 28050 ) ( * 31450 ) + NEW met1 ( 73370 31450 ) ( 73830 * ) + NEW met1 ( 67850 28730 ) ( 69690 * ) + NEW li1 ( 69690 28050 ) ( * 28730 ) + NEW met1 ( 69690 28050 ) ( 72450 * ) + NEW met1 ( 81190 26350 ) ( 81420 * ) + NEW met2 ( 81190 26350 ) ( * 28390 ) + NEW li1 ( 80270 28390 ) L1M1_PR + NEW met1 ( 81190 28390 ) M1M2_PR + NEW met1 ( 81190 30770 ) M1M2_PR + NEW li1 ( 88550 31450 ) L1M1_PR + NEW li1 ( 73830 31450 ) L1M1_PR + NEW met1 ( 81190 32130 ) M1M2_PR + NEW li1 ( 72450 28050 ) L1M1_PR + NEW met1 ( 73370 28050 ) M1M2_PR + NEW met1 ( 73370 31450 ) M1M2_PR + NEW li1 ( 67850 28730 ) L1M1_PR + NEW li1 ( 69690 28730 ) L1M1_PR + NEW li1 ( 69690 28050 ) L1M1_PR + NEW li1 ( 81420 26350 ) L1M1_PR + NEW met1 ( 81190 26350 ) M1M2_PR ; + - divider2.even_0.counter\[1\] ( _493_ Q ) ( _394_ A ) ( _391_ A ) ( _274_ A ) ( _216_ A1 ) + USE SIGNAL + + ROUTED met1 ( 78890 28730 ) ( * 29070 ) + NEW met1 ( 78890 29070 ) ( 82570 * ) + NEW met2 ( 82570 29070 ) ( * 31450 ) + NEW met1 ( 82570 31450 ) ( 84410 * ) + NEW met1 ( 67390 28390 ) ( 71990 * ) + NEW met1 ( 71990 28390 ) ( * 28730 ) + NEW met1 ( 71990 28730 ) ( 78890 * ) + NEW met1 ( 64630 27710 ) ( 67390 * ) + NEW met1 ( 67390 27710 ) ( * 28390 ) + NEW met2 ( 64170 27710 ) ( * 31110 ) + NEW met1 ( 64170 27710 ) ( 64630 * ) + NEW li1 ( 78890 28730 ) L1M1_PR + NEW met1 ( 82570 29070 ) M1M2_PR + NEW met1 ( 82570 31450 ) M1M2_PR + NEW li1 ( 84410 31450 ) L1M1_PR + NEW li1 ( 67390 28390 ) L1M1_PR + NEW li1 ( 64630 27710 ) L1M1_PR + NEW li1 ( 64170 31110 ) L1M1_PR + NEW met1 ( 64170 31110 ) M1M2_PR + NEW met1 ( 64170 27710 ) M1M2_PR + NEW met1 ( 64170 31110 ) RECT ( -355 -70 0 70 ) ; + - divider2.even_0.counter\[2\] ( _494_ Q ) ( _395_ A ) ( _274_ B ) + USE SIGNAL + + ROUTED met1 ( 86710 31110 ) ( * 31450 ) + NEW met1 ( 86710 31110 ) ( 87170 * ) + NEW met2 ( 87170 26010 ) ( * 31110 ) + NEW met1 ( 86250 26010 ) ( 87170 * ) + NEW met1 ( 83490 31110 ) ( 86710 * ) + NEW li1 ( 86710 31450 ) L1M1_PR + NEW met1 ( 87170 31110 ) M1M2_PR + NEW met1 ( 87170 26010 ) M1M2_PR + NEW li1 ( 86250 26010 ) L1M1_PR + NEW li1 ( 83490 31110 ) L1M1_PR ; + - divider2.even_0.out_counter ( _479_ Q ) ( _437_ A0 ) ( _276_ A ) + USE SIGNAL + + ROUTED met2 ( 84870 36890 ) ( * 42670 ) + NEW met1 ( 84870 42670 ) ( 86250 * ) + NEW met1 ( 81420 36890 ) ( 84870 * ) + NEW li1 ( 84870 36890 ) L1M1_PR + NEW met1 ( 84870 36890 ) M1M2_PR + NEW met1 ( 84870 42670 ) M1M2_PR + NEW li1 ( 86250 42670 ) L1M1_PR + NEW li1 ( 81420 36890 ) L1M1_PR + NEW met1 ( 84870 36890 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.counter2\[0\] ( _480_ Q ) ( _384_ B ) ( _383_ B ) ( _271_ A ) ( _259_ B ) ( _256_ C_N ) + USE SIGNAL + + ROUTED met2 ( 10350 36890 ) ( * 41310 ) + NEW met1 ( 10350 41990 ) ( 13110 * ) + NEW met1 ( 10350 41310 ) ( * 41990 ) + NEW met2 ( 14490 41990 ) ( * 47770 ) + NEW met1 ( 20470 47090 ) ( * 47770 ) + NEW met1 ( 14490 47090 ) ( 20470 * ) + NEW met2 ( 19550 47090 ) ( * 50150 ) + NEW met1 ( 13110 41990 ) ( 14490 * ) + NEW li1 ( 10350 41310 ) L1M1_PR + NEW met1 ( 10350 41310 ) M1M2_PR + NEW li1 ( 10350 36890 ) L1M1_PR + NEW met1 ( 10350 36890 ) M1M2_PR + NEW li1 ( 13110 41990 ) L1M1_PR + NEW li1 ( 14490 47770 ) L1M1_PR + NEW met1 ( 14490 47770 ) M1M2_PR + NEW met1 ( 14490 41990 ) M1M2_PR + NEW li1 ( 20470 47770 ) L1M1_PR + NEW met1 ( 14490 47090 ) M1M2_PR + NEW li1 ( 19550 50150 ) L1M1_PR + NEW met1 ( 19550 50150 ) M1M2_PR + NEW met1 ( 19550 47090 ) M1M2_PR + NEW met1 ( 10350 41310 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 10350 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 14490 47770 ) RECT ( 0 -70 355 70 ) + NEW met2 ( 14490 47090 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 19550 50150 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 19550 47090 ) RECT ( -595 -70 0 70 ) ; + - divider2.odd_0.counter2\[1\] ( _481_ Q ) ( _384_ A ) ( _383_ A ) ( _269_ C ) ( _258_ B ) ( _256_ B ) + USE SIGNAL + + ROUTED met1 ( 8050 47770 ) ( 10580 * ) + NEW met2 ( 8050 47770 ) ( * 49810 ) + NEW met1 ( 12190 47770 ) ( * 48110 ) + NEW met1 ( 10580 47770 ) ( 12190 * ) + NEW met2 ( 13570 42330 ) ( * 48110 ) + NEW met1 ( 15410 47770 ) ( 16330 * ) + NEW met1 ( 15410 47770 ) ( * 48110 ) + NEW met1 ( 19090 47770 ) ( * 48110 ) + NEW met1 ( 16330 48110 ) ( 19090 * ) + NEW met1 ( 16330 47770 ) ( * 48110 ) + NEW met2 ( 18630 48110 ) ( * 50150 ) + NEW met1 ( 12190 48110 ) ( 15410 * ) + NEW li1 ( 10580 47770 ) L1M1_PR + NEW met1 ( 8050 47770 ) M1M2_PR + NEW li1 ( 8050 49810 ) L1M1_PR + NEW met1 ( 8050 49810 ) M1M2_PR + NEW li1 ( 13570 42330 ) L1M1_PR + NEW met1 ( 13570 42330 ) M1M2_PR + NEW met1 ( 13570 48110 ) M1M2_PR + NEW li1 ( 16330 47770 ) L1M1_PR + NEW li1 ( 19090 47770 ) L1M1_PR + NEW li1 ( 18630 50150 ) L1M1_PR + NEW met1 ( 18630 50150 ) M1M2_PR + NEW met1 ( 18630 48110 ) M1M2_PR + NEW met1 ( 8050 49810 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 13570 42330 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 13570 48110 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 18630 50150 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 18630 48110 ) RECT ( -595 -70 0 70 ) ; + - divider2.odd_0.counter2\[2\] ( _482_ Q ) ( _385_ A ) ( _265_ C ) ( _258_ A ) ( _256_ A ) + USE SIGNAL + + ROUTED met1 ( 17250 51170 ) ( 17710 * ) + NEW met2 ( 17710 51170 ) ( * 53210 ) + NEW met1 ( 17710 53210 ) ( 19550 * ) + NEW met1 ( 17710 47770 ) ( 18170 * ) + NEW met2 ( 17710 47770 ) ( * 51170 ) + NEW met1 ( 20010 47430 ) ( * 47770 ) + NEW met1 ( 18170 47430 ) ( 20010 * ) + NEW met1 ( 18170 47430 ) ( * 47770 ) + NEW met1 ( 14030 47430 ) ( * 47770 ) + NEW met1 ( 14030 47430 ) ( 18170 * ) + NEW li1 ( 17250 51170 ) L1M1_PR + NEW met1 ( 17710 51170 ) M1M2_PR + NEW met1 ( 17710 53210 ) M1M2_PR + NEW li1 ( 19550 53210 ) L1M1_PR + NEW li1 ( 18170 47770 ) L1M1_PR + NEW met1 ( 17710 47770 ) M1M2_PR + NEW li1 ( 20010 47770 ) L1M1_PR + NEW li1 ( 14030 47770 ) L1M1_PR ; + - divider2.odd_0.counter\[0\] ( _487_ Q ) ( _388_ B ) ( _387_ B ) ( _386_ A ) ( _243_ C ) ( _232_ B ) + USE SIGNAL + + ROUTED met1 ( 32890 33150 ) ( * 33490 ) + NEW met1 ( 30590 33490 ) ( 32890 * ) + NEW met1 ( 43930 41990 ) ( 45770 * ) + NEW met2 ( 43930 33150 ) ( * 41990 ) + NEW met1 ( 32890 33150 ) ( 43930 * ) + NEW met1 ( 47150 44710 ) ( 52670 * ) + NEW met2 ( 47150 41990 ) ( * 44710 ) + NEW met1 ( 46230 41990 ) ( 47150 * ) + NEW met1 ( 46230 41950 ) ( * 41990 ) + NEW met1 ( 45770 41950 ) ( 46230 * ) + NEW met1 ( 45770 41950 ) ( * 41990 ) + NEW met2 ( 55430 34170 ) ( * 36890 ) + NEW met2 ( 54970 34170 ) ( 55430 * ) + NEW met2 ( 54970 33490 ) ( * 34170 ) + NEW met1 ( 54050 33490 ) ( 54970 * ) + NEW met1 ( 54050 33150 ) ( * 33490 ) + NEW met1 ( 43930 33150 ) ( 54050 * ) + NEW met2 ( 58650 36890 ) ( * 39270 ) + NEW met1 ( 55430 36890 ) ( 58650 * ) + NEW li1 ( 32890 33150 ) L1M1_PR + NEW li1 ( 30590 33490 ) L1M1_PR + NEW li1 ( 45770 41990 ) L1M1_PR + NEW met1 ( 43930 41990 ) M1M2_PR + NEW met1 ( 43930 33150 ) M1M2_PR + NEW li1 ( 52670 44710 ) L1M1_PR + NEW met1 ( 47150 44710 ) M1M2_PR + NEW met1 ( 47150 41990 ) M1M2_PR + NEW li1 ( 55430 36890 ) L1M1_PR + NEW met1 ( 55430 36890 ) M1M2_PR + NEW met1 ( 54970 33490 ) M1M2_PR + NEW li1 ( 58650 39270 ) L1M1_PR + NEW met1 ( 58650 39270 ) M1M2_PR + NEW met1 ( 58650 36890 ) M1M2_PR + NEW met1 ( 55430 36890 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 58650 39270 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.counter\[1\] ( _488_ Q ) ( _388_ A ) ( _387_ A ) ( _241_ C ) ( _231_ B ) + USE SIGNAL + + ROUTED met1 ( 35650 38590 ) ( 40480 * ) + NEW met2 ( 35650 38590 ) ( * 44370 ) + NEW met1 ( 41170 42330 ) ( 46160 * ) + NEW met2 ( 41170 38590 ) ( * 42330 ) + NEW met1 ( 40480 38590 ) ( 41170 * ) + NEW met1 ( 47610 41990 ) ( * 42330 ) + NEW met1 ( 46160 42330 ) ( 47610 * ) + NEW met1 ( 53590 44710 ) ( 54050 * ) + NEW met2 ( 54050 41990 ) ( * 44710 ) + NEW met2 ( 53130 41990 ) ( 54050 * ) + NEW met1 ( 60030 42330 ) ( 60490 * ) + NEW met2 ( 60030 42330 ) ( * 44370 ) + NEW met1 ( 54050 44370 ) ( 60030 * ) + NEW met1 ( 54050 44370 ) ( * 44710 ) + NEW met1 ( 47610 41990 ) ( 53130 * ) + NEW li1 ( 40480 38590 ) L1M1_PR + NEW met1 ( 35650 38590 ) M1M2_PR + NEW li1 ( 35650 44370 ) L1M1_PR + NEW met1 ( 35650 44370 ) M1M2_PR + NEW li1 ( 46160 42330 ) L1M1_PR + NEW met1 ( 41170 42330 ) M1M2_PR + NEW met1 ( 41170 38590 ) M1M2_PR + NEW li1 ( 53590 44710 ) L1M1_PR + NEW met1 ( 54050 44710 ) M1M2_PR + NEW met1 ( 53130 41990 ) M1M2_PR + NEW li1 ( 60490 42330 ) L1M1_PR + NEW met1 ( 60030 42330 ) M1M2_PR + NEW met1 ( 60030 44370 ) M1M2_PR + NEW met1 ( 35650 44370 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.counter\[2\] ( _489_ Q ) ( _389_ A ) ( _239_ C ) ( _231_ A ) + USE SIGNAL + + ROUTED met1 ( 47610 44370 ) ( 49450 * ) + NEW met2 ( 49450 42330 ) ( * 44370 ) + NEW met1 ( 49450 42330 ) ( 53590 * ) + NEW met1 ( 53590 42330 ) ( * 42670 ) + NEW met1 ( 53590 42670 ) ( 61410 * ) + NEW met1 ( 61410 42330 ) ( * 42670 ) + NEW met1 ( 46690 47090 ) ( 49450 * ) + NEW met2 ( 49450 44370 ) ( * 47090 ) + NEW met1 ( 33810 42330 ) ( * 42670 ) + NEW met1 ( 33810 42670 ) ( 34270 * ) + NEW met1 ( 34270 42670 ) ( * 43010 ) + NEW met1 ( 34270 43010 ) ( 43930 * ) + NEW met2 ( 43930 43010 ) ( * 46580 ) + NEW met2 ( 43930 46580 ) ( 44390 * ) + NEW met2 ( 44390 46580 ) ( * 47090 ) + NEW met1 ( 44390 47090 ) ( 46690 * ) + NEW li1 ( 47610 44370 ) L1M1_PR + NEW met1 ( 49450 44370 ) M1M2_PR + NEW met1 ( 49450 42330 ) M1M2_PR + NEW li1 ( 61410 42330 ) L1M1_PR + NEW li1 ( 46690 47090 ) L1M1_PR + NEW met1 ( 49450 47090 ) M1M2_PR + NEW li1 ( 33810 42330 ) L1M1_PR + NEW met1 ( 43930 43010 ) M1M2_PR + NEW met1 ( 44390 47090 ) M1M2_PR ; + - divider2.odd_0.initial_begin\[0\] ( _484_ Q ) ( _381_ B ) ( _377_ B ) ( _375_ A ) ( _250_ A0 ) + USE SIGNAL + + ROUTED met2 ( 13570 25670 ) ( * 31450 ) + NEW met1 ( 10350 25670 ) ( 13570 * ) + NEW met1 ( 13570 25330 ) ( * 25670 ) + NEW met1 ( 26450 25330 ) ( * 26010 ) + NEW met1 ( 26450 26010 ) ( 29670 * ) + NEW met2 ( 29670 26010 ) ( * 27710 ) + NEW met1 ( 13570 25330 ) ( 26450 * ) + NEW li1 ( 13570 31450 ) L1M1_PR + NEW met1 ( 13570 31450 ) M1M2_PR + NEW met1 ( 13570 25670 ) M1M2_PR + NEW li1 ( 10350 25670 ) L1M1_PR + NEW li1 ( 26450 26010 ) L1M1_PR + NEW li1 ( 29670 26010 ) L1M1_PR + NEW li1 ( 29670 27710 ) L1M1_PR + NEW met1 ( 29670 27710 ) M1M2_PR + NEW met1 ( 29670 26010 ) M1M2_PR + NEW met1 ( 13570 31450 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 29670 27710 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 29670 26010 ) RECT ( -595 -70 0 70 ) ; + - divider2.odd_0.initial_begin\[1\] ( _485_ Q ) ( _381_ A ) ( _377_ A ) ( _253_ B ) ( _248_ A0 ) + USE SIGNAL + + ROUTED met1 ( 12650 31110 ) ( * 31450 ) + NEW met2 ( 14490 31110 ) ( * 33830 ) + NEW met1 ( 14490 29410 ) ( 15640 * ) + NEW met2 ( 14490 29410 ) ( * 31110 ) + NEW met2 ( 14490 26010 ) ( * 29410 ) + NEW met1 ( 9890 26010 ) ( 14490 * ) + NEW met1 ( 12650 31110 ) ( 14490 * ) + NEW met1 ( 13110 33830 ) ( 14490 * ) + NEW li1 ( 9890 26010 ) L1M1_PR + NEW li1 ( 12650 31450 ) L1M1_PR + NEW li1 ( 13110 33830 ) L1M1_PR + NEW met1 ( 14490 31110 ) M1M2_PR + NEW met1 ( 14490 33830 ) M1M2_PR + NEW li1 ( 15640 29410 ) L1M1_PR + NEW met1 ( 14490 29410 ) M1M2_PR + NEW li1 ( 14490 26010 ) L1M1_PR + NEW met1 ( 14490 26010 ) M1M2_PR + NEW met1 ( 14490 26010 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.initial_begin\[2\] ( _486_ Q ) ( _382_ A ) ( _253_ A ) ( _245_ A0 ) + USE SIGNAL + + ROUTED met1 ( 9890 33830 ) ( 12190 * ) + NEW met1 ( 10350 32130 ) ( 12190 * ) + NEW met2 ( 12190 32130 ) ( * 33830 ) + NEW met2 ( 12190 35700 ) ( 12650 * ) + NEW met2 ( 12650 35700 ) ( * 36890 ) + NEW met1 ( 12650 36890 ) ( 13570 * ) + NEW met2 ( 12190 33830 ) ( * 35700 ) + NEW li1 ( 12190 33830 ) L1M1_PR + NEW met1 ( 12190 33830 ) M1M2_PR + NEW li1 ( 9890 33830 ) L1M1_PR + NEW li1 ( 10350 32130 ) L1M1_PR + NEW met1 ( 12190 32130 ) M1M2_PR + NEW met1 ( 12650 36890 ) M1M2_PR + NEW li1 ( 13570 36890 ) L1M1_PR + NEW met1 ( 12190 33830 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.old_N\[0\] ( _441_ Q ) ( _222_ C ) + USE SIGNAL + + ROUTED met1 ( 47150 26010 ) ( 48530 * ) + NEW met1 ( 48530 25330 ) ( * 26010 ) + NEW li1 ( 47150 26010 ) L1M1_PR + NEW li1 ( 48530 25330 ) L1M1_PR ; + - divider2.odd_0.old_N\[1\] ( _442_ Q ) ( _221_ A ) ( _220_ B_N ) + USE SIGNAL + + ROUTED met1 ( 41630 30430 ) ( * 30770 ) + NEW met1 ( 41630 30770 ) ( 43010 * ) + NEW met1 ( 43010 30770 ) ( * 31450 ) + NEW met2 ( 41630 29410 ) ( * 30430 ) + NEW li1 ( 41630 30430 ) L1M1_PR + NEW li1 ( 43010 31450 ) L1M1_PR + NEW li1 ( 41630 29410 ) L1M1_PR + NEW met1 ( 41630 29410 ) M1M2_PR + NEW met1 ( 41630 30430 ) M1M2_PR + NEW met1 ( 41630 29410 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 41630 30430 ) RECT ( -595 -70 0 70 ) ; + - divider2.odd_0.old_N\[2\] ( _443_ Q ) ( _224_ B ) ( _223_ B ) + USE SIGNAL + + ROUTED met1 ( 62790 33830 ) ( * 34170 ) + NEW met1 ( 62790 34170 ) ( 64170 * ) + NEW met2 ( 64170 34170 ) ( * 36550 ) + NEW met1 ( 62330 36550 ) ( 64170 * ) + NEW met1 ( 62330 36550 ) ( * 36890 ) + NEW met2 ( 64170 33150 ) ( * 34170 ) + NEW met1 ( 61410 33150 ) ( 64170 * ) + NEW li1 ( 62790 33830 ) L1M1_PR + NEW met1 ( 64170 34170 ) M1M2_PR + NEW met1 ( 64170 36550 ) M1M2_PR + NEW li1 ( 62330 36890 ) L1M1_PR + NEW met1 ( 64170 33150 ) M1M2_PR + NEW li1 ( 61410 33150 ) L1M1_PR ; + - divider2.odd_0.out_counter ( _490_ Q ) ( _356_ A ) ( _233_ A ) + USE SIGNAL + + ROUTED met1 ( 73370 42330 ) ( 74750 * ) + NEW met2 ( 74750 42330 ) ( * 44030 ) + NEW met1 ( 74750 44030 ) ( 75440 * ) + NEW met1 ( 69690 42330 ) ( 73370 * ) + NEW li1 ( 73370 42330 ) L1M1_PR + NEW met1 ( 74750 42330 ) M1M2_PR + NEW met1 ( 74750 44030 ) M1M2_PR + NEW li1 ( 75440 44030 ) L1M1_PR + NEW li1 ( 69690 42330 ) L1M1_PR ; + - divider2.odd_0.out_counter2 ( _483_ Q ) ( _356_ B ) ( _255_ A ) + USE SIGNAL + + ROUTED met1 ( 65550 50830 ) ( * 51170 ) + NEW met1 ( 65550 51170 ) ( 69230 * ) + NEW met2 ( 69230 41990 ) ( * 51170 ) + NEW met1 ( 62100 50830 ) ( 65550 * ) + NEW met1 ( 39560 51170 ) ( 62100 * ) + NEW met1 ( 62100 50830 ) ( * 51170 ) + NEW met1 ( 34270 47770 ) ( 34730 * ) + NEW met2 ( 34730 47770 ) ( * 51170 ) + NEW met1 ( 34730 51170 ) ( 39560 * ) + NEW met1 ( 69230 51170 ) M1M2_PR + NEW li1 ( 69230 41990 ) L1M1_PR + NEW met1 ( 69230 41990 ) M1M2_PR + NEW li1 ( 39560 51170 ) L1M1_PR + NEW li1 ( 34270 47770 ) L1M1_PR + NEW met1 ( 34730 47770 ) M1M2_PR + NEW met1 ( 34730 51170 ) M1M2_PR + NEW met1 ( 69230 41990 ) RECT ( -355 -70 0 70 ) ; + - divider2.odd_0.rst_pulse ( _491_ Q ) ( _433_ S ) ( _431_ S ) ( _429_ S ) ( _428_ S ) ( _427_ S ) ( _408_ S ) + ( _406_ S ) ( _404_ S ) ( _402_ S ) ( _397_ A1 ) ( _228_ A ) + USE SIGNAL + + ROUTED met1 ( 51750 33830 ) ( 53130 * ) + NEW met2 ( 51750 33830 ) ( * 45050 ) + NEW met2 ( 18630 39950 ) ( * 41990 ) + NEW met1 ( 9430 39950 ) ( 18630 * ) + NEW met1 ( 9430 39610 ) ( * 39950 ) + NEW met1 ( 16790 34170 ) ( 18630 * ) + NEW met2 ( 18630 34170 ) ( * 39950 ) + NEW met2 ( 18630 31110 ) ( * 34170 ) + NEW met1 ( 18630 45050 ) ( 21850 * ) + NEW met2 ( 18630 41990 ) ( * 45050 ) + NEW met1 ( 18630 39270 ) ( 27370 * ) + NEW met1 ( 21850 31450 ) ( 28750 * ) + NEW met1 ( 21850 31110 ) ( * 31450 ) + NEW met1 ( 18630 31110 ) ( 21850 * ) + NEW met1 ( 33350 30770 ) ( * 31110 ) + NEW met1 ( 29670 30770 ) ( 33350 * ) + NEW met1 ( 29670 30770 ) ( * 31450 ) + NEW met1 ( 28750 31450 ) ( 29670 * ) + NEW met1 ( 41630 36210 ) ( * 36550 ) + NEW met1 ( 40710 36210 ) ( 41630 * ) + NEW met1 ( 40710 35870 ) ( * 36210 ) + NEW met1 ( 37950 35870 ) ( 40710 * ) + NEW met2 ( 37950 31110 ) ( * 35870 ) + NEW met1 ( 33350 31110 ) ( 37950 * ) + NEW met2 ( 45310 35870 ) ( * 36550 ) + NEW met1 ( 40710 35870 ) ( 45310 * ) + NEW met1 ( 45310 36550 ) ( 51750 * ) + NEW li1 ( 53130 33830 ) L1M1_PR + NEW met1 ( 51750 33830 ) M1M2_PR + NEW li1 ( 51750 45050 ) L1M1_PR + NEW met1 ( 51750 45050 ) M1M2_PR + NEW met1 ( 51750 36550 ) M1M2_PR + NEW li1 ( 18630 41990 ) L1M1_PR + NEW met1 ( 18630 41990 ) M1M2_PR + NEW met1 ( 18630 39950 ) M1M2_PR + NEW li1 ( 9430 39610 ) L1M1_PR + NEW li1 ( 16790 34170 ) L1M1_PR + NEW met1 ( 18630 34170 ) M1M2_PR + NEW li1 ( 18630 31110 ) L1M1_PR + NEW met1 ( 18630 31110 ) M1M2_PR + NEW li1 ( 21850 45050 ) L1M1_PR + NEW met1 ( 18630 45050 ) M1M2_PR + NEW li1 ( 27370 39270 ) L1M1_PR + NEW met1 ( 18630 39270 ) M1M2_PR + NEW li1 ( 28750 31450 ) L1M1_PR + NEW li1 ( 33350 31110 ) L1M1_PR + NEW li1 ( 41630 36550 ) L1M1_PR + NEW met1 ( 37950 35870 ) M1M2_PR + NEW met1 ( 37950 31110 ) M1M2_PR + NEW li1 ( 45310 36550 ) L1M1_PR + NEW met1 ( 45310 36550 ) M1M2_PR + NEW met1 ( 45310 35870 ) M1M2_PR + NEW met1 ( 51750 45050 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 51750 36550 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 18630 41990 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 18630 31110 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 18630 39270 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 45310 36550 ) RECT ( 0 -70 355 70 ) ; + - divider2.out ( _462_ CLK ) ( _461_ CLK ) ( _460_ CLK ) ( _459_ CLK ) ( _458_ CLK ) ( _457_ CLK ) ( _400_ A1 ) + ( _357_ Y ) + USE SIGNAL + + ROUTED met1 ( 74290 41310 ) ( * 41990 ) + NEW met1 ( 64170 41310 ) ( 74290 * ) + NEW met1 ( 64170 41310 ) ( * 41650 ) + NEW met1 ( 63250 41650 ) ( 64170 * ) + NEW met2 ( 63250 41650 ) ( * 44030 ) + NEW met1 ( 74290 39270 ) ( 74750 * ) + NEW met2 ( 74290 39270 ) ( * 41310 ) + NEW met1 ( 89470 38590 ) ( * 39270 ) + NEW met1 ( 74750 38590 ) ( 89470 * ) + NEW met1 ( 74750 38590 ) ( * 39270 ) + NEW met2 ( 89470 39270 ) ( * 44710 ) + NEW met2 ( 89470 34170 ) ( * 39270 ) + NEW met2 ( 89470 28390 ) ( * 34170 ) + NEW met2 ( 61410 44030 ) ( * 47430 ) + NEW met1 ( 61410 44030 ) ( 63250 * ) + NEW met1 ( 61410 47430 ) ( 62790 * ) + NEW li1 ( 74290 41990 ) L1M1_PR + NEW met1 ( 63250 41650 ) M1M2_PR + NEW met1 ( 63250 44030 ) M1M2_PR + NEW li1 ( 74750 39270 ) L1M1_PR + NEW met1 ( 74290 39270 ) M1M2_PR + NEW met1 ( 74290 41310 ) M1M2_PR + NEW li1 ( 89470 39270 ) L1M1_PR + NEW li1 ( 89470 44710 ) L1M1_PR + NEW met1 ( 89470 44710 ) M1M2_PR + NEW met1 ( 89470 39270 ) M1M2_PR + NEW li1 ( 89470 34170 ) L1M1_PR + NEW met1 ( 89470 34170 ) M1M2_PR + NEW li1 ( 89470 28390 ) L1M1_PR + NEW met1 ( 89470 28390 ) M1M2_PR + NEW li1 ( 62790 47430 ) L1M1_PR + NEW met1 ( 61410 44030 ) M1M2_PR + NEW met1 ( 61410 47430 ) M1M2_PR + NEW li1 ( 61870 44030 ) L1M1_PR + NEW met1 ( 74290 41310 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 89470 44710 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 89470 39270 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 89470 34170 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 89470 28390 ) RECT ( 0 -70 355 70 ) + NEW met1 ( 61870 44030 ) RECT ( -595 -70 0 70 ) ; + - divider2.syncNp\[0\] ( _460_ D ) ( _457_ Q ) + USE SIGNAL + + ROUTED met1 ( 80730 28730 ) ( 87630 * ) + NEW met2 ( 87630 28730 ) ( * 33490 ) + NEW met1 ( 87630 33490 ) ( 88090 * ) + NEW li1 ( 80730 28730 ) L1M1_PR + NEW met1 ( 87630 28730 ) M1M2_PR + NEW met1 ( 87630 33490 ) M1M2_PR + NEW li1 ( 88090 33490 ) L1M1_PR ; + - divider2.syncNp\[1\] ( _461_ D ) ( _458_ Q ) + USE SIGNAL + + ROUTED met1 ( 83950 39610 ) ( 87630 * ) + NEW met2 ( 83950 39610 ) ( * 41310 ) + NEW met1 ( 83260 41310 ) ( 83950 * ) + NEW li1 ( 87630 39610 ) L1M1_PR + NEW met1 ( 83950 39610 ) M1M2_PR + NEW met1 ( 83950 41310 ) M1M2_PR + NEW li1 ( 83260 41310 ) L1M1_PR ; + - divider2.syncNp\[2\] ( _462_ D ) ( _459_ Q ) + USE SIGNAL + + ROUTED met1 ( 73830 45050 ) ( 88090 * ) + NEW met2 ( 73830 45050 ) ( * 47090 ) NEW met1 ( 71530 47090 ) ( 73830 * ) - NEW met1 ( 73830 46750 ) ( * 47090 ) - NEW met1 ( 73830 46750 ) ( 83490 * ) - NEW met2 ( 83490 45050 ) ( * 46750 ) - NEW met2 ( 67390 44710 ) ( * 46750 ) - NEW met1 ( 67390 46750 ) ( 71530 * ) - NEW met1 ( 71530 46750 ) ( * 47090 ) - NEW met1 ( 65090 42670 ) ( 67390 * ) - NEW met2 ( 67390 42670 ) ( * 44710 ) - NEW met1 ( 65090 42670 ) ( * 43010 ) - NEW met1 ( 58190 43010 ) ( 65090 * ) - NEW li1 ( 83490 41990 ) L1M1_PR - NEW met1 ( 89470 41990 ) M1M2_PR - NEW li1 ( 89470 33830 ) L1M1_PR - NEW met1 ( 89470 33830 ) M1M2_PR - NEW li1 ( 79350 39610 ) L1M1_PR - NEW met1 ( 83490 39610 ) M1M2_PR - NEW met1 ( 83490 41990 ) M1M2_PR - NEW li1 ( 78890 45050 ) L1M1_PR - NEW met1 ( 83490 45050 ) M1M2_PR - NEW li1 ( 71530 47430 ) L1M1_PR - NEW met1 ( 83490 46750 ) M1M2_PR - NEW li1 ( 67390 44710 ) L1M1_PR - NEW met1 ( 67390 44710 ) M1M2_PR - NEW met1 ( 67390 46750 ) M1M2_PR - NEW li1 ( 65090 42670 ) L1M1_PR - NEW met1 ( 67390 42670 ) M1M2_PR - NEW li1 ( 58190 43010 ) L1M1_PR - NEW met1 ( 89470 33830 ) RECT ( 0 -70 355 70 ) - NEW met1 ( 83490 41990 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 67390 44710 ) RECT ( -355 -70 0 70 ) ; - - divider2.syncNp\[0\] ( _436_ D ) ( _433_ Q ) + USE SIGNAL - + ROUTED met2 ( 80730 34850 ) ( * 38930 ) - NEW li1 ( 80730 34850 ) L1M1_PR - NEW met1 ( 80730 34850 ) M1M2_PR - NEW li1 ( 80730 38930 ) L1M1_PR - NEW met1 ( 80730 38930 ) M1M2_PR - NEW met1 ( 80730 34850 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 80730 38930 ) RECT ( -355 -70 0 70 ) ; - - divider2.syncNp\[1\] ( _437_ D ) ( _434_ Q ) + USE SIGNAL - + ROUTED met1 ( 74520 43010 ) ( 79350 * ) - NEW met2 ( 79350 43010 ) ( * 44710 ) - NEW met1 ( 79350 44710 ) ( 80730 * ) - NEW li1 ( 74520 43010 ) L1M1_PR - NEW met1 ( 79350 43010 ) M1M2_PR - NEW met1 ( 79350 44710 ) M1M2_PR - NEW li1 ( 80730 44710 ) L1M1_PR ; - - divider2.syncNp\[2\] ( _438_ D ) ( _435_ Q ) + USE SIGNAL - + ROUTED met1 ( 66930 45050 ) ( 68770 * ) - NEW met2 ( 66930 45050 ) ( * 46750 ) - NEW met1 ( 62790 46750 ) ( 66930 * ) - NEW li1 ( 68770 45050 ) L1M1_PR - NEW met1 ( 66930 45050 ) M1M2_PR - NEW met1 ( 66930 46750 ) M1M2_PR - NEW li1 ( 62790 46750 ) L1M1_PR ; + NEW li1 ( 88090 45050 ) L1M1_PR + NEW met1 ( 73830 45050 ) M1M2_PR + NEW met1 ( 73830 47090 ) M1M2_PR + NEW li1 ( 71530 47090 ) L1M1_PR ; - ext_clk ( PIN ext_clk ) ( ANTENNA_clkbuf_0_ext_clk_A DIODE ) ( clkbuf_0_ext_clk A ) + USE CLOCK + ROUTED met2 ( 48990 40290 ) ( * 46750 ) NEW met1 ( 21390 40290 ) ( 48990 * ) NEW met2 ( 21390 40290 ) ( * 56100 0 ) - NEW met1 ( 52670 49810 ) ( 54510 * ) - NEW met1 ( 52670 49470 ) ( * 49810 ) - NEW met1 ( 49450 49470 ) ( 52670 * ) - NEW met2 ( 49450 46750 ) ( * 49470 ) - NEW met2 ( 48990 46750 ) ( 49450 * ) + NEW met1 ( 48990 47770 ) ( 49910 * ) + NEW met2 ( 48990 46750 ) ( * 47770 ) NEW li1 ( 48990 46750 ) L1M1_PR NEW met1 ( 48990 46750 ) M1M2_PR NEW met1 ( 48990 40290 ) M1M2_PR NEW met1 ( 21390 40290 ) M1M2_PR - NEW li1 ( 54510 49810 ) L1M1_PR - NEW met1 ( 49450 49470 ) M1M2_PR + NEW li1 ( 49910 47770 ) L1M1_PR + NEW met1 ( 48990 47770 ) M1M2_PR NEW met1 ( 48990 46750 ) RECT ( -355 -70 0 70 ) ; - ext_clk_sel ( PIN ext_clk_sel ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL - + ROUTED met2 ( 92690 3740 ) ( * 4250 ) + + ROUTED met2 ( 92690 3740 ) ( * 3910 ) NEW met3 ( 92690 3740 ) ( 97060 * 0 ) - NEW met1 ( 91310 4250 ) ( 92690 * ) - NEW li1 ( 92690 4250 ) L1M1_PR - NEW met1 ( 92690 4250 ) M1M2_PR + NEW met2 ( 92690 2210 ) ( * 3740 ) + NEW li1 ( 92690 3910 ) L1M1_PR + NEW met1 ( 92690 3910 ) M1M2_PR NEW met2 ( 92690 3740 ) M2M3_PR_M - NEW li1 ( 91310 4250 ) L1M1_PR - NEW met1 ( 92690 4250 ) RECT ( -355 -70 0 70 ) ; - - ext_clk_syncd ( _426_ Q ) ( _412_ A1 ) + USE SIGNAL - + ROUTED met2 ( 53590 50830 ) ( * 52530 ) - NEW met1 ( 53590 52530 ) ( 55890 * ) - NEW met1 ( 55890 52530 ) ( * 52870 ) - NEW li1 ( 53590 50830 ) L1M1_PR - NEW met1 ( 53590 50830 ) M1M2_PR - NEW met1 ( 53590 52530 ) M1M2_PR - NEW li1 ( 55890 52870 ) L1M1_PR - NEW met1 ( 53590 50830 ) RECT ( -355 -70 0 70 ) ; - - ext_clk_syncd_pre ( hold1 A ) ( _420_ Q ) ( _343_ A0 ) + USE SIGNAL - + ROUTED met1 ( 44390 53210 ) ( 48530 * ) - NEW met1 ( 44850 48450 ) ( 46690 * ) - NEW met2 ( 44850 48450 ) ( * 53210 ) - NEW li1 ( 48530 53210 ) L1M1_PR - NEW li1 ( 44390 53210 ) L1M1_PR - NEW li1 ( 46690 48450 ) L1M1_PR - NEW met1 ( 44850 48450 ) M1M2_PR - NEW met1 ( 44850 53210 ) M1M2_PR - NEW met1 ( 44850 53210 ) RECT ( -595 -70 0 70 ) ; + NEW li1 ( 92690 2210 ) L1M1_PR + NEW met1 ( 92690 2210 ) M1M2_PR + NEW met1 ( 92690 3910 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 92690 2210 ) RECT ( -355 -70 0 70 ) ; + - ext_clk_syncd ( _450_ Q ) ( _436_ A1 ) + USE SIGNAL + + ROUTED met1 ( 53590 43010 ) ( 54050 * ) + NEW met2 ( 53590 43010 ) ( * 49470 ) + NEW li1 ( 54050 43010 ) L1M1_PR + NEW met1 ( 53590 43010 ) M1M2_PR + NEW li1 ( 53590 49470 ) L1M1_PR + NEW met1 ( 53590 49470 ) M1M2_PR + NEW met1 ( 53590 49470 ) RECT ( -355 -70 0 70 ) ; + - ext_clk_syncd_pre ( hold1 A ) ( _444_ Q ) ( _347_ A0 ) + USE SIGNAL + + ROUTED met1 ( 44390 43010 ) ( 44850 * ) + NEW met2 ( 44850 43010 ) ( * 52870 ) + NEW met1 ( 48070 42330 ) ( 48530 * ) + NEW met2 ( 48070 42330 ) ( * 43010 ) + NEW met1 ( 44850 43010 ) ( 48070 * ) + NEW li1 ( 44390 43010 ) L1M1_PR + NEW met1 ( 44850 43010 ) M1M2_PR + NEW li1 ( 44850 52870 ) L1M1_PR + NEW met1 ( 44850 52870 ) M1M2_PR + NEW li1 ( 48530 42330 ) L1M1_PR + NEW met1 ( 48070 42330 ) M1M2_PR + NEW met1 ( 48070 43010 ) M1M2_PR + NEW met1 ( 44850 52870 ) RECT ( -355 -70 0 70 ) ; - ext_reset ( PIN ext_reset ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL + ROUTED met1 ( 92690 53210 ) ( 94070 * ) NEW met2 ( 94070 53210 ) ( * 56100 ) NEW met3 ( 94070 56100 ) ( 97060 * 0 ) - NEW met1 ( 89470 53550 ) ( 92690 * ) - NEW met1 ( 92690 53210 ) ( * 53550 ) + NEW met1 ( 89470 52870 ) ( 92690 * ) + NEW met1 ( 92690 52870 ) ( * 53210 ) NEW li1 ( 92690 53210 ) L1M1_PR NEW met1 ( 94070 53210 ) M1M2_PR NEW met2 ( 94070 56100 ) M2M3_PR_M - NEW li1 ( 89470 53550 ) L1M1_PR ; - - net1 ( input1 X ) ( _374_ A ) + USE SIGNAL - + ROUTED met2 ( 91310 4930 ) ( * 9690 ) - NEW met1 ( 91310 4930 ) ( 91770 * ) - NEW li1 ( 91310 9690 ) L1M1_PR - NEW met1 ( 91310 9690 ) M1M2_PR - NEW met1 ( 91310 4930 ) M1M2_PR - NEW li1 ( 91770 4930 ) L1M1_PR - NEW met1 ( 91310 9690 ) RECT ( -355 -70 0 70 ) ; - - net10 ( _340__9 A ) ( _341__8 A ) ( _342__7 A ) ( output10 A ) ( _393_ X ) + USE SIGNAL - + ROUTED met1 ( 75670 52870 ) ( * 53210 ) - NEW met1 ( 75670 52870 ) ( 84870 * ) + NEW li1 ( 89470 52870 ) L1M1_PR ; + - net1 ( input1 X ) ( _392_ A ) + USE SIGNAL + + ROUTED met1 ( 91310 4250 ) ( 91770 * ) + NEW met1 ( 91310 20570 ) ( 91770 * ) + NEW met2 ( 91770 4250 ) ( * 20570 ) + NEW met1 ( 91770 4250 ) M1M2_PR + NEW li1 ( 91310 4250 ) L1M1_PR + NEW li1 ( 91310 20570 ) L1M1_PR + NEW met1 ( 91770 20570 ) M1M2_PR ; + - net10 ( _344__9 A ) ( _345__8 A ) ( _346__7 A ) ( output10 A ) ( _399_ X ) + USE SIGNAL + + ROUTED met1 ( 75210 52870 ) ( * 53210 ) + NEW met1 ( 75210 52870 ) ( 84870 * ) NEW met1 ( 84870 52870 ) ( * 53210 ) NEW met1 ( 68770 52870 ) ( * 53210 ) - NEW met1 ( 68770 52870 ) ( 75670 * ) - NEW met1 ( 68770 53210 ) ( * 53550 ) - NEW met1 ( 62100 53550 ) ( 68770 * ) - NEW met1 ( 52670 53890 ) ( 60490 * ) - NEW met1 ( 52670 53550 ) ( * 53890 ) - NEW met1 ( 49450 53550 ) ( 52670 * ) - NEW met1 ( 49450 53550 ) ( * 53890 ) - NEW met1 ( 37490 53890 ) ( 49450 * ) - NEW met1 ( 37490 53210 ) ( * 53890 ) - NEW met1 ( 62100 53550 ) ( * 53890 ) - NEW met1 ( 60490 53890 ) ( 62100 * ) - NEW li1 ( 75670 53210 ) L1M1_PR + NEW met1 ( 68770 52870 ) ( 75210 * ) + NEW met1 ( 64170 52190 ) ( * 52530 ) + NEW met1 ( 64170 52530 ) ( 68770 * ) + NEW met1 ( 68770 52530 ) ( * 52870 ) + NEW met1 ( 42550 52190 ) ( * 52530 ) + NEW met1 ( 37490 52530 ) ( 42550 * ) + NEW met1 ( 37490 52530 ) ( * 53210 ) + NEW met1 ( 42550 52190 ) ( 64170 * ) + NEW li1 ( 75210 53210 ) L1M1_PR NEW li1 ( 84870 53210 ) L1M1_PR NEW li1 ( 68770 53210 ) L1M1_PR - NEW li1 ( 60490 53890 ) L1M1_PR + NEW li1 ( 64170 52190 ) L1M1_PR NEW li1 ( 37490 53210 ) L1M1_PR ; - - net11 ( output11 A ) ( _375_ Y ) + USE SIGNAL - + ROUTED met1 ( 71070 53210 ) ( * 53890 ) - NEW met1 ( 71070 53890 ) ( 90850 * ) - NEW li1 ( 71070 53210 ) L1M1_PR - NEW li1 ( 90850 53890 ) L1M1_PR ; - - net12 ( output12 A ) ( _394_ X ) + USE SIGNAL - + ROUTED met1 ( 55890 41650 ) ( 56810 * ) - NEW met2 ( 56810 41650 ) ( * 53550 ) - NEW met1 ( 53130 53550 ) ( 56810 * ) - NEW met1 ( 53130 53210 ) ( * 53550 ) - NEW li1 ( 55890 41650 ) L1M1_PR - NEW met1 ( 56810 41650 ) M1M2_PR - NEW met1 ( 56810 53550 ) M1M2_PR - NEW li1 ( 53130 53210 ) L1M1_PR ; - - net13 ( _423_ D ) ( _378__13 LO ) + USE SIGNAL - + ROUTED met1 ( 68770 50490 ) ( 72450 * ) + - net11 ( output11 A ) ( _393_ Y ) + USE SIGNAL + + ROUTED met1 ( 70610 53210 ) ( * 53550 ) + NEW met1 ( 70610 53550 ) ( 90850 * ) + NEW li1 ( 70610 53210 ) L1M1_PR + NEW li1 ( 90850 53550 ) L1M1_PR ; + - net12 ( output12 A ) ( _400_ X ) + USE SIGNAL + + ROUTED met2 ( 59570 45730 ) ( * 53210 ) + NEW met1 ( 51290 53210 ) ( 59570 * ) + NEW li1 ( 59570 45730 ) L1M1_PR + NEW met1 ( 59570 45730 ) M1M2_PR + NEW met1 ( 59570 53210 ) M1M2_PR + NEW li1 ( 51290 53210 ) L1M1_PR + NEW met1 ( 59570 45730 ) RECT ( -355 -70 0 70 ) ; + - net13 ( _447_ D ) ( _396__13 LO ) + USE SIGNAL + + ROUTED met1 ( 68310 50490 ) ( 72450 * ) NEW met2 ( 72450 50490 ) ( * 52190 ) - NEW li1 ( 68770 50490 ) L1M1_PR + NEW li1 ( 68310 50490 ) L1M1_PR NEW met1 ( 72450 50490 ) M1M2_PR NEW li1 ( 72450 52190 ) L1M1_PR NEW met1 ( 72450 52190 ) M1M2_PR NEW met1 ( 72450 52190 ) RECT ( -355 -70 0 70 ) ; - - net14 ( _461_ CLK ) ( _239__1 Y ) + USE SIGNAL - + ROUTED met1 ( 2530 26010 ) ( 2990 * ) - NEW met2 ( 2990 26010 ) ( * 28050 ) - NEW li1 ( 2530 26010 ) L1M1_PR - NEW met1 ( 2990 26010 ) M1M2_PR - NEW li1 ( 2990 28050 ) L1M1_PR - NEW met1 ( 2990 28050 ) M1M2_PR - NEW met1 ( 2990 28050 ) RECT ( -355 -70 0 70 ) ; - - net15 ( _459_ CLK ) ( _244__2 Y ) + USE SIGNAL - + ROUTED met1 ( 30590 50150 ) ( 31050 * ) - NEW met2 ( 31050 48110 ) ( * 50150 ) - NEW li1 ( 30590 50150 ) L1M1_PR - NEW met1 ( 31050 50150 ) M1M2_PR - NEW li1 ( 31050 48110 ) L1M1_PR - NEW met1 ( 31050 48110 ) M1M2_PR - NEW met1 ( 31050 48110 ) RECT ( -355 -70 0 70 ) ; - - net16 ( _457_ CLK ) ( _258__3 Y ) + USE SIGNAL - + ROUTED met2 ( 6670 50490 ) ( * 52190 ) - NEW met1 ( 6670 52190 ) ( 7590 * ) - NEW li1 ( 6670 50490 ) L1M1_PR - NEW met1 ( 6670 50490 ) M1M2_PR - NEW met1 ( 6670 52190 ) M1M2_PR - NEW li1 ( 7590 52190 ) L1M1_PR - NEW met1 ( 6670 50490 ) RECT ( -355 -70 0 70 ) ; - - net17 ( _449_ CLK ) ( _298__4 Y ) + USE SIGNAL - + ROUTED met2 ( 12650 4250 ) ( * 8670 ) - NEW met1 ( 12650 8670 ) ( 16330 * ) - NEW li1 ( 12650 4250 ) L1M1_PR - NEW met1 ( 12650 4250 ) M1M2_PR - NEW met1 ( 12650 8670 ) M1M2_PR - NEW li1 ( 16330 8670 ) L1M1_PR - NEW met1 ( 12650 4250 ) RECT ( -355 -70 0 70 ) ; - - net18 ( _447_ CLK ) ( _302__5 Y ) + USE SIGNAL - + ROUTED met1 ( 42550 6970 ) ( 43470 * ) - NEW met2 ( 43470 6970 ) ( * 8670 ) - NEW li1 ( 42550 6970 ) L1M1_PR - NEW met1 ( 43470 6970 ) M1M2_PR - NEW li1 ( 43470 8670 ) L1M1_PR - NEW met1 ( 43470 8670 ) M1M2_PR - NEW met1 ( 43470 8670 ) RECT ( -355 -70 0 70 ) ; - - net19 ( _441_ CLK ) ( _330__6 Y ) + USE SIGNAL - + ROUTED met1 ( 80270 6630 ) ( 80730 * ) - NEW met2 ( 80730 2210 ) ( * 6630 ) - NEW met1 ( 80730 2210 ) ( 81190 * ) - NEW li1 ( 80270 6630 ) L1M1_PR - NEW met1 ( 80730 6630 ) M1M2_PR - NEW met1 ( 80730 2210 ) M1M2_PR - NEW li1 ( 81190 2210 ) L1M1_PR ; - - net2 ( input2 X ) ( _375_ A ) + USE SIGNAL + - net14 ( _485_ CLK ) ( _247__1 Y ) + USE SIGNAL + + ROUTED met1 ( 5750 28390 ) ( 6670 * ) + NEW li1 ( 6670 28390 ) L1M1_PR + NEW li1 ( 5750 28390 ) L1M1_PR ; + - net15 ( _483_ CLK ) ( _252__2 Y ) + USE SIGNAL + + ROUTED met1 ( 30130 50490 ) ( 30590 * ) + NEW met2 ( 30130 50490 ) ( * 52190 ) + NEW met1 ( 30130 52190 ) ( 31510 * ) + NEW li1 ( 30590 50490 ) L1M1_PR + NEW met1 ( 30130 50490 ) M1M2_PR + NEW met1 ( 30130 52190 ) M1M2_PR + NEW li1 ( 31510 52190 ) L1M1_PR ; + - net16 ( _481_ CLK ) ( _267__3 Y ) + USE SIGNAL + + ROUTED met1 ( 1610 47770 ) ( 2070 * ) + NEW met2 ( 2070 47770 ) ( * 49810 ) + NEW li1 ( 1610 47770 ) L1M1_PR + NEW met1 ( 2070 47770 ) M1M2_PR + NEW li1 ( 2070 49810 ) L1M1_PR + NEW met1 ( 2070 49810 ) M1M2_PR + NEW met1 ( 2070 49810 ) RECT ( -355 -70 0 70 ) ; + - net17 ( _473_ CLK ) ( _300__4 Y ) + USE SIGNAL + + ROUTED met2 ( 2070 4250 ) ( * 5950 ) + NEW met1 ( 2070 5950 ) ( 2990 * ) + NEW li1 ( 2070 4250 ) L1M1_PR + NEW met1 ( 2070 4250 ) M1M2_PR + NEW met1 ( 2070 5950 ) M1M2_PR + NEW li1 ( 2990 5950 ) L1M1_PR + NEW met1 ( 2070 4250 ) RECT ( -355 -70 0 70 ) ; + - net18 ( _471_ CLK ) ( _305__5 Y ) + USE SIGNAL + + ROUTED met2 ( 60490 15130 ) ( * 16830 ) + NEW met1 ( 60490 16830 ) ( 61410 * ) + NEW li1 ( 60490 15130 ) L1M1_PR + NEW met1 ( 60490 15130 ) M1M2_PR + NEW met1 ( 60490 16830 ) M1M2_PR + NEW li1 ( 61410 16830 ) L1M1_PR + NEW met1 ( 60490 15130 ) RECT ( -355 -70 0 70 ) ; + - net19 ( _465_ CLK ) ( _333__6 Y ) + USE SIGNAL + + ROUTED met2 ( 72450 4250 ) ( * 5950 ) + NEW met1 ( 72450 5950 ) ( 72910 * ) + NEW li1 ( 72450 4250 ) L1M1_PR + NEW met1 ( 72450 4250 ) M1M2_PR + NEW met1 ( 72450 5950 ) M1M2_PR + NEW li1 ( 72910 5950 ) L1M1_PR + NEW met1 ( 72450 4250 ) RECT ( -355 -70 0 70 ) ; + - net2 ( input2 X ) ( _393_ A ) + USE SIGNAL + ROUTED met1 ( 91310 53210 ) ( 91770 * ) - NEW met1 ( 91770 52530 ) ( * 53210 ) + NEW met1 ( 91770 53210 ) ( * 53890 ) NEW li1 ( 91310 53210 ) L1M1_PR - NEW li1 ( 91770 52530 ) L1M1_PR ; - - net20 ( _421_ CLK ) ( _342__7 Y ) + USE SIGNAL + NEW li1 ( 91770 53890 ) L1M1_PR ; + - net20 ( _445_ CLK ) ( _346__7 Y ) + USE SIGNAL + ROUTED met1 ( 80270 50490 ) ( 84870 * ) NEW met2 ( 84870 50490 ) ( * 52190 ) NEW li1 ( 80270 50490 ) L1M1_PR @@ -5261,39 +5314,40 @@ NETS 306 ; NEW li1 ( 84870 52190 ) L1M1_PR NEW met1 ( 84870 52190 ) M1M2_PR NEW met1 ( 84870 52190 ) RECT ( -355 -70 0 70 ) ; - - net21 ( _422_ CLK ) ( _341__8 Y ) + USE SIGNAL - + ROUTED met2 ( 74290 47770 ) ( * 52190 ) - NEW met1 ( 74290 52190 ) ( 75210 * ) - NEW li1 ( 74290 47770 ) L1M1_PR - NEW met1 ( 74290 47770 ) M1M2_PR - NEW met1 ( 74290 52190 ) M1M2_PR - NEW li1 ( 75210 52190 ) L1M1_PR - NEW met1 ( 74290 47770 ) RECT ( -355 -70 0 70 ) ; - - net22 ( _423_ CLK ) ( _340__9 Y ) + USE SIGNAL - + ROUTED met2 ( 66930 50490 ) ( * 52190 ) - NEW met1 ( 66930 52190 ) ( 67850 * ) - NEW li1 ( 66930 50490 ) L1M1_PR - NEW met1 ( 66930 50490 ) M1M2_PR - NEW met1 ( 66930 52190 ) M1M2_PR - NEW li1 ( 67850 52190 ) L1M1_PR - NEW met1 ( 66930 50490 ) RECT ( -355 -70 0 70 ) ; - - net23 ( _426_ D ) ( hold1 X ) + USE SIGNAL - + ROUTED met2 ( 46230 49810 ) ( * 52190 ) - NEW met1 ( 46230 52190 ) ( 51750 * ) - NEW li1 ( 46230 49810 ) L1M1_PR - NEW met1 ( 46230 49810 ) M1M2_PR - NEW met1 ( 46230 52190 ) M1M2_PR - NEW li1 ( 51750 52190 ) L1M1_PR - NEW met1 ( 46230 49810 ) RECT ( -355 -70 0 70 ) ; - - net24 ( _422_ D ) ( hold2 X ) + USE SIGNAL - + ROUTED met1 ( 76130 47770 ) ( 76590 * ) - NEW met2 ( 76590 47770 ) ( * 52190 ) - NEW met1 ( 76590 52190 ) ( 81650 * ) - NEW li1 ( 76130 47770 ) L1M1_PR - NEW met1 ( 76590 47770 ) M1M2_PR - NEW met1 ( 76590 52190 ) M1M2_PR + - net21 ( _446_ CLK ) ( _345__8 Y ) + USE SIGNAL + + ROUTED met2 ( 73830 47770 ) ( * 52190 ) + NEW met1 ( 73830 52190 ) ( 74750 * ) + NEW li1 ( 73830 47770 ) L1M1_PR + NEW met1 ( 73830 47770 ) M1M2_PR + NEW met1 ( 73830 52190 ) M1M2_PR + NEW li1 ( 74750 52190 ) L1M1_PR + NEW met1 ( 73830 47770 ) RECT ( -355 -70 0 70 ) ; + - net22 ( _447_ CLK ) ( _344__9 Y ) + USE SIGNAL + + ROUTED met2 ( 66470 50490 ) ( * 52190 ) + NEW met1 ( 66470 52190 ) ( 67390 * ) + NEW li1 ( 66470 50490 ) L1M1_PR + NEW met1 ( 66470 50490 ) M1M2_PR + NEW met1 ( 66470 52190 ) M1M2_PR + NEW li1 ( 67390 52190 ) L1M1_PR + NEW met1 ( 66470 50490 ) RECT ( -355 -70 0 70 ) ; + - net23 ( _450_ D ) ( hold1 X ) + USE SIGNAL + + ROUTED met1 ( 51290 43010 ) ( 51750 * ) + NEW met2 ( 51290 43010 ) ( * 49470 ) + NEW met1 ( 46230 49470 ) ( 51290 * ) + NEW met1 ( 46230 49470 ) ( * 49810 ) + NEW li1 ( 51750 43010 ) L1M1_PR + NEW met1 ( 51290 43010 ) M1M2_PR + NEW met1 ( 51290 49470 ) M1M2_PR + NEW li1 ( 46230 49810 ) L1M1_PR ; + - net24 ( _446_ D ) ( hold2 X ) + USE SIGNAL + + ROUTED met1 ( 75670 47770 ) ( 76130 * ) + NEW met2 ( 76130 47770 ) ( * 52190 ) + NEW met1 ( 76130 52190 ) ( 81650 * ) + NEW li1 ( 75670 47770 ) L1M1_PR + NEW met1 ( 76130 47770 ) M1M2_PR + NEW met1 ( 76130 52190 ) M1M2_PR NEW li1 ( 81650 52190 ) L1M1_PR ; - - net25 ( _421_ D ) ( hold3 X ) + USE SIGNAL + - net25 ( _445_ D ) ( hold3 X ) + USE SIGNAL + ROUTED met1 ( 87630 48110 ) ( * 48450 ) NEW met1 ( 83030 48450 ) ( 87630 * ) NEW met2 ( 83030 48450 ) ( * 49980 ) @@ -5304,562 +5358,783 @@ NETS 306 ; NEW met1 ( 83030 48450 ) M1M2_PR NEW met1 ( 82570 50150 ) M1M2_PR NEW li1 ( 82110 50150 ) L1M1_PR ; - - net26 ( _319_ A ) ( _401_ A1 ) ( _400_ A0 ) ( _402_ A1 ) ( _415_ D ) ( _348_ B ) ( split4 X ) + USE SIGNAL - + ROUTED met1 ( 70565 17170 ) ( 77510 * ) - NEW met1 ( 77510 16830 ) ( * 17170 ) - NEW met1 ( 77510 16830 ) ( 86250 * ) - NEW met1 ( 86250 16830 ) ( * 17170 ) - NEW met1 ( 86250 17170 ) ( 90390 * ) - NEW met2 ( 70610 17170 ) ( * 19550 ) - NEW met1 ( 70610 19550 ) ( * 19890 ) - NEW met1 ( 62100 19890 ) ( 70610 * ) - NEW met1 ( 30130 17850 ) ( 33810 * ) - NEW met2 ( 30130 17850 ) ( * 19550 ) - NEW met1 ( 24150 19550 ) ( 30130 * ) - NEW met1 ( 24150 19550 ) ( * 20910 ) - NEW met1 ( 17250 20910 ) ( 24150 * ) - NEW met1 ( 38870 19890 ) ( * 20230 ) - NEW met1 ( 30130 19890 ) ( 38870 * ) - NEW met1 ( 30130 19550 ) ( * 19890 ) - NEW met1 ( 53130 20570 ) ( 55890 * ) - NEW met1 ( 53130 20570 ) ( * 20910 ) - NEW met1 ( 45770 20910 ) ( 53130 * ) - NEW met1 ( 45770 20570 ) ( * 20910 ) - NEW met1 ( 43930 20570 ) ( 45770 * ) - NEW met2 ( 43470 20570 ) ( 43930 * ) - NEW met2 ( 43470 19550 ) ( * 20570 ) - NEW met1 ( 38870 19550 ) ( 43470 * ) - NEW met1 ( 38870 19550 ) ( * 19890 ) - NEW met1 ( 62100 19890 ) ( * 20230 ) - NEW met1 ( 55890 20230 ) ( 62100 * ) - NEW met1 ( 55890 20230 ) ( * 20570 ) - NEW li1 ( 70565 17170 ) L1M1_PR - NEW li1 ( 90390 17170 ) L1M1_PR - NEW li1 ( 70610 19550 ) L1M1_PR - NEW met1 ( 70610 19550 ) M1M2_PR - NEW met1 ( 70610 17170 ) M1M2_PR - NEW li1 ( 33810 17850 ) L1M1_PR - NEW met1 ( 30130 17850 ) M1M2_PR - NEW met1 ( 30130 19550 ) M1M2_PR - NEW li1 ( 17250 20910 ) L1M1_PR - NEW li1 ( 38870 20230 ) L1M1_PR - NEW li1 ( 55890 20570 ) L1M1_PR - NEW met1 ( 43930 20570 ) M1M2_PR - NEW met1 ( 43470 19550 ) M1M2_PR - NEW met1 ( 70610 19550 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 70610 17170 ) RECT ( -595 -70 0 70 ) ; - - net27 ( _306_ A ) ( rebuffer5 X ) + USE SIGNAL - + ROUTED met1 ( 45310 4250 ) ( * 4590 ) - NEW met1 ( 43010 4590 ) ( 45310 * ) - NEW met2 ( 43010 4590 ) ( * 6630 ) - NEW met1 ( 39790 6630 ) ( 43010 * ) - NEW met1 ( 39790 6630 ) ( * 7310 ) - NEW li1 ( 45310 4250 ) L1M1_PR - NEW met1 ( 43010 4590 ) M1M2_PR - NEW met1 ( 43010 6630 ) M1M2_PR - NEW li1 ( 39790 7310 ) L1M1_PR ; - - net28 ( _299_ B ) ( _291_ A ) ( rebuffer6 X ) + USE SIGNAL - + ROUTED met1 ( 23690 9690 ) ( 25070 * ) - NEW met2 ( 25070 9690 ) ( * 11390 ) - NEW met1 ( 25070 11390 ) ( 31050 * ) - NEW met1 ( 21850 9010 ) ( 23690 * ) - NEW met1 ( 23690 9010 ) ( * 9690 ) - NEW li1 ( 23690 9690 ) L1M1_PR - NEW met1 ( 25070 9690 ) M1M2_PR - NEW met1 ( 25070 11390 ) M1M2_PR - NEW li1 ( 31050 11390 ) L1M1_PR - NEW li1 ( 21850 9010 ) L1M1_PR ; - - net3 ( ANTENNA__470__RESET_B DIODE ) ( ANTENNA__469__RESET_B DIODE ) ( ANTENNA__468__SET_B DIODE ) ( ANTENNA__467__RESET_B DIODE ) ( ANTENNA__466__SET_B DIODE ) ( ANTENNA__465__RESET_B DIODE ) ( ANTENNA__464__SET_B DIODE ) - ( ANTENNA__463__RESET_B DIODE ) ( ANTENNA__462__RESET_B DIODE ) ( ANTENNA__461__SET_B DIODE ) ( ANTENNA__460__RESET_B DIODE ) ( ANTENNA__459__SET_B DIODE ) ( ANTENNA__458__RESET_B DIODE ) ( ANTENNA__457__SET_B DIODE ) ( ANTENNA__456__RESET_B DIODE ) - ( ANTENNA__455__SET_B DIODE ) ( ANTENNA__454__SET_B DIODE ) ( ANTENNA__453__RESET_B DIODE ) ( ANTENNA__452__SET_B DIODE ) ( ANTENNA__451__RESET_B DIODE ) ( ANTENNA__450__RESET_B DIODE ) ( ANTENNA__449__SET_B DIODE ) ( ANTENNA__448__RESET_B DIODE ) - ( ANTENNA__447__SET_B DIODE ) ( ANTENNA__446__RESET_B DIODE ) ( ANTENNA__445__RESET_B DIODE ) ( ANTENNA__444__SET_B DIODE ) ( ANTENNA__443__RESET_B DIODE ) ( ANTENNA__442__RESET_B DIODE ) ( ANTENNA__441__SET_B DIODE ) ( ANTENNA__440__RESET_B DIODE ) - ( ANTENNA__439__SET_B DIODE ) ( ANTENNA__438__RESET_B DIODE ) ( ANTENNA__437__SET_B DIODE ) ( ANTENNA__436__RESET_B DIODE ) ( ANTENNA__435__RESET_B DIODE ) ( ANTENNA__434__SET_B DIODE ) ( ANTENNA__433__RESET_B DIODE ) ( ANTENNA__432__RESET_B DIODE ) - ( ANTENNA__431__SET_B DIODE ) ( ANTENNA__430__RESET_B DIODE ) ( ANTENNA__429__RESET_B DIODE ) ( ANTENNA__428__SET_B DIODE ) ( ANTENNA__427__RESET_B DIODE ) ( ANTENNA__426__RESET_B DIODE ) ( ANTENNA__425__RESET_B DIODE ) ( ANTENNA__424__RESET_B DIODE ) - ( ANTENNA__423__SET_B DIODE ) ( ANTENNA__422__SET_B DIODE ) ( ANTENNA__421__SET_B DIODE ) ( ANTENNA__343__S DIODE ) ( input3 X ) ( _343_ S ) ( _421_ SET_B ) ( _422_ SET_B ) - ( _423_ SET_B ) ( _424_ RESET_B ) ( _425_ RESET_B ) ( _426_ RESET_B ) ( _427_ RESET_B ) ( _428_ SET_B ) ( _429_ RESET_B ) ( _430_ RESET_B ) - ( _431_ SET_B ) ( _432_ RESET_B ) ( _433_ RESET_B ) ( _434_ SET_B ) ( _435_ RESET_B ) ( _436_ RESET_B ) ( _437_ SET_B ) ( _438_ RESET_B ) - ( _439_ SET_B ) ( _440_ RESET_B ) ( _441_ SET_B ) ( _442_ RESET_B ) ( _443_ RESET_B ) ( _444_ SET_B ) ( _445_ RESET_B ) ( _446_ RESET_B ) - ( _447_ SET_B ) ( _448_ RESET_B ) ( _449_ SET_B ) ( _450_ RESET_B ) ( _451_ RESET_B ) ( _452_ SET_B ) ( _453_ RESET_B ) ( _454_ SET_B ) - ( _455_ SET_B ) ( _456_ RESET_B ) ( _457_ SET_B ) ( _458_ RESET_B ) ( _459_ SET_B ) ( _460_ RESET_B ) ( _461_ SET_B ) ( _462_ RESET_B ) - ( _463_ RESET_B ) ( _464_ SET_B ) ( _465_ RESET_B ) ( _466_ SET_B ) ( _467_ RESET_B ) ( _468_ SET_B ) ( _469_ RESET_B ) ( _470_ RESET_B ) + USE SIGNAL - + ROUTED met2 ( 11270 3230 ) ( * 8670 ) - NEW met1 ( 11270 3230 ) ( 11730 * ) - NEW met1 ( 8970 10030 0 ) ( 9890 * ) - NEW met2 ( 9890 8670 ) ( * 10030 ) - NEW met1 ( 9890 8670 ) ( 11270 * ) - NEW met2 ( 9890 45050 ) ( * 48110 ) - NEW met1 ( 8970 48110 0 ) ( 9890 * ) - NEW met1 ( 8970 42670 0 ) ( 9890 * ) - NEW met2 ( 9890 42670 ) ( * 45050 ) - NEW met2 ( 12650 39610 ) ( * 44030 ) - NEW met1 ( 8970 37230 0 ) ( 9890 * ) - NEW met2 ( 9890 37230 ) ( * 42670 ) - NEW met1 ( 9890 34850 ) ( 10810 * ) - NEW met2 ( 9890 34850 ) ( * 37230 ) - NEW met1 ( 9890 32130 ) ( 10810 * ) - NEW met2 ( 9890 32130 ) ( * 34850 ) - NEW met1 ( 8970 31790 0 ) ( * 32130 ) - NEW met1 ( 8970 32130 ) ( 9890 * ) - NEW met2 ( 12650 26690 ) ( * 32130 ) - NEW met1 ( 10810 32130 ) ( 12650 * ) - NEW met1 ( 9430 26350 0 ) ( * 26690 ) - NEW met1 ( 9430 26690 ) ( 12650 * ) - NEW met2 ( 12650 24990 ) ( * 26690 ) - NEW met1 ( 11730 21250 ) ( 12650 * ) - NEW met2 ( 12650 21250 ) ( * 24990 ) - NEW met1 ( 8510 20910 0 ) ( * 21250 ) - NEW met1 ( 8510 21250 ) ( 11730 * ) - NEW met1 ( 11270 15810 ) ( 12650 * ) - NEW met2 ( 12650 15810 ) ( * 21250 ) - NEW met1 ( 8970 15470 0 ) ( * 15810 ) - NEW met1 ( 8970 15810 ) ( 11270 * ) - NEW met2 ( 13570 49810 ) ( * 52530 ) - NEW met2 ( 9890 10030 ) ( * 15810 ) - NEW met1 ( 72450 48450 ) ( 77970 * ) - NEW met1 ( 79810 49470 ) ( 83950 * ) + - net26 ( ANTENNA__349__B DIODE ) ( ANTENNA__439__D DIODE ) ( ANTENNA__422__A1 DIODE ) ( ANTENNA__322__A DIODE ) ( ANTENNA__283__A2 DIODE ) ( ANTENNA__425__A1 DIODE ) ( ANTENNA__323__B_N DIODE ) + ( ANTENNA__421__A1 DIODE ) ( _421_ A1 ) ( _323_ B_N ) ( _425_ A1 ) ( _283_ A2 ) ( _322_ A ) ( _422_ A1 ) ( _439_ D ) + ( _349_ B ) ( split4 X ) + USE SIGNAL + + ROUTED met1 ( 86250 12750 ) ( * 13090 ) + NEW met1 ( 84870 12750 ) ( 86250 * ) + NEW met1 ( 84870 12070 ) ( * 12750 ) + NEW met1 ( 79765 12070 ) ( 84870 * ) + NEW met1 ( 86250 12750 ) ( 89010 * ) + NEW met1 ( 89010 12750 ) ( 92230 * ) + NEW met1 ( 74290 18190 ) ( 76590 * ) + NEW met1 ( 74290 18190 ) ( * 18530 ) + NEW met1 ( 84410 15130 ) ( 85330 * ) + NEW met2 ( 84410 15130 ) ( * 16830 ) + NEW met1 ( 79810 16830 ) ( 84410 * ) + NEW met1 ( 79810 16830 ) ( * 17170 ) + NEW met1 ( 77510 17170 ) ( 79810 * ) + NEW li1 ( 77510 17170 ) ( * 18190 ) + NEW met1 ( 76590 18190 ) ( 77510 * ) + NEW met1 ( 85330 15130 ) ( 88090 * ) + NEW met1 ( 88090 15130 ) ( 89010 * ) + NEW met1 ( 89010 22270 ) ( 91310 * ) + NEW met2 ( 89010 15130 ) ( * 22270 ) + NEW met2 ( 89010 12750 ) ( * 15130 ) + NEW met1 ( 38870 10370 ) ( 41170 * ) + NEW met1 ( 32890 5950 ) ( 33810 * ) + NEW met1 ( 33810 5950 ) ( * 6630 ) + NEW met1 ( 33810 6630 ) ( 35650 * ) + NEW met2 ( 35650 6630 ) ( * 10370 ) + NEW met1 ( 35650 10370 ) ( 38870 * ) + NEW met1 ( 35650 2210 ) ( 36570 * ) + NEW met2 ( 35650 2210 ) ( * 6630 ) + NEW met1 ( 34270 1530 ) ( * 2210 ) + NEW met1 ( 34270 2210 ) ( 35650 * ) + NEW met1 ( 20930 17510 ) ( 22770 * ) + NEW met1 ( 32890 18190 ) ( 41170 * ) + NEW met1 ( 32890 17850 ) ( * 18190 ) + NEW met1 ( 22770 17850 ) ( 32890 * ) + NEW met1 ( 22770 17510 ) ( * 17850 ) + NEW met2 ( 61410 18530 ) ( * 20910 ) + NEW met1 ( 43470 20910 ) ( 61410 * ) + NEW met1 ( 43470 20570 ) ( * 20910 ) + NEW met1 ( 41170 20570 ) ( 43470 * ) + NEW met2 ( 41170 18190 ) ( * 20570 ) + NEW met2 ( 59110 20910 ) ( * 24990 ) + NEW met1 ( 57730 25670 ) ( * 26010 ) + NEW met1 ( 57730 25670 ) ( 59110 * ) + NEW met1 ( 59110 24990 ) ( * 25670 ) + NEW met2 ( 41170 10370 ) ( * 18190 ) + NEW met1 ( 61410 18530 ) ( 74290 * ) + NEW li1 ( 86250 13090 ) L1M1_PR + NEW li1 ( 79765 12070 ) L1M1_PR + NEW met1 ( 89010 12750 ) M1M2_PR + NEW li1 ( 92230 12750 ) L1M1_PR + NEW li1 ( 74290 18530 ) L1M1_PR + NEW li1 ( 76590 18190 ) L1M1_PR + NEW li1 ( 85330 15130 ) L1M1_PR + NEW met1 ( 84410 15130 ) M1M2_PR + NEW met1 ( 84410 16830 ) M1M2_PR + NEW li1 ( 77510 17170 ) L1M1_PR + NEW li1 ( 77510 18190 ) L1M1_PR + NEW li1 ( 88090 15130 ) L1M1_PR + NEW li1 ( 89010 15130 ) L1M1_PR + NEW met1 ( 89010 15130 ) M1M2_PR + NEW li1 ( 91310 22270 ) L1M1_PR + NEW met1 ( 89010 22270 ) M1M2_PR + NEW li1 ( 38870 10370 ) L1M1_PR + NEW met1 ( 41170 10370 ) M1M2_PR + NEW li1 ( 32890 5950 ) L1M1_PR + NEW met1 ( 35650 6630 ) M1M2_PR + NEW met1 ( 35650 10370 ) M1M2_PR + NEW li1 ( 36570 2210 ) L1M1_PR + NEW met1 ( 35650 2210 ) M1M2_PR + NEW li1 ( 34270 1530 ) L1M1_PR + NEW li1 ( 22770 17510 ) L1M1_PR + NEW li1 ( 20930 17510 ) L1M1_PR + NEW met1 ( 41170 18190 ) M1M2_PR + NEW met1 ( 61410 18530 ) M1M2_PR + NEW met1 ( 61410 20910 ) M1M2_PR + NEW met1 ( 41170 20570 ) M1M2_PR + NEW li1 ( 59110 24990 ) L1M1_PR + NEW met1 ( 59110 24990 ) M1M2_PR + NEW met1 ( 59110 20910 ) M1M2_PR + NEW li1 ( 57730 26010 ) L1M1_PR + NEW met1 ( 89010 15130 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 59110 24990 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 59110 20910 ) RECT ( -595 -70 0 70 ) ; + - net27 ( _313_ A1 ) ( rebuffer5 X ) + USE SIGNAL + + ROUTED met2 ( 25530 9690 ) ( * 14110 ) + NEW li1 ( 25530 9690 ) L1M1_PR + NEW met1 ( 25530 9690 ) M1M2_PR + NEW li1 ( 25530 14110 ) L1M1_PR + NEW met1 ( 25530 14110 ) M1M2_PR + NEW met1 ( 25530 9690 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 25530 14110 ) RECT ( -355 -70 0 70 ) ; + - net28 ( _313_ A2 ) ( rebuffer6 X ) + USE SIGNAL + + ROUTED met2 ( 27370 9690 ) ( * 11390 ) + NEW li1 ( 27370 9690 ) L1M1_PR + NEW met1 ( 27370 9690 ) M1M2_PR + NEW li1 ( 27370 11390 ) L1M1_PR + NEW met1 ( 27370 11390 ) M1M2_PR + NEW met1 ( 27370 9690 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 27370 11390 ) RECT ( -355 -70 0 70 ) ; + - net29 ( _361_ C ) ( _359_ B ) ( _362_ A2 ) ( rebuffer7 X ) + USE SIGNAL + + ROUTED met2 ( 17710 1530 ) ( * 12070 ) + NEW met1 ( 16330 1530 ) ( 17710 * ) + NEW met1 ( 17710 9010 ) ( 22310 * ) + NEW met1 ( 22770 12750 ) ( * 13090 ) + NEW met1 ( 17710 12750 ) ( 22770 * ) + NEW met2 ( 17710 12070 ) ( * 12750 ) + NEW met2 ( 22770 13090 ) ( * 14110 ) + NEW li1 ( 17710 12070 ) L1M1_PR + NEW met1 ( 17710 12070 ) M1M2_PR + NEW met1 ( 17710 1530 ) M1M2_PR + NEW li1 ( 16330 1530 ) L1M1_PR + NEW li1 ( 22310 9010 ) L1M1_PR + NEW met1 ( 17710 9010 ) M1M2_PR + NEW met1 ( 22770 13090 ) M1M2_PR + NEW met1 ( 17710 12750 ) M1M2_PR + NEW li1 ( 22770 14110 ) L1M1_PR + NEW met1 ( 22770 14110 ) M1M2_PR + NEW met1 ( 17710 12070 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 17710 9010 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 22770 14110 ) RECT ( -355 -70 0 70 ) ; + - net3 ( ANTENNA__494__RESET_B DIODE ) ( ANTENNA__493__RESET_B DIODE ) ( ANTENNA__492__SET_B DIODE ) ( ANTENNA__491__RESET_B DIODE ) ( ANTENNA__490__SET_B DIODE ) ( ANTENNA__489__RESET_B DIODE ) ( ANTENNA__488__SET_B DIODE ) + ( ANTENNA__487__RESET_B DIODE ) ( ANTENNA__486__RESET_B DIODE ) ( ANTENNA__485__SET_B DIODE ) ( ANTENNA__484__RESET_B DIODE ) ( ANTENNA__483__SET_B DIODE ) ( ANTENNA__482__RESET_B DIODE ) ( ANTENNA__481__SET_B DIODE ) ( ANTENNA__480__RESET_B DIODE ) + ( ANTENNA__479__SET_B DIODE ) ( ANTENNA__478__SET_B DIODE ) ( ANTENNA__477__RESET_B DIODE ) ( ANTENNA__476__SET_B DIODE ) ( ANTENNA__475__RESET_B DIODE ) ( ANTENNA__474__RESET_B DIODE ) ( ANTENNA__473__SET_B DIODE ) ( ANTENNA__472__RESET_B DIODE ) + ( ANTENNA__471__SET_B DIODE ) ( ANTENNA__470__RESET_B DIODE ) ( ANTENNA__469__RESET_B DIODE ) ( ANTENNA__468__SET_B DIODE ) ( ANTENNA__467__RESET_B DIODE ) ( ANTENNA__466__RESET_B DIODE ) ( ANTENNA__465__SET_B DIODE ) ( ANTENNA__464__RESET_B DIODE ) + ( ANTENNA__463__SET_B DIODE ) ( ANTENNA__462__RESET_B DIODE ) ( ANTENNA__461__SET_B DIODE ) ( ANTENNA__460__RESET_B DIODE ) ( ANTENNA__459__RESET_B DIODE ) ( ANTENNA__458__SET_B DIODE ) ( ANTENNA__457__RESET_B DIODE ) ( ANTENNA__456__RESET_B DIODE ) + ( ANTENNA__455__SET_B DIODE ) ( ANTENNA__454__RESET_B DIODE ) ( ANTENNA__453__RESET_B DIODE ) ( ANTENNA__452__SET_B DIODE ) ( ANTENNA__451__RESET_B DIODE ) ( ANTENNA__450__RESET_B DIODE ) ( ANTENNA__449__RESET_B DIODE ) ( ANTENNA__448__RESET_B DIODE ) + ( ANTENNA__447__SET_B DIODE ) ( ANTENNA__446__SET_B DIODE ) ( ANTENNA__445__SET_B DIODE ) ( ANTENNA__347__S DIODE ) ( input3 X ) ( _347_ S ) ( _445_ SET_B ) ( _446_ SET_B ) + ( _447_ SET_B ) ( _448_ RESET_B ) ( _449_ RESET_B ) ( _450_ RESET_B ) ( _451_ RESET_B ) ( _452_ SET_B ) ( _453_ RESET_B ) ( _454_ RESET_B ) + ( _455_ SET_B ) ( _456_ RESET_B ) ( _457_ RESET_B ) ( _458_ SET_B ) ( _459_ RESET_B ) ( _460_ RESET_B ) ( _461_ SET_B ) ( _462_ RESET_B ) + ( _463_ SET_B ) ( _464_ RESET_B ) ( _465_ SET_B ) ( _466_ RESET_B ) ( _467_ RESET_B ) ( _468_ SET_B ) ( _469_ RESET_B ) ( _470_ RESET_B ) + ( _471_ SET_B ) ( _472_ RESET_B ) ( _473_ SET_B ) ( _474_ RESET_B ) ( _475_ RESET_B ) ( _476_ SET_B ) ( _477_ RESET_B ) ( _478_ SET_B ) + ( _479_ SET_B ) ( _480_ RESET_B ) ( _481_ SET_B ) ( _482_ RESET_B ) ( _483_ SET_B ) ( _484_ RESET_B ) ( _485_ SET_B ) ( _486_ RESET_B ) + ( _487_ RESET_B ) ( _488_ SET_B ) ( _489_ RESET_B ) ( _490_ SET_B ) ( _491_ RESET_B ) ( _492_ SET_B ) ( _493_ RESET_B ) ( _494_ RESET_B ) + USE SIGNAL + + ROUTED met1 ( 80270 5950 ) ( 84410 * ) + NEW met1 ( 84410 5950 ) ( * 6290 0 ) + NEW met2 ( 79350 4590 ) ( * 5950 ) + NEW met1 ( 79350 5950 ) ( 80270 * ) + NEW met1 ( 69690 4930 ) ( 71530 * ) + NEW met1 ( 71530 4590 ) ( * 4930 ) + NEW met1 ( 71530 4590 ) ( 72910 * ) + NEW met1 ( 72910 4250 ) ( * 4590 ) + NEW met1 ( 72910 4250 ) ( 74750 * ) + NEW met1 ( 74750 3910 ) ( * 4250 ) + NEW met1 ( 74750 3910 ) ( 79350 * ) + NEW met1 ( 79350 3910 ) ( * 4590 0 ) + NEW met1 ( 74290 12070 0 ) ( 75670 * ) + NEW met2 ( 75670 12070 ) ( 76130 * ) + NEW met2 ( 76130 3910 ) ( * 12070 ) + NEW met1 ( 66930 13090 ) ( 75670 * ) + NEW met2 ( 75670 12070 ) ( * 13090 ) + NEW met1 ( 65090 13090 ) ( 66930 * ) + NEW met2 ( 81650 31450 ) ( * 33490 ) + NEW met1 ( 81650 33490 ) ( 82110 * 0 ) + NEW met1 ( 81650 35870 ) ( 82110 * ) + NEW met2 ( 81650 33490 ) ( * 35870 ) + NEW met1 ( 78890 33830 ) ( 81650 * ) + NEW met1 ( 81650 33490 ) ( * 33830 ) + NEW met1 ( 79350 36550 ) ( * 37230 0 ) + NEW met1 ( 79350 36550 ) ( 81650 * ) + NEW met1 ( 81650 35870 ) ( * 36550 ) + NEW met1 ( 81650 38930 ) ( 82570 * 0 ) + NEW met2 ( 81650 35870 ) ( * 38930 ) + NEW met2 ( 81190 42500 ) ( * 42670 ) + NEW met2 ( 81190 42500 ) ( 81650 * ) + NEW met2 ( 81650 38930 ) ( * 42500 ) + NEW met1 ( 78890 44030 ) ( 81190 * ) + NEW met2 ( 81190 42670 ) ( * 44030 ) + NEW met1 ( 82110 44030 ) ( * 44370 0 ) + NEW met1 ( 81190 44030 ) ( 82110 * ) + NEW met2 ( 80730 44030 ) ( * 48110 ) + NEW met2 ( 80730 44030 ) ( 81190 * ) + NEW met1 ( 79810 49470 ) ( 80730 * ) + NEW met2 ( 80730 48110 ) ( * 49470 ) NEW met1 ( 83950 49470 ) ( * 49810 0 ) - NEW met1 ( 78890 49470 ) ( 79810 * ) - NEW met1 ( 73830 49470 ) ( * 49810 0 ) - NEW met1 ( 73830 49470 ) ( 78890 * ) - NEW met1 ( 67850 48450 ) ( 71990 * ) - NEW met2 ( 71990 48450 ) ( * 49470 ) - NEW met1 ( 71990 49470 ) ( 73830 * ) - NEW met1 ( 66470 52530 ) ( 71990 * ) - NEW met2 ( 71990 49470 ) ( * 52530 ) - NEW met1 ( 62330 48450 ) ( 67850 * ) - NEW met1 ( 42090 9010 ) ( 43930 * ) - NEW met1 ( 43930 8670 ) ( * 9010 ) - NEW met2 ( 41630 9010 ) ( * 11390 ) - NEW met1 ( 41630 9010 ) ( 42090 * ) - NEW met1 ( 33810 3910 ) ( * 4250 0 ) - NEW met1 ( 33810 3910 ) ( 41630 * ) - NEW met2 ( 41630 3910 ) ( * 9010 ) - NEW met1 ( 26450 3230 ) ( 33810 * ) - NEW met1 ( 33810 3230 ) ( * 3910 ) - NEW met1 ( 21850 11730 ) ( 22310 * 0 ) - NEW met2 ( 21850 3230 ) ( * 11730 ) - NEW met1 ( 21850 3230 ) ( 26450 * ) - NEW met1 ( 20010 11730 ) ( 21850 * ) - NEW met1 ( 19550 4250 ) ( * 4590 0 ) - NEW met1 ( 19550 4250 ) ( 21850 * ) - NEW met1 ( 18630 9690 ) ( 21850 * ) - NEW met1 ( 15870 6630 0 ) ( 21850 * ) - NEW met1 ( 11730 3230 ) ( 21850 * ) - NEW met1 ( 13570 52530 ) ( 13800 * ) - NEW met1 ( 21850 19550 ) ( 23230 * ) - NEW met2 ( 22310 19550 ) ( * 22610 ) - NEW met2 ( 21850 19550 ) ( 22310 * ) - NEW met1 ( 22310 24990 ) ( 29670 * ) - NEW met2 ( 22310 22610 ) ( * 24990 ) - NEW met2 ( 28290 20910 ) ( * 22100 ) - NEW met2 ( 27370 22100 ) ( 28290 * ) - NEW met2 ( 27370 22100 ) ( * 24990 ) - NEW met2 ( 27370 24990 ) ( * 28390 ) - NEW met1 ( 29670 24990 ) ( 37030 * ) - NEW met2 ( 35190 24990 ) ( * 28050 ) - NEW met1 ( 35190 26350 ) ( 41630 * 0 ) - NEW met1 ( 40710 27710 ) ( 43930 * ) - NEW met2 ( 40710 26350 ) ( * 27710 ) - NEW met2 ( 41630 35700 ) ( * 35870 ) - NEW met2 ( 41170 35700 ) ( 41630 * ) - NEW met2 ( 41170 30260 ) ( * 35700 ) - NEW met2 ( 40710 30260 ) ( 41170 * ) - NEW met2 ( 40710 27710 ) ( * 30260 ) - NEW met1 ( 18170 24990 ) ( 19550 * ) - NEW met1 ( 13800 52190 ) ( 16330 * ) - NEW met1 ( 13800 52190 ) ( * 52530 ) - NEW met2 ( 35190 49810 ) ( * 52530 ) - NEW met1 ( 16330 52530 ) ( 35190 * ) - NEW met1 ( 16330 52190 ) ( * 52530 ) - NEW met1 ( 35190 52530 ) ( 41630 * ) - NEW met1 ( 41630 52870 ) ( 45770 * ) - NEW met1 ( 41630 52530 ) ( * 52870 ) - NEW met2 ( 43930 50830 ) ( * 52870 ) - NEW met1 ( 48530 49470 ) ( * 49810 0 ) - NEW met1 ( 43930 49470 ) ( 48530 * ) - NEW met1 ( 43930 49470 ) ( * 50830 ) - NEW met1 ( 18170 47090 ) ( 26910 * ) - NEW met1 ( 26910 46750 ) ( * 47090 ) - NEW met1 ( 26910 46750 ) ( 30130 * ) - NEW met2 ( 18170 44030 ) ( * 47090 ) - NEW met1 ( 12650 24990 ) ( 18170 * ) - NEW met1 ( 9890 44030 ) ( 18170 * ) - NEW met2 ( 21850 11730 ) ( * 19550 ) - NEW met1 ( 48530 48110 ) ( 50370 * ) - NEW met1 ( 50370 48110 ) ( 54510 * 0 ) - NEW met2 ( 53590 45730 ) ( * 48110 ) - NEW met2 ( 59110 44370 ) ( * 45730 ) - NEW met1 ( 53590 45730 ) ( 59110 * ) - NEW met1 ( 59110 47770 ) ( 62330 * ) - NEW met2 ( 59110 45730 ) ( * 47770 ) - NEW met1 ( 59110 45730 ) ( 66470 * ) - NEW met2 ( 71070 44370 ) ( * 45730 ) - NEW met1 ( 66470 45730 ) ( 71070 * ) - NEW met1 ( 71070 45730 ) ( 72450 * ) + NEW met1 ( 80730 49470 ) ( 83950 * ) + NEW met1 ( 73370 49470 ) ( * 49810 0 ) + NEW met1 ( 73370 49470 ) ( 79810 * ) + NEW met1 ( 73370 46750 ) ( 80730 * ) + NEW met1 ( 73370 44370 0 ) ( 76130 * ) + NEW met2 ( 76130 44370 ) ( * 46750 ) + NEW met2 ( 76130 46750 ) ( 76590 * ) + NEW met1 ( 72450 33490 ) ( 77050 * ) + NEW met1 ( 77050 33490 ) ( * 33830 ) + NEW met1 ( 77050 33830 ) ( 78890 * ) + NEW met1 ( 70150 48110 0 ) ( 72450 * ) + NEW li1 ( 72450 46750 ) ( * 48110 ) NEW met1 ( 72450 46750 ) ( 73370 * ) - NEW met1 ( 72910 43010 ) ( 73370 * ) - NEW met2 ( 72910 43010 ) ( * 45730 ) - NEW met2 ( 72450 45730 ) ( 72910 * ) - NEW met1 ( 73370 42670 ) ( 76590 * 0 ) - NEW met1 ( 73370 42670 ) ( * 43010 ) - NEW met2 ( 75670 40290 ) ( * 42670 ) - NEW met1 ( 49910 38930 0 ) ( 50370 * ) - NEW met2 ( 50370 38930 ) ( * 48110 ) - NEW met2 ( 75670 37230 ) ( * 40290 ) - NEW met1 ( 75670 40290 ) ( 78430 * ) - NEW met1 ( 75670 34850 ) ( 79810 * ) - NEW met2 ( 75670 34850 ) ( * 37230 ) - NEW met2 ( 59570 32130 ) ( * 44370 ) - NEW met2 ( 59110 44370 ) ( 59570 * ) - NEW met1 ( 67390 31110 ) ( * 31450 0 ) - NEW met1 ( 59570 31110 ) ( 67390 * ) - NEW met2 ( 59570 31110 ) ( * 32130 ) - NEW met2 ( 79350 29410 ) ( * 34850 ) - NEW met1 ( 49910 28050 0 ) ( 59570 * ) - NEW met2 ( 59570 28050 ) ( * 31110 ) - NEW met2 ( 59570 26350 ) ( * 28050 ) - NEW met1 ( 59570 26350 ) ( 61870 * 0 ) - NEW met2 ( 79350 26350 ) ( * 29410 ) - NEW met1 ( 74290 26350 ) ( 78430 * 0 ) - NEW met2 ( 78430 26350 ) ( 79350 * ) - NEW met1 ( 79350 33490 ) ( 82110 * 0 ) - NEW met2 ( 82570 40290 ) ( * 44370 ) - NEW met1 ( 78430 40290 ) ( 82570 * ) - NEW met2 ( 83030 38930 ) ( * 40290 ) - NEW met2 ( 82570 40290 ) ( 83030 * ) - NEW met1 ( 83950 27710 ) ( * 28050 0 ) - NEW met1 ( 79350 27710 ) ( 83950 * ) - NEW met1 ( 76590 23630 ) ( 78430 * ) - NEW met2 ( 76590 23630 ) ( * 26350 ) - NEW met2 ( 71530 22610 ) ( * 26350 ) - NEW met1 ( 71530 26350 ) ( 74290 * ) - NEW met1 ( 78430 22610 ) ( 83030 * 0 ) - NEW met1 ( 78430 22610 ) ( * 23630 ) - NEW met1 ( 67850 21250 ) ( 68770 * ) - NEW met2 ( 68770 21250 ) ( * 22270 ) - NEW met1 ( 68770 22270 ) ( 71530 * ) - NEW met1 ( 71530 22270 ) ( * 22610 0 ) - NEW met1 ( 71990 20230 ) ( 73370 * ) - NEW met2 ( 71990 20230 ) ( * 22610 ) - NEW met2 ( 71530 22610 ) ( 71990 * ) - NEW met2 ( 78890 20910 ) ( * 22610 ) - NEW met1 ( 76590 18530 ) ( 77050 * ) - NEW met2 ( 76590 18530 ) ( * 23630 ) - NEW met2 ( 83030 17170 ) ( * 22610 ) - NEW met1 ( 59570 15810 ) ( 60490 * ) - NEW met2 ( 59570 15810 ) ( * 26350 ) - NEW met2 ( 68310 15130 ) ( * 21250 ) - NEW met2 ( 68310 21250 ) ( 68770 * ) - NEW met1 ( 76590 12750 ) ( 78430 * ) - NEW met2 ( 76590 12750 ) ( * 18530 ) - NEW met1 ( 51290 11390 ) ( * 11730 0 ) - NEW met1 ( 51290 11390 ) ( 59570 * ) - NEW met2 ( 59570 11390 ) ( * 15810 ) - NEW met2 ( 83490 11730 ) ( * 17170 ) - NEW met2 ( 83030 17170 ) ( 83490 * ) - NEW met1 ( 67850 9690 ) ( 69690 * ) - NEW met2 ( 67850 9690 ) ( * 15130 ) - NEW met2 ( 67850 15130 ) ( 68310 * ) - NEW met1 ( 81650 9690 0 ) ( 83490 * ) - NEW met2 ( 83490 9690 ) ( * 11730 ) - NEW met2 ( 50830 8670 ) ( * 11390 ) - NEW met1 ( 50830 11390 ) ( 51290 * ) - NEW met1 ( 50830 7650 ) ( 53130 * ) - NEW met2 ( 50830 7650 ) ( * 8670 ) - NEW met1 ( 56350 6630 0 ) ( * 6970 ) - NEW met1 ( 53130 6970 ) ( 56350 * ) - NEW met1 ( 53130 6970 ) ( * 7650 ) - NEW met1 ( 49450 6290 0 ) ( 50830 * ) - NEW met2 ( 50830 6290 ) ( * 7650 ) - NEW met1 ( 83490 6290 ) ( 83950 * 0 ) - NEW met2 ( 83490 6290 ) ( * 9690 ) - NEW met1 ( 67850 4930 ) ( 72910 * ) - NEW met2 ( 67850 4930 ) ( * 9690 ) - NEW met2 ( 83490 4930 ) ( * 6290 ) - NEW met1 ( 81190 4590 0 ) ( * 4930 ) - NEW met1 ( 81190 4930 ) ( 83490 * ) - NEW met1 ( 43930 8670 ) ( 50830 * ) - NEW met2 ( 48530 48110 ) ( * 49810 ) - NEW met1 ( 62330 47770 ) ( * 48450 ) - NEW met1 ( 67850 48110 0 ) ( * 48450 ) - NEW met2 ( 72450 45730 ) ( * 48450 ) - NEW met1 ( 77970 48110 0 ) ( * 48450 ) - NEW li1 ( 11730 3230 ) L1M1_PR - NEW li1 ( 11270 8670 ) L1M1_PR - NEW met1 ( 11270 8670 ) M1M2_PR - NEW met1 ( 11270 3230 ) M1M2_PR - NEW met1 ( 9890 10030 ) M1M2_PR - NEW met1 ( 9890 8670 ) M1M2_PR - NEW li1 ( 9890 45050 ) L1M1_PR - NEW met1 ( 9890 45050 ) M1M2_PR - NEW met1 ( 9890 48110 ) M1M2_PR - NEW met1 ( 9890 42670 ) M1M2_PR - NEW met1 ( 9890 44030 ) M1M2_PR - NEW li1 ( 12650 39610 ) L1M1_PR - NEW met1 ( 12650 39610 ) M1M2_PR - NEW met1 ( 12650 44030 ) M1M2_PR - NEW met1 ( 9890 37230 ) M1M2_PR - NEW li1 ( 10810 34850 ) L1M1_PR - NEW met1 ( 9890 34850 ) M1M2_PR - NEW li1 ( 10810 32130 ) L1M1_PR - NEW met1 ( 9890 32130 ) M1M2_PR - NEW li1 ( 12650 26690 ) L1M1_PR - NEW met1 ( 12650 26690 ) M1M2_PR - NEW met1 ( 12650 32130 ) M1M2_PR - NEW met1 ( 12650 24990 ) M1M2_PR - NEW li1 ( 11730 21250 ) L1M1_PR - NEW met1 ( 12650 21250 ) M1M2_PR - NEW li1 ( 11270 15810 ) L1M1_PR - NEW met1 ( 12650 15810 ) M1M2_PR - NEW met1 ( 9890 15810 ) M1M2_PR - NEW met1 ( 13570 52530 ) M1M2_PR - NEW met1 ( 13570 49810 ) M1M2_PR - NEW met1 ( 72450 48450 ) M1M2_PR + NEW met2 ( 68310 43010 ) ( * 44030 ) + NEW met1 ( 68310 44030 ) ( 73370 * ) + NEW met1 ( 73370 44030 ) ( * 44370 0 ) + NEW met1 ( 66930 39950 ) ( 68310 * ) + NEW met2 ( 68310 39950 ) ( * 43010 ) + NEW met1 ( 65550 49470 ) ( 73370 * ) + NEW met2 ( 62330 48450 ) ( * 49470 ) + NEW met1 ( 79350 26350 0 ) ( 80730 * ) + NEW met2 ( 80730 26350 ) ( * 28050 ) + NEW met1 ( 80730 28050 ) ( 82110 * 0 ) + NEW met1 ( 77510 27710 ) ( 80730 * ) + NEW met1 ( 80730 27710 ) ( * 28050 ) + NEW met1 ( 82570 22270 ) ( * 22610 0 ) + NEW met1 ( 80730 22270 ) ( 82570 * ) + NEW met2 ( 80730 22270 ) ( * 26350 ) + NEW met2 ( 80730 20910 ) ( * 22270 ) + NEW met1 ( 80730 20230 ) ( 84410 * ) + NEW met1 ( 80730 20230 ) ( * 20910 0 ) + NEW met1 ( 84410 20230 ) ( 85330 * ) + NEW met1 ( 78890 16830 ) ( 79350 * ) + NEW met2 ( 79350 16830 ) ( 79810 * ) + NEW met2 ( 79810 16830 ) ( * 20230 ) + NEW met1 ( 79810 20230 ) ( 80730 * ) + NEW met1 ( 82110 17170 ) ( 83030 * 0 ) + NEW met2 ( 82110 17170 ) ( * 20230 ) + NEW met1 ( 82110 14450 ) ( 84410 * ) + NEW met2 ( 82110 14450 ) ( * 17170 ) + NEW met2 ( 79350 15470 ) ( * 16830 ) + NEW met1 ( 74750 22270 ) ( * 22610 0 ) + NEW met1 ( 74750 22270 ) ( 80730 * ) + NEW met1 ( 71530 24990 ) ( 76130 * ) + NEW met2 ( 76130 22270 ) ( * 24990 ) + NEW met1 ( 70610 27710 ) ( 71990 * ) + NEW met2 ( 71990 24990 ) ( * 27710 ) + NEW met1 ( 66930 22270 ) ( 74750 * ) + NEW met2 ( 67390 20910 ) ( * 22270 ) + NEW met2 ( 67390 15470 ) ( * 20910 ) + NEW met1 ( 65550 26350 0 ) ( * 26690 ) + NEW met1 ( 65550 26690 ) ( 67390 * ) + NEW met2 ( 67390 22270 ) ( * 26690 ) + NEW met1 ( 65090 14790 ) ( 67390 * ) + NEW met1 ( 67390 14790 ) ( * 15470 0 ) + NEW met2 ( 62790 15130 ) ( * 15300 ) + NEW met2 ( 62790 15300 ) ( 65090 * ) + NEW met2 ( 65090 14790 ) ( * 15300 ) + NEW met2 ( 62330 20570 ) ( * 22270 ) + NEW met2 ( 62790 26690 ) ( * 27540 ) + NEW met1 ( 62790 26690 ) ( 65550 * ) + NEW met2 ( 65090 13090 ) ( * 14790 ) + NEW met1 ( 48990 3910 ) ( 49910 * ) + NEW met1 ( 49910 3910 ) ( * 4590 ) + NEW met1 ( 49910 4590 ) ( 53130 * 0 ) + NEW met1 ( 61870 49470 ) ( * 49810 0 ) + NEW met1 ( 52210 49810 0 ) ( 54050 * ) + NEW met1 ( 54050 49470 ) ( * 49810 ) + NEW met1 ( 54050 49470 ) ( 61870 * ) + NEW met2 ( 54510 49810 ) ( * 53550 ) + NEW met1 ( 54050 49810 ) ( 54510 * ) + NEW met1 ( 45770 53550 ) ( 54510 * ) + NEW met2 ( 44390 50830 ) ( * 53890 ) + NEW met1 ( 44390 53890 ) ( 45770 * ) + NEW met1 ( 45770 53550 ) ( * 53890 ) + NEW met1 ( 43010 50830 ) ( 44390 * ) + NEW met1 ( 37490 49810 0 ) ( * 50150 ) + NEW met1 ( 37490 50150 ) ( 43010 * ) + NEW met1 ( 43010 50150 ) ( * 50830 ) + NEW met1 ( 29670 49470 ) ( 37490 * ) + NEW met1 ( 37490 49470 ) ( * 49810 0 ) + NEW met1 ( 20470 49470 ) ( 29670 * ) + NEW met1 ( 15870 49470 ) ( * 49810 0 ) + NEW met1 ( 15870 49470 ) ( 20470 * ) + NEW met1 ( 60950 15130 ) ( * 15470 ) + NEW met1 ( 59570 15470 ) ( 60950 * ) + NEW met1 ( 51290 22610 0 ) ( 59570 * ) + NEW met1 ( 51290 22270 ) ( * 22610 0 ) + NEW met1 ( 59570 22270 ) ( * 22610 ) + NEW met1 ( 61870 27710 ) ( * 28050 0 ) + NEW met2 ( 61870 27540 ) ( * 27710 ) + NEW met1 ( 55430 27710 ) ( 61870 * ) + NEW met1 ( 50370 33490 0 ) ( 53590 * ) + NEW met2 ( 53590 27710 ) ( * 33490 ) + NEW met1 ( 53590 27710 ) ( 55430 * ) + NEW met1 ( 60950 15130 ) ( 62790 * ) + NEW met1 ( 61870 20570 ) ( 62330 * ) + NEW met1 ( 59570 22270 ) ( 66930 * ) + NEW met2 ( 61870 27540 ) ( 62790 * ) + NEW met1 ( 59570 48450 ) ( 62330 * ) + NEW met1 ( 61870 49470 ) ( 65550 * ) + NEW met1 ( 42090 4590 0 ) ( * 4930 ) + NEW met1 ( 42090 4930 ) ( 46690 * ) + NEW met2 ( 46690 3910 ) ( * 4930 ) + NEW met1 ( 37950 2210 ) ( 42090 * ) + NEW met2 ( 42090 2210 ) ( * 4590 ) + NEW met1 ( 31510 4590 0 ) ( 34730 * ) + NEW met1 ( 34730 4590 ) ( * 4930 ) + NEW met1 ( 34730 4930 ) ( 42090 * ) + NEW met1 ( 23690 3230 ) ( 31510 * ) + NEW met1 ( 31510 3230 ) ( * 4590 0 ) + NEW met1 ( 19090 5950 ) ( 19550 * ) + NEW met2 ( 19550 3230 ) ( * 5950 ) + NEW met1 ( 19550 3230 ) ( 23690 * ) + NEW met1 ( 14030 5950 ) ( * 6290 0 ) + NEW met1 ( 14030 5950 ) ( 19090 * ) + NEW met2 ( 13110 2210 ) ( * 5950 ) + NEW met1 ( 13110 5950 ) ( 14030 * ) + NEW met1 ( 8970 4250 ) ( * 4590 0 ) + NEW met1 ( 8970 4250 ) ( 13110 * ) + NEW met1 ( 11270 14110 ) ( 13110 * ) + NEW met2 ( 13110 5950 ) ( * 14110 ) + NEW met1 ( 8970 15470 0 ) ( 11730 * ) + NEW li1 ( 11730 14110 ) ( * 15470 ) + NEW met1 ( 12650 16830 ) ( 13110 * ) + NEW met2 ( 13110 14110 ) ( * 16830 ) + NEW met2 ( 45770 17170 ) ( 46230 * ) + NEW met2 ( 45770 4930 ) ( * 17170 ) + NEW met2 ( 9430 15470 ) ( * 20910 ) + NEW met1 ( 9430 22610 ) ( 10350 * 0 ) + NEW met2 ( 9430 20910 ) ( * 22610 ) + NEW met1 ( 14490 22610 ) ( 16330 * ) + NEW met1 ( 14490 22270 ) ( * 22610 ) + NEW met1 ( 10350 22270 ) ( 14490 * ) + NEW met1 ( 10350 22270 ) ( * 22610 0 ) + NEW met1 ( 43930 22270 ) ( 45770 * ) + NEW met2 ( 45770 17170 ) ( * 22270 ) + NEW met1 ( 16790 27710 ) ( 17710 * ) + NEW met2 ( 17710 22610 ) ( * 27710 ) + NEW met1 ( 16330 22610 ) ( 17710 * ) + NEW met1 ( 17710 27710 ) ( 20010 * ) + NEW met1 ( 13570 27710 ) ( * 28050 0 ) + NEW met1 ( 13570 27710 ) ( 16790 * ) + NEW met1 ( 24610 27710 ) ( * 28050 0 ) + NEW met1 ( 20010 27710 ) ( 24610 * ) + NEW met2 ( 11270 27710 ) ( * 30430 ) + NEW met1 ( 11270 27710 ) ( 13570 * ) + NEW met1 ( 8970 31790 0 ) ( 11270 * ) + NEW met2 ( 11270 30430 ) ( * 31790 ) + NEW met1 ( 8970 42670 0 ) ( 11270 * ) + NEW met2 ( 11270 31790 ) ( * 42670 ) + NEW met1 ( 11270 44710 ) ( 14950 * ) + NEW met2 ( 11270 42670 ) ( * 44710 ) + NEW met2 ( 11270 44710 ) ( * 46750 ) + NEW met1 ( 14950 44710 ) ( 18170 * ) + NEW met1 ( 8510 48110 0 ) ( 11270 * ) + NEW met2 ( 11270 46750 ) ( * 48110 ) + NEW met2 ( 37030 38930 ) ( * 47770 ) + NEW met2 ( 37030 47770 ) ( 37490 * ) + NEW met2 ( 35190 33490 ) ( * 36550 ) + NEW met1 ( 35190 36550 ) ( 37030 * ) + NEW met2 ( 37030 36550 ) ( * 38930 ) + NEW met1 ( 31050 38590 ) ( 34730 * ) + NEW met2 ( 34730 38590 ) ( 35190 * ) + NEW met2 ( 35190 36550 ) ( * 38590 ) + NEW met1 ( 29670 34510 ) ( 35190 * ) + NEW met2 ( 35190 22610 ) ( * 33490 ) + NEW met1 ( 30590 21250 ) ( 35190 * ) + NEW met2 ( 35190 21250 ) ( * 22610 ) + NEW met1 ( 35190 17850 ) ( 39330 * ) + NEW met2 ( 35190 17850 ) ( * 21250 ) + NEW met1 ( 33350 15470 0 ) ( 35190 * ) + NEW met2 ( 35190 15470 ) ( * 17850 ) + NEW met1 ( 25530 17170 ) ( 35190 * ) + NEW met2 ( 18170 44710 ) ( * 49470 ) + NEW met2 ( 37490 47770 ) ( * 49810 ) + NEW met2 ( 44390 48110 ) ( * 50830 ) + NEW met1 ( 46690 3910 ) ( 48990 * ) + NEW met1 ( 45770 22270 ) ( 51290 * ) + NEW met1 ( 46230 30430 ) ( 53590 * ) + NEW li1 ( 80270 5950 ) L1M1_PR + NEW met1 ( 79350 4590 ) M1M2_PR + NEW met1 ( 79350 5950 ) M1M2_PR + NEW li1 ( 69690 4930 ) L1M1_PR + NEW met1 ( 75670 12070 ) M1M2_PR + NEW met1 ( 76130 3910 ) M1M2_PR + NEW li1 ( 66930 13090 ) L1M1_PR + NEW met1 ( 75670 13090 ) M1M2_PR + NEW met1 ( 65090 13090 ) M1M2_PR + NEW met1 ( 81650 31450 ) M1M2_PR + NEW met1 ( 81650 33490 ) M1M2_PR + NEW li1 ( 82110 35870 ) L1M1_PR + NEW met1 ( 81650 35870 ) M1M2_PR + NEW li1 ( 78890 33830 ) L1M1_PR + NEW met1 ( 81650 38930 ) M1M2_PR + NEW met1 ( 81190 42670 ) M1M2_PR + NEW li1 ( 78890 44030 ) L1M1_PR + NEW met1 ( 81190 44030 ) M1M2_PR + NEW met1 ( 80730 48110 ) M1M2_PR NEW li1 ( 79810 49470 ) L1M1_PR - NEW li1 ( 78890 49470 ) L1M1_PR - NEW met1 ( 71990 48450 ) M1M2_PR - NEW met1 ( 71990 49470 ) M1M2_PR - NEW li1 ( 66470 52530 ) L1M1_PR - NEW met1 ( 71990 52530 ) M1M2_PR - NEW li1 ( 62330 48450 ) L1M1_PR - NEW li1 ( 42090 9010 ) L1M1_PR - NEW li1 ( 41630 11390 ) L1M1_PR - NEW met1 ( 41630 11390 ) M1M2_PR - NEW met1 ( 41630 9010 ) M1M2_PR - NEW met1 ( 41630 3910 ) M1M2_PR - NEW li1 ( 26450 3230 ) L1M1_PR - NEW met1 ( 21850 11730 ) M1M2_PR - NEW met1 ( 21850 3230 ) M1M2_PR - NEW li1 ( 20010 11730 ) L1M1_PR - NEW met1 ( 21850 4250 ) M1M2_PR - NEW li1 ( 18630 9690 ) L1M1_PR - NEW met1 ( 21850 9690 ) M1M2_PR - NEW met1 ( 21850 6630 ) M1M2_PR - NEW li1 ( 23230 19550 ) L1M1_PR - NEW met1 ( 21850 19550 ) M1M2_PR - NEW met1 ( 22310 22610 ) M1M2_PR - NEW li1 ( 29670 24990 ) L1M1_PR - NEW met1 ( 22310 24990 ) M1M2_PR - NEW met1 ( 28290 20910 ) M1M2_PR - NEW met1 ( 27370 24990 ) M1M2_PR - NEW met1 ( 27370 28390 ) M1M2_PR - NEW li1 ( 37030 24990 ) L1M1_PR - NEW met1 ( 35190 28050 ) M1M2_PR - NEW met1 ( 35190 24990 ) M1M2_PR - NEW met1 ( 35190 26350 ) M1M2_PR - NEW li1 ( 43930 27710 ) L1M1_PR - NEW met1 ( 40710 27710 ) M1M2_PR - NEW met1 ( 40710 26350 ) M1M2_PR - NEW li1 ( 41630 35870 ) L1M1_PR - NEW met1 ( 41630 35870 ) M1M2_PR - NEW li1 ( 18170 24990 ) L1M1_PR - NEW li1 ( 19550 24990 ) L1M1_PR - NEW li1 ( 16330 52190 ) L1M1_PR - NEW met1 ( 35190 49810 ) M1M2_PR - NEW met1 ( 35190 52530 ) M1M2_PR - NEW li1 ( 41630 52530 ) L1M1_PR - NEW li1 ( 45770 52870 ) L1M1_PR - NEW li1 ( 43930 50830 ) L1M1_PR - NEW met1 ( 43930 50830 ) M1M2_PR - NEW met1 ( 43930 52870 ) M1M2_PR - NEW met1 ( 48530 49810 ) M1M2_PR - NEW li1 ( 18170 47090 ) L1M1_PR - NEW li1 ( 30130 46750 ) L1M1_PR - NEW met1 ( 18170 44030 ) M1M2_PR - NEW met1 ( 18170 47090 ) M1M2_PR - NEW li1 ( 50370 48110 ) L1M1_PR - NEW met1 ( 48530 48110 ) M1M2_PR - NEW li1 ( 53590 45730 ) L1M1_PR - NEW met1 ( 53590 45730 ) M1M2_PR - NEW met1 ( 53590 48110 ) M1M2_PR - NEW met1 ( 59110 44370 ) M1M2_PR - NEW met1 ( 59110 45730 ) M1M2_PR - NEW met1 ( 59110 47770 ) M1M2_PR - NEW li1 ( 66470 45730 ) L1M1_PR - NEW met1 ( 71070 44370 ) M1M2_PR - NEW met1 ( 71070 45730 ) M1M2_PR - NEW met1 ( 72450 45730 ) M1M2_PR + NEW met1 ( 80730 49470 ) M1M2_PR NEW li1 ( 73370 46750 ) L1M1_PR - NEW met1 ( 72450 46750 ) M1M2_PR - NEW li1 ( 73370 43010 ) L1M1_PR - NEW met1 ( 72910 43010 ) M1M2_PR - NEW li1 ( 75670 40290 ) L1M1_PR - NEW met1 ( 75670 40290 ) M1M2_PR - NEW met1 ( 75670 42670 ) M1M2_PR - NEW met1 ( 50370 38930 ) M1M2_PR - NEW met1 ( 50370 48110 ) M1M2_PR - NEW met1 ( 75670 37230 ) M1M2_PR - NEW li1 ( 78430 40290 ) L1M1_PR - NEW li1 ( 79810 34850 ) L1M1_PR - NEW met1 ( 75670 34850 ) M1M2_PR - NEW li1 ( 59570 32130 ) L1M1_PR - NEW met1 ( 59570 32130 ) M1M2_PR - NEW met1 ( 59570 31110 ) M1M2_PR - NEW li1 ( 79350 29410 ) L1M1_PR - NEW met1 ( 79350 29410 ) M1M2_PR - NEW met1 ( 79350 34850 ) M1M2_PR - NEW met1 ( 59570 28050 ) M1M2_PR - NEW li1 ( 59570 26350 ) L1M1_PR - NEW met1 ( 59570 26350 ) M1M2_PR - NEW met1 ( 79350 26350 ) M1M2_PR - NEW li1 ( 74290 26350 ) L1M1_PR - NEW met1 ( 78430 26350 ) M1M2_PR - NEW met1 ( 79350 33490 ) M1M2_PR - NEW met1 ( 82570 44370 ) M1M2_PR - NEW met1 ( 82570 40290 ) M1M2_PR - NEW met1 ( 83030 38930 ) M1M2_PR - NEW met1 ( 79350 27710 ) M1M2_PR - NEW li1 ( 78430 23630 ) L1M1_PR - NEW met1 ( 76590 23630 ) M1M2_PR - NEW met1 ( 76590 26350 ) M1M2_PR - NEW met1 ( 71530 22610 ) M1M2_PR - NEW met1 ( 71530 26350 ) M1M2_PR - NEW li1 ( 67850 21250 ) L1M1_PR - NEW met1 ( 68770 21250 ) M1M2_PR - NEW met1 ( 68770 22270 ) M1M2_PR - NEW li1 ( 73370 20230 ) L1M1_PR - NEW met1 ( 71990 20230 ) M1M2_PR - NEW met1 ( 78890 20910 ) M1M2_PR - NEW met1 ( 78890 22610 ) M1M2_PR - NEW li1 ( 77050 18530 ) L1M1_PR - NEW met1 ( 76590 18530 ) M1M2_PR - NEW met1 ( 83030 17170 ) M1M2_PR - NEW met1 ( 83030 22610 ) M1M2_PR - NEW li1 ( 60490 15810 ) L1M1_PR - NEW met1 ( 59570 15810 ) M1M2_PR - NEW met1 ( 68310 15130 ) M1M2_PR - NEW li1 ( 78430 12750 ) L1M1_PR - NEW met1 ( 76590 12750 ) M1M2_PR - NEW met1 ( 59570 11390 ) M1M2_PR - NEW met1 ( 83490 11730 ) M1M2_PR - NEW li1 ( 69690 9690 ) L1M1_PR - NEW met1 ( 67850 9690 ) M1M2_PR - NEW met1 ( 83490 9690 ) M1M2_PR - NEW met1 ( 50830 8670 ) M1M2_PR - NEW met1 ( 50830 11390 ) M1M2_PR - NEW li1 ( 53130 7650 ) L1M1_PR - NEW met1 ( 50830 7650 ) M1M2_PR - NEW met1 ( 50830 6290 ) M1M2_PR - NEW met1 ( 83490 6290 ) M1M2_PR - NEW li1 ( 72910 4930 ) L1M1_PR - NEW met1 ( 67850 4930 ) M1M2_PR - NEW li1 ( 83490 4930 ) L1M1_PR - NEW met1 ( 83490 4930 ) M1M2_PR - NEW met1 ( 11270 8670 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 9890 45050 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 9890 44030 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 12650 39610 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 12650 44030 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 12650 26690 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 9890 15810 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 41630 11390 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 21850 4250 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 21850 9690 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 21850 6630 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 27370 24990 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 35190 24990 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 35190 26350 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 40710 26350 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 41630 35870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 50830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 43930 52870 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 18170 47090 ) RECT ( 0 -70 595 70 ) - NEW met1 ( 53590 45730 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 53590 48110 ) RECT ( -595 -70 0 70 ) - NEW met2 ( 72450 46750 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 75670 40290 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 75670 42670 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 50370 48110 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 59570 32130 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 79350 29410 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 79350 34850 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 59570 26350 ) RECT ( -355 -70 0 70 ) - NEW met2 ( 79350 33490 ) RECT ( -70 -485 70 0 ) - NEW met2 ( 79350 27710 ) RECT ( -70 -485 70 0 ) - NEW met1 ( 76590 26350 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 78890 22610 ) RECT ( -595 -70 0 70 ) - NEW met1 ( 83490 4930 ) RECT ( -355 -70 0 70 ) ; - - net4 ( input4 X ) ( _433_ D ) + USE SIGNAL - + ROUTED met1 ( 88180 34850 ) ( 91770 * ) - NEW li1 ( 88180 34850 ) L1M1_PR - NEW li1 ( 91770 34850 ) L1M1_PR ; - - net5 ( input5 X ) ( _434_ D ) + USE SIGNAL - + ROUTED met1 ( 81650 42330 ) ( 91770 * ) - NEW met1 ( 91770 42330 ) ( * 43010 ) - NEW li1 ( 81650 42330 ) L1M1_PR - NEW li1 ( 91770 43010 ) L1M1_PR ; - - net6 ( input6 X ) ( _435_ D ) + USE SIGNAL - + ROUTED met1 ( 70150 48110 ) ( 73370 * ) - NEW met1 ( 73370 47430 ) ( * 48110 ) - NEW met1 ( 73370 47430 ) ( 91770 * ) + NEW met1 ( 80730 46750 ) M1M2_PR + NEW met1 ( 76130 44370 ) M1M2_PR + NEW met1 ( 76590 46750 ) M1M2_PR + NEW li1 ( 72450 33490 ) L1M1_PR + NEW li1 ( 72450 48110 ) L1M1_PR + NEW li1 ( 72450 46750 ) L1M1_PR + NEW li1 ( 68310 43010 ) L1M1_PR + NEW met1 ( 68310 43010 ) M1M2_PR + NEW met1 ( 68310 44030 ) M1M2_PR + NEW li1 ( 66930 39950 ) L1M1_PR + NEW met1 ( 68310 39950 ) M1M2_PR + NEW li1 ( 65550 49470 ) L1M1_PR + NEW met1 ( 62330 48450 ) M1M2_PR + NEW met1 ( 62330 49470 ) M1M2_PR + NEW met1 ( 80730 26350 ) M1M2_PR + NEW met1 ( 80730 28050 ) M1M2_PR + NEW li1 ( 77510 27710 ) L1M1_PR + NEW met1 ( 80730 22270 ) M1M2_PR + NEW met1 ( 80730 20910 ) M1M2_PR + NEW li1 ( 84410 20230 ) L1M1_PR + NEW li1 ( 85330 20230 ) L1M1_PR + NEW li1 ( 78890 16830 ) L1M1_PR + NEW met1 ( 79350 16830 ) M1M2_PR + NEW met1 ( 79810 20230 ) M1M2_PR + NEW met1 ( 82110 17170 ) M1M2_PR + NEW met1 ( 82110 20230 ) M1M2_PR + NEW li1 ( 84410 14450 ) L1M1_PR + NEW met1 ( 82110 14450 ) M1M2_PR + NEW met1 ( 79350 15470 ) M1M2_PR + NEW li1 ( 71530 24990 ) L1M1_PR + NEW met1 ( 76130 24990 ) M1M2_PR + NEW met1 ( 76130 22270 ) M1M2_PR + NEW li1 ( 70610 27710 ) L1M1_PR + NEW met1 ( 71990 27710 ) M1M2_PR + NEW met1 ( 71990 24990 ) M1M2_PR + NEW li1 ( 66930 22270 ) L1M1_PR + NEW met1 ( 67390 20910 ) M1M2_PR + NEW met1 ( 67390 22270 ) M1M2_PR + NEW met1 ( 67390 15470 ) M1M2_PR + NEW met1 ( 67390 26690 ) M1M2_PR + NEW met1 ( 65090 14790 ) M1M2_PR + NEW met1 ( 62790 15130 ) M1M2_PR + NEW met1 ( 62330 20570 ) M1M2_PR + NEW met1 ( 62330 22270 ) M1M2_PR + NEW met1 ( 62790 26690 ) M1M2_PR + NEW li1 ( 48990 3910 ) L1M1_PR + NEW li1 ( 54510 53550 ) L1M1_PR + NEW met1 ( 54510 53550 ) M1M2_PR + NEW met1 ( 54510 49810 ) M1M2_PR + NEW li1 ( 45770 53550 ) L1M1_PR + NEW li1 ( 44390 50830 ) L1M1_PR + NEW met1 ( 44390 50830 ) M1M2_PR + NEW met1 ( 44390 53890 ) M1M2_PR + NEW li1 ( 43010 50830 ) L1M1_PR + NEW met1 ( 37490 49810 ) M1M2_PR + NEW li1 ( 29670 49470 ) L1M1_PR + NEW li1 ( 20470 49470 ) L1M1_PR + NEW met1 ( 18170 49470 ) M1M2_PR + NEW li1 ( 59570 48450 ) L1M1_PR + NEW li1 ( 61870 20570 ) L1M1_PR + NEW li1 ( 59570 15470 ) L1M1_PR + NEW li1 ( 59570 22610 ) L1M1_PR + NEW met1 ( 61870 27710 ) M1M2_PR + NEW li1 ( 55430 27710 ) L1M1_PR + NEW met1 ( 53590 33490 ) M1M2_PR + NEW met1 ( 53590 27710 ) M1M2_PR + NEW met1 ( 53590 30430 ) M1M2_PR + NEW met1 ( 46690 4930 ) M1M2_PR + NEW met1 ( 46690 3910 ) M1M2_PR + NEW li1 ( 37950 2210 ) L1M1_PR + NEW met1 ( 42090 2210 ) M1M2_PR + NEW met1 ( 42090 4590 ) M1M2_PR + NEW li1 ( 23690 3230 ) L1M1_PR + NEW li1 ( 19090 5950 ) L1M1_PR + NEW met1 ( 19550 5950 ) M1M2_PR + NEW met1 ( 19550 3230 ) M1M2_PR + NEW li1 ( 13110 2210 ) L1M1_PR + NEW met1 ( 13110 2210 ) M1M2_PR + NEW met1 ( 13110 5950 ) M1M2_PR + NEW met1 ( 13110 4250 ) M1M2_PR + NEW li1 ( 11270 14110 ) L1M1_PR + NEW met1 ( 13110 14110 ) M1M2_PR + NEW li1 ( 11730 15470 ) L1M1_PR + NEW li1 ( 11730 14110 ) L1M1_PR + NEW li1 ( 12650 16830 ) L1M1_PR + NEW met1 ( 13110 16830 ) M1M2_PR + NEW met1 ( 46230 17170 ) M1M2_PR + NEW met1 ( 45770 4930 ) M1M2_PR + NEW met1 ( 9430 20910 ) M1M2_PR_MR + NEW met1 ( 9430 15470 ) M1M2_PR + NEW met1 ( 9430 22610 ) M1M2_PR + NEW li1 ( 16330 22610 ) L1M1_PR + NEW li1 ( 43930 22270 ) L1M1_PR + NEW met1 ( 45770 22270 ) M1M2_PR + NEW li1 ( 16790 27710 ) L1M1_PR + NEW met1 ( 17710 27710 ) M1M2_PR + NEW met1 ( 17710 22610 ) M1M2_PR + NEW li1 ( 20010 27710 ) L1M1_PR + NEW li1 ( 11270 30430 ) L1M1_PR + NEW met1 ( 11270 30430 ) M1M2_PR + NEW met1 ( 11270 27710 ) M1M2_PR + NEW met1 ( 11270 31790 ) M1M2_PR + NEW met1 ( 11270 42670 ) M1M2_PR + NEW li1 ( 14950 44710 ) L1M1_PR + NEW met1 ( 11270 44710 ) M1M2_PR + NEW li1 ( 13570 44710 ) L1M1_PR + NEW li1 ( 11270 46750 ) L1M1_PR + NEW met1 ( 11270 46750 ) M1M2_PR + NEW met1 ( 18170 44710 ) M1M2_PR + NEW met1 ( 11270 48110 ) M1M2_PR + NEW li1 ( 37490 47770 ) L1M1_PR + NEW met1 ( 37490 47770 ) M1M2_PR + NEW met1 ( 37030 38930 ) M1M2_PR + NEW met1 ( 35190 33490 ) M1M2_PR + NEW met1 ( 35190 36550 ) M1M2_PR + NEW met1 ( 37030 36550 ) M1M2_PR + NEW li1 ( 31050 38590 ) L1M1_PR + NEW met1 ( 34730 38590 ) M1M2_PR + NEW li1 ( 29670 34510 ) L1M1_PR + NEW met1 ( 35190 34510 ) M1M2_PR + NEW met1 ( 35190 22610 ) M1M2_PR + NEW li1 ( 30590 21250 ) L1M1_PR + NEW met1 ( 35190 21250 ) M1M2_PR + NEW li1 ( 39330 17850 ) L1M1_PR + NEW met1 ( 35190 17850 ) M1M2_PR + NEW met1 ( 35190 15470 ) M1M2_PR + NEW li1 ( 25530 17170 ) L1M1_PR + NEW met1 ( 35190 17170 ) M1M2_PR + NEW li1 ( 46230 30430 ) L1M1_PR + NEW met1 ( 44390 48110 ) M1M2_PR + NEW met1 ( 76130 3910 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 80730 46750 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 76590 46750 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 68310 43010 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 62330 49470 ) RECT ( 0 -70 595 70 ) + NEW met1 ( 82110 20230 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 76130 22270 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 71990 24990 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 67390 22270 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 62330 22270 ) RECT ( 0 -70 595 70 ) + NEW met1 ( 54510 53550 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 44390 50830 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 18170 49470 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 53590 30430 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 13110 2210 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 13110 4250 ) RECT ( -70 -485 70 0 ) + NEW met1 ( 11730 14110 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 45770 4930 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 9430 15470 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 11270 30430 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 13570 44710 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 11270 46750 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 37490 47770 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 35190 34510 ) RECT ( -70 -485 70 0 ) + NEW met2 ( 35190 17170 ) RECT ( -70 -485 70 0 ) ; + - net30 ( _426_ A1 ) ( _424_ A1 ) ( _283_ A1 ) ( _325_ A ) ( _326_ A ) ( _440_ D ) ( _349_ A ) + ( split8 X ) + USE SIGNAL + + ROUTED met2 ( 64630 17510 ) ( * 19890 ) + NEW met1 ( 64630 17170 ) ( 67695 * ) + NEW met1 ( 64630 17170 ) ( * 17510 ) + NEW met1 ( 64630 19550 ) ( 73370 * ) + NEW met1 ( 64630 19550 ) ( * 19890 ) + NEW met2 ( 73830 17850 ) ( * 19550 ) + NEW met1 ( 73370 19550 ) ( 73830 * ) + NEW met2 ( 74290 15130 ) ( * 17850 ) + NEW met2 ( 73830 17850 ) ( 74290 * ) + NEW met1 ( 62100 19890 ) ( 64630 * ) + NEW met1 ( 29210 17510 ) ( 34270 * ) + NEW met2 ( 29210 17510 ) ( * 23630 ) + NEW met1 ( 23230 23630 ) ( 29210 * ) + NEW met1 ( 23230 22950 ) ( * 23630 ) + NEW met1 ( 20930 22950 ) ( 23230 * ) + NEW met1 ( 62100 19550 ) ( * 19890 ) + NEW met1 ( 34270 19550 ) ( 62100 * ) + NEW met2 ( 34270 17510 ) ( * 19550 ) + NEW met2 ( 58650 19550 ) ( * 26010 ) + NEW li1 ( 64630 17510 ) L1M1_PR + NEW met1 ( 64630 17510 ) M1M2_PR + NEW met1 ( 64630 19890 ) M1M2_PR + NEW li1 ( 67695 17170 ) L1M1_PR + NEW li1 ( 73370 19550 ) L1M1_PR + NEW li1 ( 73830 17850 ) L1M1_PR + NEW met1 ( 73830 17850 ) M1M2_PR + NEW met1 ( 73830 19550 ) M1M2_PR + NEW li1 ( 74290 15130 ) L1M1_PR + NEW met1 ( 74290 15130 ) M1M2_PR + NEW li1 ( 34270 17510 ) L1M1_PR + NEW met1 ( 29210 17510 ) M1M2_PR + NEW met1 ( 29210 23630 ) M1M2_PR + NEW li1 ( 20930 22950 ) L1M1_PR + NEW met1 ( 34270 19550 ) M1M2_PR + NEW met1 ( 34270 17510 ) M1M2_PR + NEW li1 ( 58650 26010 ) L1M1_PR + NEW met1 ( 58650 26010 ) M1M2_PR + NEW met1 ( 58650 19550 ) M1M2_PR + NEW met1 ( 64630 17510 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 73830 17850 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 74290 15130 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 34270 17510 ) RECT ( -595 -70 0 70 ) + NEW met1 ( 58650 26010 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 58650 19550 ) RECT ( -595 -70 0 70 ) ; + - net31 ( _341_ A ) ( rebuffer9 X ) + USE SIGNAL + + ROUTED met1 ( 44390 13090 ) ( 48070 * ) + NEW met2 ( 44390 13090 ) ( * 20570 ) + NEW li1 ( 48070 13090 ) L1M1_PR + NEW met1 ( 44390 13090 ) M1M2_PR + NEW li1 ( 44390 20570 ) L1M1_PR + NEW met1 ( 44390 20570 ) M1M2_PR + NEW met1 ( 44390 20570 ) RECT ( -355 -70 0 70 ) ; + - net32 ( _313_ B1 ) ( rebuffer10 X ) + USE SIGNAL + + ROUTED met1 ( 29210 4930 ) ( 34270 * ) + NEW met2 ( 29210 4930 ) ( * 9350 ) + NEW li1 ( 34270 4930 ) L1M1_PR + NEW met1 ( 29210 4930 ) M1M2_PR + NEW li1 ( 29210 9350 ) L1M1_PR + NEW met1 ( 29210 9350 ) M1M2_PR + NEW met1 ( 29210 9350 ) RECT ( -355 -70 0 70 ) ; + - net33 ( rebuffer12 A ) ( _359_ A ) ( _361_ A ) ( _362_ A1 ) ( rebuffer11 X ) + USE SIGNAL + + ROUTED met1 ( 14950 11730 ) ( 16330 * ) + NEW met2 ( 14950 1190 ) ( * 11730 ) + NEW met1 ( 14950 1190 ) ( 15870 * ) + NEW met1 ( 21390 11730 ) ( * 12070 ) + NEW met1 ( 16330 11730 ) ( 21390 * ) + NEW met1 ( 21390 11730 ) ( 23230 * ) + NEW met1 ( 22770 15130 ) ( 23230 * ) + NEW met2 ( 22770 15130 ) ( * 18190 ) + NEW met1 ( 22770 18190 ) ( 23690 * ) + NEW met2 ( 22770 15130 ) ( 23230 * ) + NEW met2 ( 23230 11730 ) ( * 15130 ) + NEW li1 ( 16330 11730 ) L1M1_PR + NEW met1 ( 14950 11730 ) M1M2_PR + NEW met1 ( 14950 1190 ) M1M2_PR + NEW li1 ( 15870 1190 ) L1M1_PR + NEW li1 ( 21390 12070 ) L1M1_PR + NEW met1 ( 23230 11730 ) M1M2_PR + NEW li1 ( 23230 15130 ) L1M1_PR + NEW met1 ( 22770 15130 ) M1M2_PR + NEW met1 ( 22770 18190 ) M1M2_PR + NEW li1 ( 23690 18190 ) L1M1_PR ; + - net34 ( _320_ S ) ( _318_ S ) ( rebuffer12 X ) + USE SIGNAL + + ROUTED met1 ( 19090 13090 ) ( 19550 * ) + NEW met1 ( 20010 19890 ) ( * 20230 ) + NEW met1 ( 19550 19890 ) ( 20010 * ) + NEW met1 ( 19550 19550 ) ( * 19890 ) + NEW met1 ( 16330 19890 ) ( * 20230 ) + NEW met1 ( 16330 19890 ) ( 19550 * ) + NEW met2 ( 19550 13090 ) ( * 19550 ) + NEW li1 ( 19090 13090 ) L1M1_PR + NEW met1 ( 19550 13090 ) M1M2_PR + NEW li1 ( 20010 20230 ) L1M1_PR + NEW met1 ( 19550 19550 ) M1M2_PR + NEW li1 ( 16330 20230 ) L1M1_PR ; + - net35 ( rebuffer14 A ) ( _419_ A1 ) ( rebuffer13 X ) + USE SIGNAL + + ROUTED met2 ( 49450 1190 ) ( * 6630 ) + NEW met1 ( 44850 1190 ) ( 49450 * ) + NEW met1 ( 49450 8670 ) ( 52210 * ) + NEW met2 ( 49450 6630 ) ( * 8670 ) + NEW li1 ( 49450 6630 ) L1M1_PR + NEW met1 ( 49450 6630 ) M1M2_PR + NEW met1 ( 49450 1190 ) M1M2_PR + NEW li1 ( 44850 1190 ) L1M1_PR + NEW li1 ( 52210 8670 ) L1M1_PR + NEW met1 ( 49450 8670 ) M1M2_PR + NEW met1 ( 49450 6630 ) RECT ( -355 -70 0 70 ) ; + - net36 ( _420_ A1 ) ( rebuffer14 X ) + USE SIGNAL + + ROUTED met1 ( 44850 5950 ) ( 47150 * ) + NEW li1 ( 44850 5950 ) L1M1_PR + NEW li1 ( 47150 5950 ) L1M1_PR ; + - net37 ( rebuffer5 A ) ( _418_ A1 ) ( split8 A ) ( _423_ A1 ) ( split15 X ) + USE SIGNAL + + ROUTED met2 ( 63250 5950 ) ( * 7140 ) + NEW met3 ( 63250 7140 ) ( 72910 * ) + NEW met1 ( 72450 20570 ) ( 76590 * ) + NEW met2 ( 76590 20570 ) ( * 24990 ) + NEW met1 ( 76590 24990 ) ( 77970 * ) + NEW met1 ( 77970 24990 ) ( * 25330 ) + NEW met1 ( 77970 25330 ) ( 82110 * ) + NEW met2 ( 72910 7140 ) ( * 20570 ) + NEW met3 ( 36110 7820 ) ( 36340 * ) + NEW met3 ( 36340 7140 ) ( * 7820 ) + NEW met1 ( 36110 12070 ) ( 36570 * ) + NEW met3 ( 36340 7140 ) ( 63250 * ) + NEW met1 ( 26450 14110 ) ( 36110 * ) + NEW met1 ( 26450 14110 ) ( * 14790 ) + NEW met1 ( 24610 14790 ) ( 26450 * ) + NEW met1 ( 24610 14790 ) ( * 15130 ) + NEW met2 ( 36110 7820 ) ( * 14110 ) + NEW li1 ( 63250 5950 ) L1M1_PR + NEW met1 ( 63250 5950 ) M1M2_PR + NEW met2 ( 63250 7140 ) M2M3_PR_M + NEW met2 ( 72910 7140 ) M2M3_PR_M + NEW li1 ( 72450 20570 ) L1M1_PR + NEW met1 ( 76590 20570 ) M1M2_PR + NEW met1 ( 76590 24990 ) M1M2_PR + NEW li1 ( 82110 25330 ) L1M1_PR + NEW met1 ( 72910 20570 ) M1M2_PR + NEW met2 ( 36110 7820 ) M2M3_PR_M + NEW li1 ( 36570 12070 ) L1M1_PR + NEW met1 ( 36110 12070 ) M1M2_PR + NEW met1 ( 36110 14110 ) M1M2_PR + NEW li1 ( 24610 15130 ) L1M1_PR + NEW met1 ( 63250 5950 ) RECT ( -355 -70 0 70 ) + NEW met1 ( 72910 20570 ) RECT ( -595 -70 0 70 ) + NEW met2 ( 36110 12070 ) RECT ( -70 -485 70 0 ) ; + - net38 ( _310_ A ) ( rebuffer16 X ) + USE SIGNAL + + ROUTED met1 ( 55430 12070 ) ( 56350 * ) + NEW met2 ( 55890 16830 ) ( 56350 * ) + NEW met2 ( 55890 16830 ) ( * 18530 ) + NEW met1 ( 28750 18530 ) ( 55890 * ) + NEW met2 ( 56350 12070 ) ( * 16830 ) + NEW met1 ( 56350 12070 ) M1M2_PR + NEW li1 ( 55430 12070 ) L1M1_PR + NEW met1 ( 55890 18530 ) M1M2_PR + NEW li1 ( 28750 18530 ) L1M1_PR ; + - net4 ( input4 X ) ( _457_ D ) + USE SIGNAL + + ROUTED met1 ( 88090 28730 ) ( 91770 * ) + NEW met2 ( 91770 28730 ) ( * 33150 ) + NEW li1 ( 88090 28730 ) L1M1_PR + NEW met1 ( 91770 28730 ) M1M2_PR + NEW li1 ( 91770 33150 ) L1M1_PR + NEW met1 ( 91770 33150 ) M1M2_PR + NEW met1 ( 91770 33150 ) RECT ( -355 -70 0 70 ) ; + - net5 ( input5 X ) ( _458_ D ) + USE SIGNAL + + ROUTED met1 ( 76130 41990 ) ( 82570 * ) + NEW met1 ( 82570 41990 ) ( * 42330 ) + NEW met1 ( 82570 42330 ) ( 84870 * ) + NEW met1 ( 84870 41650 ) ( * 42330 ) + NEW met1 ( 84870 41650 ) ( 91770 * ) + NEW li1 ( 76130 41990 ) L1M1_PR + NEW li1 ( 91770 41650 ) L1M1_PR ; + - net6 ( input6 X ) ( _459_ D ) + USE SIGNAL + + ROUTED met1 ( 64170 47430 ) ( 91770 * ) NEW met2 ( 91770 47430 ) ( * 49470 ) - NEW li1 ( 70150 48110 ) L1M1_PR + NEW li1 ( 64170 47430 ) L1M1_PR NEW met1 ( 91770 47430 ) M1M2_PR NEW li1 ( 91770 49470 ) L1M1_PR NEW met1 ( 91770 49470 ) M1M2_PR NEW met1 ( 91770 49470 ) RECT ( -355 -70 0 70 ) ; - - net7 ( input7 X ) ( _427_ D ) + USE SIGNAL - + ROUTED met1 ( 89930 11390 ) ( * 11730 ) - NEW met1 ( 89930 11730 ) ( 91770 * ) - NEW met1 ( 91770 11390 ) ( * 11730 ) - NEW met2 ( 79350 10370 ) ( * 11390 ) - NEW met1 ( 76130 10370 ) ( 79350 * ) - NEW met1 ( 76130 10030 ) ( * 10370 ) - NEW met1 ( 79350 11390 ) ( 89930 * ) - NEW li1 ( 91770 11390 ) L1M1_PR - NEW met1 ( 79350 11390 ) M1M2_PR - NEW met1 ( 79350 10370 ) M1M2_PR - NEW li1 ( 76130 10030 ) L1M1_PR ; - - net8 ( input8 X ) ( _428_ D ) + USE SIGNAL - + ROUTED met1 ( 76130 20230 ) ( 91770 * ) - NEW met1 ( 91770 19890 ) ( * 20230 ) + - net7 ( input7 X ) ( _451_ D ) + USE SIGNAL + + ROUTED met1 ( 76130 14790 ) ( 88550 * ) + NEW met2 ( 88550 13090 ) ( * 14790 ) + NEW li1 ( 88550 13090 ) L1M1_PR + NEW met1 ( 88550 13090 ) M1M2_PR + NEW met1 ( 88550 14790 ) M1M2_PR + NEW li1 ( 76130 14790 ) L1M1_PR + NEW met1 ( 88550 13090 ) RECT ( -355 -70 0 70 ) ; + - net8 ( input8 X ) ( _452_ D ) + USE SIGNAL + + ROUTED met1 ( 76130 18530 ) ( 91770 * ) + NEW met2 ( 76130 18530 ) ( * 20230 ) + NEW li1 ( 91770 18530 ) L1M1_PR + NEW met1 ( 76130 18530 ) M1M2_PR NEW li1 ( 76130 20230 ) L1M1_PR - NEW li1 ( 91770 19890 ) L1M1_PR ; - - net9 ( input9 X ) ( _429_ D ) + USE SIGNAL - + ROUTED met1 ( 76130 25670 ) ( 91770 * ) - NEW met1 ( 91770 25330 ) ( * 25670 ) - NEW li1 ( 76130 25670 ) L1M1_PR - NEW li1 ( 91770 25330 ) L1M1_PR ; + NEW met1 ( 76130 20230 ) M1M2_PR + NEW met1 ( 76130 20230 ) RECT ( -355 -70 0 70 ) ; + - net9 ( input9 X ) ( _453_ D ) + USE SIGNAL + + ROUTED met1 ( 69690 20230 ) ( 70610 * ) + NEW met1 ( 70610 19890 ) ( * 20230 ) + NEW met1 ( 70610 19890 ) ( 74290 * ) + NEW met1 ( 74290 19550 ) ( * 19890 ) + NEW met1 ( 74290 19550 ) ( 80730 * ) + NEW met1 ( 80730 19550 ) ( * 19890 ) + NEW met1 ( 80730 19890 ) ( 90390 * ) + NEW met2 ( 90390 19890 ) ( * 27710 ) + NEW met1 ( 90390 27710 ) ( 91770 * ) + NEW li1 ( 69690 20230 ) L1M1_PR + NEW met1 ( 90390 19890 ) M1M2_PR + NEW met1 ( 90390 27710 ) M1M2_PR + NEW li1 ( 91770 27710 ) L1M1_PR ; - pll_clk ( PIN pll_clk ) ( ANTENNA_clkbuf_0_pll_clk_A DIODE ) ( clkbuf_0_pll_clk A ) + USE CLOCK - + ROUTED met2 ( 77050 27710 ) ( * 56100 ) + + ROUTED met2 ( 77050 29410 ) ( * 56100 ) NEW met2 ( 77050 56100 ) ( 77970 * ) NEW met2 ( 77970 55420 ) ( * 56100 ) NEW met2 ( 77970 55420 ) ( 78430 * ) NEW met2 ( 78430 55420 ) ( * 56100 0 ) - NEW met2 ( 48990 26690 ) ( * 27710 ) - NEW met1 ( 47610 31790 ) ( 48990 * ) - NEW met2 ( 48990 27710 ) ( * 31790 ) - NEW met1 ( 48990 27710 ) ( 77050 * ) - NEW met1 ( 77050 27710 ) M1M2_PR - NEW li1 ( 48990 26690 ) L1M1_PR - NEW met1 ( 48990 26690 ) M1M2_PR - NEW met1 ( 48990 27710 ) M1M2_PR - NEW li1 ( 47610 31790 ) L1M1_PR - NEW met1 ( 48990 31790 ) M1M2_PR - NEW met1 ( 48990 26690 ) RECT ( -355 -70 0 70 ) ; + NEW met1 ( 52210 28390 ) ( 53130 * ) + NEW met1 ( 53130 28390 ) ( * 29410 ) + NEW met1 ( 53130 29410 ) ( 77050 * ) + NEW met1 ( 77050 29410 ) M1M2_PR + NEW li1 ( 53130 29410 ) L1M1_PR + NEW li1 ( 52210 28390 ) L1M1_PR ; - pll_clk90 ( PIN pll_clk90 ) ( ANTENNA_clkbuf_0_pll_clk90_A DIODE ) ( clkbuf_0_pll_clk90 A ) + USE CLOCK - + ROUTED met1 ( 73370 35870 ) ( * 36210 ) - NEW met1 ( 73370 35870 ) ( 92690 * ) - NEW met2 ( 92690 35870 ) ( * 56100 0 ) - NEW met2 ( 51290 33830 ) ( * 36210 ) - NEW met1 ( 50830 36210 ) ( 73370 * ) - NEW met1 ( 92690 35870 ) M1M2_PR - NEW li1 ( 50830 36210 ) L1M1_PR - NEW li1 ( 51290 33830 ) L1M1_PR - NEW met1 ( 51290 33830 ) M1M2_PR - NEW met1 ( 51290 36210 ) M1M2_PR - NEW met1 ( 51290 33830 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 51290 36210 ) RECT ( -595 -70 0 70 ) ; - - pll_clk_sel ( _424_ D ) ( _374_ Y ) + USE SIGNAL - + ROUTED met1 ( 67850 26690 ) ( 90850 * ) - NEW met1 ( 67850 26350 ) ( * 26690 ) - NEW met2 ( 90850 10370 ) ( * 26690 ) - NEW li1 ( 90850 10370 ) L1M1_PR - NEW met1 ( 90850 10370 ) M1M2_PR - NEW met1 ( 90850 26690 ) M1M2_PR - NEW li1 ( 67850 26350 ) L1M1_PR - NEW met1 ( 90850 10370 ) RECT ( -355 -70 0 70 ) ; - - reset_delay\[0\] ( _421_ Q ) ( _375_ B ) + USE SIGNAL + + ROUTED met2 ( 92690 40290 ) ( * 56100 0 ) + NEW met1 ( 53130 38930 ) ( 60030 * ) + NEW met1 ( 60030 38930 ) ( * 40290 ) + NEW met1 ( 60030 40290 ) ( 92690 * ) + NEW met1 ( 92690 40290 ) M1M2_PR + NEW li1 ( 60030 38930 ) L1M1_PR + NEW li1 ( 53130 38930 ) L1M1_PR ; + - pll_clk_sel ( _448_ D ) ( _392_ Y ) + USE SIGNAL + + ROUTED met1 ( 67850 25670 ) ( 72910 * ) + NEW met1 ( 72910 25670 ) ( * 26690 ) + NEW met1 ( 72910 26690 ) ( 89930 * ) + NEW met2 ( 89930 21250 ) ( * 26690 ) + NEW li1 ( 67850 25670 ) L1M1_PR + NEW met1 ( 89930 26690 ) M1M2_PR + NEW li1 ( 89930 21250 ) L1M1_PR + NEW met1 ( 89930 21250 ) M1M2_PR + NEW met1 ( 89930 21250 ) RECT ( -355 -70 0 70 ) ; + - reset_delay\[0\] ( _445_ Q ) ( _393_ B ) + USE SIGNAL + ROUTED met1 ( 89240 51170 ) ( 90390 * ) NEW met2 ( 90390 51170 ) ( * 53210 ) NEW li1 ( 89240 51170 ) L1M1_PR @@ -5867,17 +6142,17 @@ NETS 306 ; NEW li1 ( 90390 53210 ) L1M1_PR NEW met1 ( 90390 53210 ) M1M2_PR NEW met1 ( 90390 53210 ) RECT ( -355 -70 0 70 ) ; - - reset_delay\[1\] ( hold3 A ) ( _422_ Q ) + USE SIGNAL - + ROUTED met1 ( 83260 47770 ) ( 84410 * ) + - reset_delay\[1\] ( hold3 A ) ( _446_ Q ) + USE SIGNAL + + ROUTED met1 ( 82800 47770 ) ( 84410 * ) NEW li1 ( 84410 47770 ) L1M1_PR - NEW li1 ( 83260 47770 ) L1M1_PR ; - - reset_delay\[2\] ( hold2 A ) ( _423_ Q ) + USE SIGNAL - + ROUTED met1 ( 75900 51170 ) ( 76130 * ) - NEW met2 ( 76130 51170 ) ( * 53210 ) - NEW met1 ( 76130 53210 ) ( 78430 * ) - NEW li1 ( 75900 51170 ) L1M1_PR - NEW met1 ( 76130 51170 ) M1M2_PR - NEW met1 ( 76130 53210 ) M1M2_PR + NEW li1 ( 82800 47770 ) L1M1_PR ; + - reset_delay\[2\] ( hold2 A ) ( _447_ Q ) + USE SIGNAL + + ROUTED met1 ( 75440 51170 ) ( 75670 * ) + NEW met2 ( 75670 51170 ) ( * 53210 ) + NEW met1 ( 75670 53210 ) ( 78430 * ) + NEW li1 ( 75440 51170 ) L1M1_PR + NEW met1 ( 75670 51170 ) M1M2_PR + NEW met1 ( 75670 53210 ) M1M2_PR NEW li1 ( 78430 53210 ) L1M1_PR ; - resetb ( PIN resetb ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL + ROUTED met2 ( 7130 45730 ) ( * 56100 0 ) @@ -5889,9 +6164,9 @@ NETS 306 ; NEW met1 ( 7130 44710 ) M1M2_PR NEW met1 ( 7130 45730 ) RECT ( -355 -70 0 70 ) ; - resetb_sync ( PIN resetb_sync ) ( output11 X ) + USE SIGNAL - + ROUTED met1 ( 64170 53890 ) ( 70150 * ) + + ROUTED met1 ( 64170 53890 ) ( 69690 * ) NEW met2 ( 64170 53890 ) ( * 56100 0 ) - NEW li1 ( 70150 53890 ) L1M1_PR + NEW li1 ( 69690 53890 ) L1M1_PR NEW met1 ( 64170 53890 ) M1M2_PR ; - sel2[0] ( PIN sel2[0] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL + ROUTED met2 ( 92690 33660 ) ( * 33830 ) @@ -5906,12 +6181,11 @@ NETS 306 ; + ROUTED met1 ( 92690 42330 ) ( 93150 * ) NEW met2 ( 93150 41140 ) ( * 42330 ) NEW met3 ( 93150 41140 ) ( 97060 * 0 ) - NEW met1 ( 91310 41990 ) ( 92690 * ) - NEW met1 ( 92690 41990 ) ( * 42330 ) + NEW met1 ( 91310 42330 ) ( 92690 * ) NEW li1 ( 92690 42330 ) L1M1_PR NEW met1 ( 93150 42330 ) M1M2_PR NEW met2 ( 93150 41140 ) M2M3_PR_M - NEW li1 ( 91310 41990 ) L1M1_PR ; + NEW li1 ( 91310 42330 ) L1M1_PR ; - sel2[2] ( PIN sel2[2] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL + ROUTED met1 ( 92690 50150 ) ( 93150 * ) NEW met2 ( 93150 48620 ) ( * 50150 ) @@ -5922,68 +6196,65 @@ NETS 306 ; NEW met2 ( 93150 48620 ) M2M3_PR_M NEW li1 ( 91310 50150 ) L1M1_PR ; - sel[0] ( PIN sel[0] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL - + ROUTED met2 ( 92690 11220 ) ( * 12070 ) - NEW met3 ( 92690 11220 ) ( 97060 * 0 ) - NEW met1 ( 91310 12070 ) ( 92690 * ) - NEW li1 ( 92690 12070 ) L1M1_PR - NEW met1 ( 92690 12070 ) M1M2_PR - NEW met2 ( 92690 11220 ) M2M3_PR_M - NEW li1 ( 91310 12070 ) L1M1_PR - NEW met1 ( 92690 12070 ) RECT ( -355 -70 0 70 ) ; + + ROUTED met3 ( 89470 11220 ) ( 97060 * 0 ) + NEW met1 ( 89470 14110 ) ( 89930 * ) + NEW met2 ( 89470 11220 ) ( * 14110 ) + NEW met2 ( 89470 11220 ) M2M3_PR_M + NEW li1 ( 89470 12070 ) L1M1_PR + NEW met1 ( 89470 12070 ) M1M2_PR + NEW met1 ( 89470 14110 ) M1M2_PR + NEW li1 ( 89930 14110 ) L1M1_PR + NEW met1 ( 89470 12070 ) RECT ( -355 -70 0 70 ) + NEW met2 ( 89470 12070 ) RECT ( -70 -485 70 0 ) ; - sel[1] ( PIN sel[1] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL - + ROUTED met2 ( 92690 18700 ) ( * 20570 ) + + ROUTED met2 ( 92690 17510 ) ( * 18700 ) NEW met3 ( 92690 18700 ) ( 97060 * 0 ) - NEW met1 ( 91310 20570 ) ( 92690 * ) - NEW li1 ( 92690 20570 ) L1M1_PR - NEW met1 ( 92690 20570 ) M1M2_PR + NEW met1 ( 91310 17510 ) ( 92690 * ) + NEW li1 ( 92690 17510 ) L1M1_PR + NEW met1 ( 92690 17510 ) M1M2_PR NEW met2 ( 92690 18700 ) M2M3_PR_M - NEW li1 ( 91310 20570 ) L1M1_PR - NEW met1 ( 92690 20570 ) RECT ( -355 -70 0 70 ) ; + NEW li1 ( 91310 17510 ) L1M1_PR + NEW met1 ( 92690 17510 ) RECT ( -355 -70 0 70 ) ; - sel[2] ( PIN sel[2] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL - + ROUTED met2 ( 92690 26010 ) ( * 26180 ) + + ROUTED met2 ( 92690 26180 ) ( * 28390 ) NEW met3 ( 92690 26180 ) ( 97060 * 0 ) - NEW met1 ( 91310 26010 ) ( 92690 * ) - NEW li1 ( 92690 26010 ) L1M1_PR - NEW met1 ( 92690 26010 ) M1M2_PR + NEW met1 ( 91310 28390 ) ( 92690 * ) + NEW li1 ( 92690 28390 ) L1M1_PR + NEW met1 ( 92690 28390 ) M1M2_PR NEW met2 ( 92690 26180 ) M2M3_PR_M - NEW li1 ( 91310 26010 ) L1M1_PR - NEW met1 ( 92690 26010 ) RECT ( -355 -70 0 70 ) ; - - use_pll_first ( _425_ D ) ( _424_ Q ) ( _412_ S ) + USE SIGNAL - + ROUTED met1 ( 52210 47430 ) ( 58190 * ) - NEW met2 ( 58190 26690 ) ( * 47430 ) - NEW met1 ( 58190 26690 ) ( 60490 * ) - NEW met2 ( 55430 49810 ) ( * 52870 ) - NEW met2 ( 55430 49810 ) ( 56350 * ) - NEW met2 ( 56350 47430 ) ( * 49810 ) - NEW met2 ( 55890 47430 ) ( 56350 * ) - NEW li1 ( 52210 47430 ) L1M1_PR - NEW met1 ( 58190 47430 ) M1M2_PR - NEW met1 ( 58190 26690 ) M1M2_PR + NEW li1 ( 91310 28390 ) L1M1_PR + NEW met1 ( 92690 28390 ) RECT ( -355 -70 0 70 ) ; + - use_pll_first ( _449_ D ) ( _448_ Q ) ( _436_ S ) + USE SIGNAL + + ROUTED met1 ( 53590 41990 ) ( 57730 * ) + NEW met2 ( 57730 26690 ) ( * 41990 ) + NEW met1 ( 57730 26690 ) ( 60490 * ) + NEW met1 ( 55890 50490 ) ( 57730 * ) + NEW met2 ( 57730 41990 ) ( * 50490 ) + NEW li1 ( 53590 41990 ) L1M1_PR + NEW met1 ( 57730 41990 ) M1M2_PR + NEW met1 ( 57730 26690 ) M1M2_PR NEW li1 ( 60490 26690 ) L1M1_PR - NEW li1 ( 55430 52870 ) L1M1_PR - NEW met1 ( 55430 52870 ) M1M2_PR - NEW met1 ( 55890 47430 ) M1M2_PR - NEW met1 ( 55430 52870 ) RECT ( -355 -70 0 70 ) - NEW met1 ( 55890 47430 ) RECT ( -595 -70 0 70 ) ; - - use_pll_second ( _425_ Q ) ( _394_ S ) ( _393_ S ) + USE SIGNAL - + ROUTED met2 ( 64170 47430 ) ( * 52870 ) - NEW met1 ( 63710 52870 ) ( 64170 * ) - NEW met2 ( 63710 41650 ) ( * 43180 ) - NEW met2 ( 63710 43180 ) ( 64170 * ) - NEW met2 ( 64170 43180 ) ( * 47430 ) - NEW met1 ( 59110 41650 ) ( * 41990 ) - NEW met1 ( 59110 41650 ) ( 63710 * ) - NEW met1 ( 59570 47430 ) ( 64170 * ) - NEW met1 ( 64170 47430 ) M1M2_PR + NEW li1 ( 55890 50490 ) L1M1_PR + NEW met1 ( 57730 50490 ) M1M2_PR ; + - use_pll_second ( _449_ Q ) ( _400_ S ) ( _399_ S ) + USE SIGNAL + + ROUTED met1 ( 63250 51170 ) ( 64170 * ) + NEW met2 ( 64170 51170 ) ( * 52870 ) + NEW met1 ( 62790 45050 ) ( 64170 * ) + NEW met2 ( 64170 45050 ) ( * 51170 ) + NEW met1 ( 62100 52870 ) ( 64170 * ) + NEW met1 ( 61410 52530 ) ( * 52870 ) + NEW met1 ( 61410 52530 ) ( 62100 * ) + NEW met1 ( 62100 52530 ) ( * 52870 ) + NEW li1 ( 63250 51170 ) L1M1_PR + NEW met1 ( 64170 51170 ) M1M2_PR NEW met1 ( 64170 52870 ) M1M2_PR - NEW li1 ( 63710 52870 ) L1M1_PR - NEW met1 ( 63710 41650 ) M1M2_PR - NEW li1 ( 59570 47430 ) L1M1_PR - NEW li1 ( 59110 41990 ) L1M1_PR ; + NEW li1 ( 62790 45050 ) L1M1_PR + NEW met1 ( 64170 45050 ) M1M2_PR + NEW li1 ( 61410 52870 ) L1M1_PR ; - user_clk ( PIN user_clk ) ( output12 X ) + USE SIGNAL - + ROUTED met1 ( 49910 53890 ) ( 52210 * ) + + ROUTED met1 ( 49910 53890 ) ( 50370 * ) NEW met2 ( 49910 53890 ) ( * 56100 0 ) - NEW li1 ( 52210 53890 ) L1M1_PR + NEW li1 ( 50370 53890 ) L1M1_PR NEW met1 ( 49910 53890 ) M1M2_PR ; END NETS END DESIGN diff --git a/gds/caravel_clocking.gds.gz b/gds/caravel_clocking.gds.gz index 5c3fb7e2..482817b6 100644 Binary files a/gds/caravel_clocking.gds.gz and b/gds/caravel_clocking.gds.gz differ diff --git a/lef/caravel_clocking.lef b/lef/caravel_clocking.lef index f8f7dc15..44ba3ab2 100644 --- a/lef/caravel_clocking.lef +++ b/lef/caravel_clocking.lef @@ -212,35 +212,40 @@ MACRO caravel_clocking RECT 1.525 -0.085 1.695 0.085 ; RECT 5.215 -0.050 5.375 0.060 ; RECT 6.585 -0.085 6.755 0.085 ; - RECT 12.565 -0.085 12.735 0.085 ; + RECT 7.965 -0.085 8.135 0.085 ; + RECT 11.640 -0.055 11.760 0.055 ; + RECT 13.025 -0.085 13.195 0.085 ; + RECT 13.485 -0.085 13.655 0.085 ; + RECT 14.865 -0.085 15.035 0.085 ; RECT 18.545 -0.085 18.715 0.085 ; - RECT 24.525 -0.085 24.695 0.085 ; - RECT 26.360 -0.055 26.480 0.055 ; - RECT 29.585 -0.085 29.755 0.085 ; - RECT 30.500 -0.085 30.670 0.085 ; - RECT 31.885 -0.085 32.055 0.085 ; - RECT 35.560 -0.055 35.680 0.055 ; + RECT 27.745 -0.085 27.915 0.085 ; + RECT 28.205 -0.085 28.375 0.085 ; + RECT 30.505 -0.085 30.675 0.085 ; + RECT 32.160 -0.085 32.330 0.085 ; RECT 36.485 -0.085 36.655 0.085 ; - RECT 42.465 -0.085 42.635 0.085 ; - RECT 45.220 -0.055 45.340 0.055 ; - RECT 47.060 -0.085 47.230 0.085 ; - RECT 47.520 -0.055 47.640 0.055 ; + RECT 37.865 -0.085 38.035 0.085 ; + RECT 38.325 -0.085 38.495 0.085 ; + RECT 42.740 -0.085 42.910 0.085 ; + RECT 46.605 -0.085 46.775 0.085 ; RECT 48.445 -0.085 48.615 0.085 ; - RECT 54.425 -0.085 54.595 0.085 ; - RECT 61.780 -0.085 61.950 0.085 ; - RECT 62.245 -0.085 62.415 0.085 ; - RECT 67.300 -0.085 67.470 0.085 ; - RECT 67.770 -0.085 67.940 0.085 ; - RECT 69.145 -0.085 69.315 0.085 ; - RECT 72.365 -0.085 72.535 0.085 ; - RECT 78.805 -0.085 78.975 0.085 ; - RECT 79.260 -0.085 79.430 0.085 ; - RECT 82.485 -0.085 82.655 0.085 ; - RECT 82.955 -0.050 83.115 0.060 ; - RECT 84.325 -0.085 84.495 0.085 ; - RECT 87.545 -0.085 87.715 0.085 ; - RECT 89.380 -0.055 89.500 0.055 ; + RECT 52.120 -0.055 52.240 0.055 ; + RECT 53.510 -0.085 53.680 0.085 ; + RECT 55.800 -0.085 55.970 0.085 ; + RECT 56.265 -0.085 56.435 0.085 ; + RECT 60.400 -0.055 60.520 0.055 ; + RECT 60.865 -0.085 61.035 0.085 ; + RECT 65.000 -0.085 65.170 0.085 ; + RECT 65.460 -0.055 65.580 0.055 ; + RECT 66.385 -0.085 66.555 0.085 ; + RECT 72.360 -0.055 72.480 0.055 ; + RECT 72.825 -0.085 72.995 0.085 ; + RECT 76.045 -0.085 76.215 0.085 ; + RECT 78.345 -0.085 78.515 0.085 ; + RECT 85.250 -0.085 85.420 0.085 ; + RECT 86.625 -0.085 86.795 0.085 ; + RECT 87.085 -0.085 87.255 0.085 ; RECT 90.305 -0.085 90.475 0.085 ; + RECT 92.605 -0.085 92.775 0.085 ; RECT 93.985 -0.085 94.155 0.085 ; LAYER li1 ; RECT 0.000 0.085 94.300 54.485 ; @@ -264,22 +269,22 @@ MACRO caravel_clocking RECT 69.800 -0.240 71.340 0.000 ; RECT 85.300 -0.240 86.840 0.000 ; LAYER met3 ; - RECT 12.485 55.400 95.600 56.265 ; - RECT 12.485 49.320 96.000 55.400 ; - RECT 12.485 47.920 95.600 49.320 ; - RECT 12.485 41.840 96.000 47.920 ; - RECT 12.485 40.440 95.600 41.840 ; - RECT 12.485 34.360 96.000 40.440 ; - RECT 12.485 32.960 95.600 34.360 ; - RECT 12.485 26.880 96.000 32.960 ; - RECT 12.485 25.480 95.600 26.880 ; - RECT 12.485 19.400 96.000 25.480 ; - RECT 12.485 18.000 95.600 19.400 ; - RECT 12.485 11.920 96.000 18.000 ; - RECT 12.485 10.520 95.600 11.920 ; - RECT 12.485 4.440 96.000 10.520 ; - RECT 12.485 3.040 95.600 4.440 ; - RECT 12.485 0.000 96.000 3.040 ; + RECT 15.520 55.400 95.600 56.265 ; + RECT 15.520 49.320 96.000 55.400 ; + RECT 15.520 47.920 95.600 49.320 ; + RECT 15.520 41.840 96.000 47.920 ; + RECT 15.520 40.440 95.600 41.840 ; + RECT 15.520 34.360 96.000 40.440 ; + RECT 15.520 32.960 95.600 34.360 ; + RECT 15.520 26.880 96.000 32.960 ; + RECT 15.520 25.480 95.600 26.880 ; + RECT 15.520 19.400 96.000 25.480 ; + RECT 15.520 18.000 95.600 19.400 ; + RECT 15.520 11.920 96.000 18.000 ; + RECT 15.520 10.520 95.600 11.920 ; + RECT 15.520 4.440 96.000 10.520 ; + RECT 15.520 3.040 95.600 4.440 ; + RECT 15.520 0.000 96.000 3.040 ; RECT 23.270 -0.165 24.870 0.000 ; RECT 38.770 -0.165 40.370 0.000 ; RECT 54.270 -0.165 55.870 0.000 ; diff --git a/mag/caravel_clocking.mag b/mag/caravel_clocking.mag index bafbbda3..805f079b 100644 --- a/mag/caravel_clocking.mag +++ b/mag/caravel_clocking.mag @@ -1,1020 +1,1083 @@ magic tech sky130A magscale 1 2 -timestamp 1638662845 +timestamp 1638876627 << locali >> -rect 10517 8959 10551 9061 -rect 12633 3927 12667 4029 -rect 3525 3383 3559 3621 -rect 10701 3451 10735 3689 -rect 12081 1955 12115 2057 +rect 14473 9367 14507 9605 +rect 13921 5627 13955 5729 +rect 15485 3451 15519 3621 +rect 2329 2839 2363 3077 +rect 8493 2295 8527 2601 +rect 5641 1275 5675 1445 +rect 13645 663 13679 833 << viali >> rect 7297 10761 7331 10795 -rect 10425 10761 10459 10795 -rect 12081 10761 12115 10795 -rect 14013 10761 14047 10795 -rect 18153 10761 18187 10795 -rect 7849 10693 7883 10727 +rect 10057 10761 10091 10795 +rect 13921 10761 13955 10795 +rect 18337 10761 18371 10795 +rect 8309 10693 8343 10727 rect 9045 10693 9079 10727 -rect 17877 10693 17911 10727 -rect 1501 10625 1535 10659 +rect 9137 10693 9171 10727 +rect 10885 10693 10919 10727 +rect 12357 10693 12391 10727 +rect 18153 10693 18187 10727 +rect 1961 10625 1995 10659 +rect 2145 10625 2179 10659 rect 3893 10625 3927 10659 +rect 6285 10625 6319 10659 rect 7481 10625 7515 10659 -rect 7665 10625 7699 10659 -rect 8861 10625 8895 10659 -rect 9689 10625 9723 10659 -rect 10609 10625 10643 10659 -rect 11253 10625 11287 10659 +rect 7941 10625 7975 10659 +rect 8125 10625 8159 10659 +rect 10241 10625 10275 10659 rect 12449 10625 12483 10659 rect 13737 10625 13771 10659 -rect 14197 10625 14231 10659 -rect 15117 10625 15151 10659 +rect 14105 10625 14139 10659 +rect 15025 10625 15059 10659 rect 15669 10625 15703 10659 rect 16957 10625 16991 10659 rect 18061 10625 18095 10659 rect 18245 10625 18279 10659 rect 18521 10625 18555 10659 rect 3801 10557 3835 10591 -rect 9137 10557 9171 10591 -rect 11069 10557 11103 10591 -rect 11161 10557 11195 10591 -rect 12541 10557 12575 10591 -rect 12725 10557 12759 10591 -rect 8309 10489 8343 10523 -rect 13277 10489 13311 10523 -rect 18337 10489 18371 10523 -rect 1501 10421 1535 10455 -rect 3249 10421 3283 10455 +rect 8953 10557 8987 10591 +rect 12265 10557 12299 10591 +rect 17877 10557 17911 10591 +rect 8585 10489 8619 10523 +rect 2053 10421 2087 10455 rect 4261 10421 4295 10455 -rect 8585 10421 8619 10455 -rect 10333 10421 10367 10455 -rect 11621 10421 11655 10455 -rect 13553 10421 13587 10455 +rect 6285 10421 6319 10455 +rect 7757 10421 7791 10455 +rect 12817 10421 12851 10455 +rect 13461 10421 13495 10455 rect 14473 10421 14507 10455 -rect 15025 10421 15059 10455 +rect 14933 10421 14967 10455 rect 16313 10421 16347 10455 rect 16957 10421 16991 10455 -rect 3525 10217 3559 10251 -rect 12817 10217 12851 10251 -rect 15163 10217 15197 10251 +rect 3433 10217 3467 10251 +rect 3893 10217 3927 10251 +rect 7895 10217 7929 10251 +rect 12633 10217 12667 10251 +rect 15071 10217 15105 10251 rect 17831 10217 17865 10251 -rect 8769 10149 8803 10183 -rect 10701 10149 10735 10183 -rect 12173 10149 12207 10183 -rect 1317 10081 1351 10115 +rect 8585 10149 8619 10183 +rect 8861 10149 8895 10183 rect 1685 10081 1719 10115 -rect 4721 10081 4755 10115 -rect 5825 10081 5859 10115 +rect 1961 10081 1995 10115 +rect 6101 10081 6135 10115 rect 8953 10081 8987 10115 -rect 13369 10081 13403 10115 -rect 13737 10081 13771 10115 +rect 10885 10081 10919 10115 +rect 11161 10081 11195 10115 +rect 13277 10081 13311 10115 +rect 13645 10081 13679 10115 rect 16037 10081 16071 10115 -rect 3341 10013 3375 10047 -rect 3525 10013 3559 10047 +rect 489 10013 523 10047 +rect 949 10013 983 10047 +rect 1133 10013 1167 10047 +rect 1317 10013 1351 10047 rect 3709 10013 3743 10047 -rect 4261 10013 4295 10047 -rect 4445 10013 4479 10047 -rect 4629 10013 4663 10047 -rect 4813 10013 4847 10047 -rect 4905 10013 4939 10047 -rect 5089 10013 5123 10047 -rect 5365 10013 5399 10047 -rect 5549 10013 5583 10047 -rect 6101 10013 6135 10047 +rect 3893 10013 3927 10047 rect 6469 10013 6503 10047 -rect 8677 10013 8711 10047 -rect 12909 10013 12943 10047 rect 16405 10013 16439 10047 rect 18245 10013 18279 10047 rect 18521 10013 18555 10047 -rect 3111 9945 3145 9979 -rect 4997 9945 5031 9979 +rect 397 9945 431 9979 +rect 1409 9945 1443 9979 +rect 1593 9945 1627 9979 rect 9229 9945 9263 9979 -rect 10885 9945 10919 9979 -rect 4445 9877 4479 9911 -rect 5641 9877 5675 9911 -rect 7895 9877 7929 9911 -rect 8493 9877 8527 9911 -rect 15761 9877 15795 9911 +rect 949 9877 983 9911 +rect 1317 9877 1351 9911 +rect 4077 9877 4111 9911 +rect 5917 9877 5951 9911 +rect 10701 9877 10735 9911 +rect 13093 9877 13127 9911 rect 15945 9877 15979 9911 rect 18337 9877 18371 9911 -rect 2053 9673 2087 9707 -rect 5457 9673 5491 9707 -rect 5825 9673 5859 9707 -rect 9321 9673 9355 9707 -rect 12449 9673 12483 9707 -rect 2605 9605 2639 9639 -rect 2789 9605 2823 9639 -rect 2973 9605 3007 9639 -rect 3157 9605 3191 9639 +rect 2513 9673 2547 9707 +rect 3157 9673 3191 9707 +rect 5273 9673 5307 9707 +rect 6009 9673 6043 9707 +rect 6469 9673 6503 9707 +rect 11253 9673 11287 9707 +rect 11897 9673 11931 9707 +rect 3893 9605 3927 9639 rect 5365 9605 5399 9639 -rect 5733 9605 5767 9639 -rect 5917 9605 5951 9639 -rect 6193 9605 6227 9639 -rect 7297 9605 7331 9639 -rect 8208 9605 8242 9639 -rect 10057 9605 10091 9639 -rect 14013 9605 14047 9639 +rect 6377 9605 6411 9639 +rect 6561 9605 6595 9639 +rect 12265 9605 12299 9639 +rect 14473 9605 14507 9639 rect 17509 9605 17543 9639 -rect 2145 9537 2179 9571 -rect 2329 9537 2363 9571 +rect 305 9537 339 9571 +rect 673 9537 707 9571 +rect 2099 9537 2133 9571 rect 2513 9537 2547 9571 +rect 2605 9537 2639 9571 +rect 2789 9537 2823 9571 rect 2881 9537 2915 9571 rect 3249 9537 3283 9571 -rect 3433 9537 3467 9571 -rect 4169 9537 4203 9571 -rect 5181 9537 5215 9571 -rect 5457 9537 5491 9571 -rect 5641 9537 5675 9571 +rect 3617 9537 3651 9571 +rect 3801 9537 3835 9571 +rect 3985 9537 4019 9571 +rect 4077 9537 4111 9571 +rect 4261 9537 4295 9571 +rect 5733 9537 5767 9571 +rect 5917 9537 5951 9571 rect 6285 9537 6319 9571 -rect 7757 9537 7791 9571 -rect 10149 9537 10183 9571 -rect 14841 9537 14875 9571 -rect 15209 9537 15243 9571 -rect 16635 9537 16669 9571 +rect 6837 9537 6871 9571 +rect 7481 9537 7515 9571 +rect 9965 9537 9999 9571 +rect 4169 9469 4203 9503 +rect 5457 9469 5491 9503 +rect 7573 9469 7607 9503 +rect 7849 9469 7883 9503 +rect 12541 9469 12575 9503 +rect 12817 9469 12851 9503 +rect 9321 9401 9355 9435 +rect 14289 9401 14323 9435 +rect 14749 9537 14783 9571 +rect 15117 9537 15151 9571 +rect 16543 9537 16577 9571 rect 16865 9537 16899 9571 -rect 305 9469 339 9503 -rect 581 9469 615 9503 -rect 2237 9469 2271 9503 -rect 4261 9469 4295 9503 -rect 7941 9469 7975 9503 -rect 10425 9469 10459 9503 -rect 11897 9469 11931 9503 -rect 14289 9469 14323 9503 -rect 2513 9401 2547 9435 -rect 3341 9401 3375 9435 -rect 3617 9401 3651 9435 -rect 3065 9333 3099 9367 -rect 4445 9333 4479 9367 -rect 6009 9333 6043 9367 +rect 2237 9333 2271 9367 +rect 4905 9333 4939 9367 +rect 6193 9333 6227 9367 +rect 6745 9333 6779 9367 rect 9781 9333 9815 9367 -rect 12541 9333 12575 9367 +rect 12173 9333 12207 9367 +rect 14473 9333 14507 9367 rect 14657 9333 14691 9367 +rect 1041 9129 1075 9163 rect 1409 9129 1443 9163 -rect 3065 9129 3099 9163 -rect 10701 9129 10735 9163 -rect 13277 9129 13311 9163 -rect 10057 9061 10091 9095 +rect 5641 9129 5675 9163 +rect 8493 9129 8527 9163 +rect 11897 9129 11931 9163 +rect 15485 9129 15519 9163 +rect 9689 9061 9723 9095 rect 10517 9061 10551 9095 -rect 1961 8993 1995 9027 -rect 4629 8993 4663 9027 -rect 5549 8993 5583 9027 -rect 10885 8993 10919 9027 -rect 13737 8993 13771 9027 -rect 15485 8993 15519 9027 -rect 15761 8993 15795 9027 +rect 10885 9061 10919 9095 +rect 4353 8993 4387 9027 +rect 10333 8993 10367 9027 +rect 11437 8993 11471 9027 +rect 12541 8993 12575 9027 +rect 13277 8993 13311 9027 +rect 17601 8993 17635 9027 +rect 949 8925 983 8959 +rect 1133 8925 1167 8959 rect 1501 8925 1535 8959 +rect 2697 8925 2731 8959 rect 2973 8925 3007 8959 -rect 3157 8925 3191 8959 -rect 4445 8925 4479 8959 -rect 5365 8925 5399 8959 +rect 4169 8925 4203 8959 +rect 5549 8925 5583 8959 +rect 5641 8925 5675 8959 +rect 6653 8925 6687 8959 rect 6837 8925 6871 8959 -rect 7104 8925 7138 8959 +rect 7757 8925 7791 8959 +rect 7849 8925 7883 8959 rect 8677 8925 8711 8959 -rect 10149 8925 10183 8959 -rect 10425 8925 10459 8959 +rect 8769 8925 8803 8959 +rect 9229 8925 9263 8959 rect 10517 8925 10551 8959 +rect 10701 8925 10735 8959 rect 11253 8925 11287 8959 -rect 13461 8925 13495 8959 -rect 16129 8925 16163 8959 -rect 5457 8857 5491 8891 -rect 8944 8857 8978 8891 -rect 10241 8857 10275 8891 -rect 4077 8789 4111 8823 -rect 4537 8789 4571 8823 -rect 4997 8789 5031 8823 -rect 8217 8789 8251 8823 +rect 12265 8925 12299 8959 +rect 12725 8925 12759 8959 +rect 13645 8925 13679 8959 +rect 15301 8925 15335 8959 +rect 15485 8925 15519 8959 +rect 17877 8925 17911 8959 +rect 5365 8857 5399 8891 +rect 6469 8857 6503 8891 +rect 6929 8857 6963 8891 +rect 7113 8857 7147 8891 +rect 9321 8857 9355 8891 +rect 9505 8857 9539 8891 +rect 10057 8857 10091 8891 +rect 12817 8857 12851 8891 +rect 13001 8857 13035 8891 +rect 15853 8857 15887 8891 +rect 3801 8789 3835 8823 +rect 4261 8789 4295 8823 +rect 7021 8789 7055 8823 +rect 7389 8789 7423 8823 +rect 8033 8789 8067 8823 +rect 9137 8789 9171 8823 +rect 9229 8789 9263 8823 rect 10149 8789 10183 8823 -rect 12679 8789 12713 8823 -rect 17877 8789 17911 8823 +rect 11345 8789 11379 8823 +rect 12357 8789 12391 8823 +rect 12909 8789 12943 8823 +rect 15071 8789 15105 8823 +rect 15761 8789 15795 8823 rect 2145 8585 2179 8619 -rect 4169 8585 4203 8619 -rect 4905 8585 4939 8619 -rect 5273 8585 5307 8619 -rect 8953 8585 8987 8619 -rect 9505 8585 9539 8619 -rect 11069 8585 11103 8619 -rect 11529 8585 11563 8619 -rect 11621 8585 11655 8619 -rect 14657 8585 14691 8619 -rect 14887 8585 14921 8619 -rect 18337 8585 18371 8619 -rect 2513 8517 2547 8551 -rect 3433 8517 3467 8551 -rect 4261 8517 4295 8551 -rect 6000 8517 6034 8551 -rect 10977 8517 11011 8551 -rect 13001 8517 13035 8551 +rect 3157 8585 3191 8619 +rect 3525 8585 3559 8619 +rect 3985 8585 4019 8619 +rect 6193 8585 6227 8619 +rect 8861 8585 8895 8619 +rect 10333 8585 10367 8619 +rect 10793 8585 10827 8619 +rect 10885 8585 10919 8619 +rect 12817 8585 12851 8619 +rect 13185 8585 13219 8619 +rect 13645 8585 13679 8619 +rect 17325 8585 17359 8619 +rect 5273 8517 5307 8551 +rect 6285 8517 6319 8551 +rect 7726 8517 7760 8551 +rect 14565 8517 14599 8551 +rect 17233 8517 17267 8551 rect 2145 8449 2179 8483 rect 2329 8449 2363 8483 rect 2697 8449 2731 8483 -rect 2789 8449 2823 8483 -rect 3341 8449 3375 8483 -rect 7389 8449 7423 8483 -rect 7573 8449 7607 8483 -rect 7757 8449 7791 8483 -rect 8493 8449 8527 8483 -rect 9045 8449 9079 8483 -rect 10793 8449 10827 8483 -rect 11069 8449 11103 8483 +rect 4353 8449 4387 8483 +rect 5181 8449 5215 8483 +rect 5365 8449 5399 8483 +rect 5733 8449 5767 8483 +rect 6745 8449 6779 8483 +rect 9215 8449 9249 8483 +rect 9689 8449 9723 8483 +rect 11713 8449 11747 8483 +rect 12081 8449 12115 8483 rect 12265 8449 12299 8483 -rect 12725 8449 12759 8483 +rect 12449 8449 12483 8483 +rect 12541 8449 12575 8483 +rect 12633 8449 12667 8483 +rect 12909 8449 12943 8483 +rect 13093 8449 13127 8483 rect 13369 8449 13403 8483 -rect 14013 8449 14047 8483 -rect 14197 8449 14231 8483 -rect 16313 8449 16347 8483 +rect 13921 8449 13955 8483 +rect 14657 8449 14691 8483 +rect 18245 8449 18279 8483 rect 18521 8449 18555 8483 rect 305 8381 339 8415 rect 581 8381 615 8415 -rect 2513 8381 2547 8415 +rect 2605 8381 2639 8415 rect 3617 8381 3651 8415 +rect 3709 8381 3743 8415 rect 4445 8381 4479 8415 -rect 5365 8381 5399 8415 -rect 5457 8381 5491 8415 -rect 5733 8381 5767 8415 +rect 4537 8381 4571 8415 +rect 6009 8381 6043 8415 +rect 6837 8381 6871 8415 +rect 7113 8381 7147 8415 rect 7481 8381 7515 8415 -rect 8033 8381 8067 8415 -rect 11805 8381 11839 8415 -rect 12541 8381 12575 8415 -rect 13277 8381 13311 8415 -rect 16681 8381 16715 8415 -rect 18245 8381 18279 8415 -rect 2053 8313 2087 8347 -rect 3801 8313 3835 8347 -rect 7113 8313 7147 8347 -rect 11161 8313 11195 8347 -rect 2973 8245 3007 8279 -rect 7849 8245 7883 8279 -rect 7941 8245 7975 8279 -rect 8585 8245 8619 8279 -rect 9321 8245 9355 8279 -rect 12173 8245 12207 8279 -rect 14013 8245 14047 8279 -rect 2237 8041 2271 8075 -rect 12449 8041 12483 8075 -rect 13737 8041 13771 8075 -rect 15117 8041 15151 8075 -rect 15669 8041 15703 8075 -rect 1869 7973 1903 8007 -rect 5457 7973 5491 8007 -rect 7665 7973 7699 8007 -rect 7941 7973 7975 8007 -rect 11897 7973 11931 8007 -rect 2513 7905 2547 7939 -rect 3249 7905 3283 7939 -rect 5273 7905 5307 7939 -rect 7297 7905 7331 7939 -rect 7849 7905 7883 7939 -rect 8493 7905 8527 7939 +rect 9137 8381 9171 8415 +rect 10701 8381 10735 8415 +rect 13829 8381 13863 8415 +rect 14841 8381 14875 8415 +rect 15209 8381 15243 8415 +rect 17417 8381 17451 8415 +rect 3065 8313 3099 8347 +rect 9505 8313 9539 8347 +rect 11253 8313 11287 8347 +rect 14289 8313 14323 8347 +rect 16865 8313 16899 8347 +rect 18337 8313 18371 8347 +rect 2053 8245 2087 8279 +rect 5825 8245 5859 8279 +rect 5917 8245 5951 8279 +rect 11621 8245 11655 8279 +rect 12081 8245 12115 8279 +rect 16635 8245 16669 8279 +rect 1409 8041 1443 8075 +rect 1501 8041 1535 8075 +rect 2421 8041 2455 8075 +rect 2513 8041 2547 8075 +rect 11805 8041 11839 8075 +rect 5641 7973 5675 8007 +rect 13369 7973 13403 8007 +rect 15761 7973 15795 8007 +rect 1317 7905 1351 7939 +rect 1869 7905 1903 7939 +rect 3065 7905 3099 7939 +rect 6653 7905 6687 7939 +rect 8861 7905 8895 7939 rect 11437 7905 11471 7939 -rect 14289 7905 14323 7939 -rect 15853 7905 15887 7939 -rect 1961 7837 1995 7871 -rect 2145 7837 2179 7871 -rect 2329 7837 2363 7871 -rect 2973 7837 3007 7871 -rect 5549 7837 5583 7871 -rect 6469 7837 6503 7871 -rect 7481 7837 7515 7871 -rect 8125 7837 8159 7871 -rect 11529 7837 11563 7871 -rect 12081 7837 12115 7871 -rect 12173 7837 12207 7871 -rect 12265 7837 12299 7871 -rect 13001 7837 13035 7871 -rect 14105 7837 14139 7871 -rect 14565 7837 14599 7871 -rect 14841 7837 14875 7871 -rect 15025 7837 15059 7871 -rect 5273 7769 5307 7803 -rect 6193 7769 6227 7803 -rect 6377 7769 6411 7803 -rect 6653 7769 6687 7803 -rect 8769 7769 8803 7803 -rect 10517 7769 10551 7803 -rect 13093 7769 13127 7803 -rect 14197 7769 14231 7803 -rect 16129 7769 16163 7803 -rect 17877 7769 17911 7803 -rect 2605 7701 2639 7735 -rect 3065 7701 3099 7735 -rect 8309 7701 8343 7735 -rect 14657 7701 14691 7735 -rect 15025 7701 15059 7735 -rect 2237 7497 2271 7531 -rect 4721 7497 4755 7531 -rect 8953 7497 8987 7531 -rect 10701 7497 10735 7531 -rect 11345 7497 11379 7531 -rect 11713 7497 11747 7531 -rect 13737 7497 13771 7531 -rect 13921 7497 13955 7531 -rect 2605 7429 2639 7463 -rect 2789 7429 2823 7463 -rect 10609 7429 10643 7463 -rect 14289 7429 14323 7463 -rect 14519 7429 14553 7463 +rect 13001 7905 13035 7939 +rect 14381 7905 14415 7939 +rect 15209 7905 15243 7939 +rect 17509 7905 17543 7939 +rect 1593 7837 1627 7871 +rect 2881 7837 2915 7871 +rect 5457 7837 5491 7871 +rect 6285 7837 6319 7871 +rect 11253 7837 11287 7871 +rect 11713 7837 11747 7871 +rect 11897 7837 11931 7871 +rect 12725 7837 12759 7871 +rect 12909 7837 12943 7871 +rect 13921 7837 13955 7871 +rect 14473 7837 14507 7871 +rect 14657 7837 14691 7871 +rect 14933 7837 14967 7871 +rect 15301 7837 15335 7871 +rect 17877 7837 17911 7871 +rect 2053 7769 2087 7803 +rect 10609 7769 10643 7803 +rect 11989 7769 12023 7803 +rect 1961 7701 1995 7735 +rect 2973 7701 3007 7735 +rect 6193 7701 6227 7735 +rect 8079 7701 8113 7735 +rect 10885 7701 10919 7735 +rect 11345 7701 11379 7735 +rect 12541 7701 12575 7735 +rect 2145 7497 2179 7531 +rect 5549 7497 5583 7531 +rect 7481 7497 7515 7531 +rect 8493 7497 8527 7531 +rect 17049 7497 17083 7531 +rect 1961 7429 1995 7463 +rect 3893 7429 3927 7463 +rect 7389 7429 7423 7463 +rect 11345 7429 11379 7463 +rect 12541 7429 12575 7463 +rect 14197 7429 14231 7463 +rect 2053 7361 2087 7395 rect 2145 7361 2179 7395 rect 2329 7361 2363 7395 -rect 3433 7361 3467 7395 +rect 2697 7361 2731 7395 rect 4077 7361 4111 7395 +rect 4169 7361 4203 7395 rect 4261 7361 4295 7395 -rect 4353 7361 4387 7395 rect 4445 7361 4479 7395 -rect 5089 7361 5123 7395 -rect 5365 7361 5399 7395 -rect 5457 7361 5491 7395 -rect 5825 7361 5859 7395 -rect 7757 7361 7791 7395 -rect 7849 7361 7883 7395 +rect 4905 7361 4939 7395 +rect 5068 7367 5102 7401 +rect 5181 7364 5215 7398 +rect 5319 7361 5353 7395 +rect 5917 7361 5951 7395 +rect 6101 7361 6135 7395 +rect 6929 7361 6963 7395 +rect 8033 7361 8067 7395 rect 8861 7361 8895 7395 -rect 10241 7361 10275 7395 rect 10425 7361 10459 7395 rect 10885 7361 10919 7395 -rect 11161 7361 11195 7395 -rect 11437 7361 11471 7395 -rect 11621 7361 11655 7395 -rect 11897 7361 11931 7395 -rect 13461 7361 13495 7395 -rect 13645 7361 13679 7395 -rect 13735 7383 13769 7417 +rect 11069 7361 11103 7395 +rect 12357 7361 12391 7395 +rect 12449 7361 12483 7395 +rect 12633 7361 12667 7395 +rect 13553 7361 13587 7395 +rect 13737 7361 13771 7395 rect 13829 7361 13863 7395 -rect 14105 7361 14139 7395 -rect 305 7293 339 7327 -rect 581 7293 615 7327 -rect 2973 7293 3007 7327 -rect 5733 7293 5767 7327 -rect 6193 7293 6227 7327 -rect 8033 7293 8067 7327 +rect 14032 7361 14066 7395 +rect 14289 7361 14323 7395 +rect 14473 7361 14507 7395 +rect 16267 7361 16301 7395 +rect 16957 7361 16991 7395 +rect 2605 7293 2639 7327 +rect 6469 7293 6503 7327 +rect 6837 7293 6871 7327 +rect 8125 7293 8159 7327 +rect 8309 7293 8343 7327 +rect 8953 7293 8987 7327 rect 9045 7293 9079 7327 -rect 10333 7293 10367 7327 -rect 15945 7293 15979 7327 -rect 16313 7293 16347 7327 -rect 2053 7225 2087 7259 -rect 10149 7225 10183 7259 -rect 5549 7157 5583 7191 -rect 7389 7157 7423 7191 -rect 8309 7157 8343 7191 -rect 8493 7157 8527 7191 -rect 10977 7157 11011 7191 -rect 2145 6953 2179 6987 -rect 6929 6953 6963 6987 -rect 15945 6953 15979 6987 -rect 16129 6953 16163 6987 -rect 17619 6953 17653 6987 -rect 18337 6953 18371 6987 -rect 3985 6885 4019 6919 -rect 3433 6817 3467 6851 -rect 4629 6817 4663 6851 -rect 6561 6817 6595 6851 -rect 7573 6817 7607 6851 -rect 8217 6817 8251 6851 -rect 8493 6817 8527 6851 -rect 11437 6817 11471 6851 -rect 11713 6817 11747 6851 -rect 13829 6817 13863 6851 -rect 14657 6817 14691 6851 +rect 10517 7293 10551 7327 +rect 10701 7293 10735 7327 +rect 12081 7293 12115 7327 +rect 13645 7293 13679 7327 +rect 14841 7293 14875 7327 +rect 4169 7225 4203 7259 +rect 6101 7225 6135 7259 +rect 7665 7225 7699 7259 +rect 10057 7225 10091 7259 +rect 3065 7157 3099 7191 +rect 4261 7157 4295 7191 +rect 7113 7157 7147 7191 +rect 11437 7157 11471 7191 +rect 12173 7157 12207 7191 +rect 12265 7157 12299 7191 +rect 16405 7157 16439 7191 +rect 6377 6953 6411 6987 +rect 8045 6953 8079 6987 +rect 12357 6953 12391 6987 +rect 14105 6953 14139 6987 +rect 5917 6885 5951 6919 +rect 2237 6817 2271 6851 +rect 3341 6817 3375 6851 +rect 3893 6817 3927 6851 +rect 10885 6817 10919 6851 rect 15393 6817 15427 6851 -rect 2697 6749 2731 6783 -rect 2881 6749 2915 6783 -rect 3065 6749 3099 6783 -rect 3157 6749 3191 6783 -rect 3341 6749 3375 6783 -rect 4261 6749 4295 6783 -rect 4813 6749 4847 6783 -rect 5089 6749 5123 6783 -rect 5641 6749 5675 6783 -rect 5733 6749 5767 6783 -rect 6653 6749 6687 6783 -rect 8125 6749 8159 6783 -rect 10241 6749 10275 6783 +rect 17877 6817 17911 6851 +rect 949 6749 983 6783 +rect 1961 6749 1995 6783 +rect 2421 6749 2455 6783 +rect 2605 6749 2639 6783 +rect 4169 6749 4203 6783 +rect 4629 6749 4663 6783 +rect 4997 6749 5031 6783 +rect 5273 6749 5307 6783 +rect 6285 6749 6319 6783 +rect 6377 6749 6411 6783 +rect 8309 6749 8343 6783 +rect 8585 6749 8619 6783 rect 10609 6749 10643 6783 -rect 11805 6749 11839 6783 +rect 12541 6749 12575 6783 rect 12725 6749 12759 6783 -rect 14381 6749 14415 6783 -rect 15117 6749 15151 6783 -rect 17877 6749 17911 6783 +rect 14105 6749 14139 6783 +rect 14197 6749 14231 6783 +rect 15761 6749 15795 6783 rect 18245 6749 18279 6783 rect 18521 6749 18555 6783 -rect 2789 6681 2823 6715 -rect 5917 6681 5951 6715 -rect 7297 6681 7331 6715 -rect 10333 6681 10367 6715 -rect 10517 6681 10551 6715 -rect 11345 6681 11379 6715 -rect 13645 6681 13679 6715 -rect 2513 6613 2547 6647 -rect 5825 6613 5859 6647 -rect 6193 6613 6227 6647 -rect 6837 6613 6871 6647 -rect 7389 6613 7423 6647 -rect 7757 6613 7791 6647 -rect 10425 6613 10459 6647 -rect 10885 6613 10919 6647 -rect 11253 6613 11287 6647 -rect 12541 6613 12575 6647 -rect 13277 6613 13311 6647 -rect 13737 6613 13771 6647 +rect 2513 6681 2547 6715 +rect 3157 6681 3191 6715 +rect 6101 6681 6135 6715 +rect 8861 6681 8895 6715 +rect 11152 6681 11186 6715 +rect 14381 6681 14415 6715 +rect 14473 6681 14507 6715 +rect 15853 6681 15887 6715 +rect 17601 6681 17635 6715 +rect 765 6613 799 6647 +rect 1593 6613 1627 6647 +rect 2053 6613 2087 6647 +rect 2697 6613 2731 6647 +rect 3065 6613 3099 6647 +rect 6561 6613 6595 6647 +rect 12265 6613 12299 6647 rect 14749 6613 14783 6647 +rect 15117 6613 15151 6647 rect 15209 6613 15243 6647 -rect 2145 6409 2179 6443 -rect 2881 6409 2915 6443 -rect 3709 6409 3743 6443 -rect 5273 6409 5307 6443 -rect 5917 6409 5951 6443 -rect 6653 6409 6687 6443 -rect 6745 6409 6779 6443 -rect 10333 6409 10367 6443 -rect 11897 6409 11931 6443 -rect 14565 6409 14599 6443 -rect 15393 6409 15427 6443 -rect 16865 6409 16899 6443 -rect 17233 6409 17267 6443 -rect 17693 6409 17727 6443 -rect 18061 6409 18095 6443 -rect 5825 6341 5859 6375 -rect 9505 6341 9539 6375 -rect 11437 6341 11471 6375 -rect 12357 6341 12391 6375 -rect 14013 6341 14047 6375 -rect 2605 6273 2639 6307 -rect 2789 6273 2823 6307 -rect 3249 6273 3283 6307 +rect 18337 6613 18371 6647 +rect 2053 6409 2087 6443 +rect 2605 6409 2639 6443 +rect 3525 6409 3559 6443 +rect 7481 6409 7515 6443 +rect 12725 6409 12759 6443 +rect 13461 6409 13495 6443 +rect 13921 6409 13955 6443 +rect 14749 6409 14783 6443 +rect 16957 6409 16991 6443 +rect 581 6341 615 6375 +rect 3985 6341 4019 6375 +rect 5641 6341 5675 6375 +rect 2513 6273 2547 6307 +rect 2697 6273 2731 6307 rect 3433 6273 3467 6307 -rect 3617 6273 3651 6307 rect 4169 6273 4203 6307 rect 5733 6273 5767 6307 -rect 6009 6273 6043 6307 -rect 9689 6273 9723 6307 -rect 9873 6273 9907 6307 -rect 12081 6273 12115 6307 -rect 14289 6273 14323 6307 -rect 14565 6273 14599 6307 +rect 6469 6273 6503 6307 +rect 7665 6273 7699 6307 +rect 7849 6273 7883 6307 +rect 8033 6273 8067 6307 +rect 8585 6273 8619 6307 +rect 11897 6273 11931 6307 +rect 13829 6273 13863 6307 rect 14749 6273 14783 6307 -rect 15301 6273 15335 6307 -rect 15761 6273 15795 6307 -rect 16681 6273 16715 6307 +rect 16865 6273 16899 6307 +rect 17325 6273 17359 6307 +rect 17693 6273 17727 6307 rect 305 6205 339 6239 -rect 581 6205 615 6239 -rect 2053 6205 2087 6239 -rect 3065 6205 3099 6239 -rect 3157 6205 3191 6239 -rect 5365 6205 5399 6239 -rect 5457 6205 5491 6239 +rect 3709 6205 3743 6239 +rect 4445 6205 4479 6239 +rect 5825 6205 5859 6239 rect 6561 6205 6595 6239 -rect 10149 6205 10183 6239 -rect 10241 6205 10275 6239 -rect 10977 6205 11011 6239 -rect 11069 6205 11103 6239 -rect 13829 6205 13863 6239 -rect 15669 6205 15703 6239 -rect 17325 6205 17359 6239 -rect 17509 6205 17543 6239 -rect 18153 6205 18187 6239 -rect 18337 6205 18371 6239 -rect 2789 6137 2823 6171 -rect 4905 6137 4939 6171 -rect 7113 6137 7147 6171 -rect 9873 6137 9907 6171 -rect 10701 6137 10735 6171 -rect 14197 6137 14231 6171 -rect 3893 6069 3927 6103 -rect 4077 6069 4111 6103 -rect 8217 6069 8251 6103 -rect 10793 6069 10827 6103 -rect 14105 6069 14139 6103 -rect 16497 6069 16531 6103 -rect 2421 5865 2455 5899 -rect 3525 5865 3559 5899 -rect 3893 5865 3927 5899 -rect 4340 5865 4374 5899 -rect 5825 5865 5859 5899 -rect 7895 5865 7929 5899 -rect 8217 5865 8251 5899 -rect 8953 5865 8987 5899 -rect 11437 5865 11471 5899 -rect 15853 5865 15887 5899 -rect 17831 5865 17865 5899 -rect 18245 5865 18279 5899 -rect 3433 5797 3467 5831 -rect 3709 5729 3743 5763 -rect 4077 5729 4111 5763 -rect 6101 5729 6135 5763 -rect 6469 5729 6503 5763 -rect 10425 5729 10459 5763 -rect 10701 5729 10735 5763 +rect 6653 6205 6687 6239 +rect 7941 6205 7975 6239 +rect 12817 6205 12851 6239 +rect 13001 6205 13035 6239 +rect 14105 6205 14139 6239 +rect 14933 6205 14967 6239 +rect 15209 6205 15243 6239 +rect 16681 6205 16715 6239 +rect 5273 6137 5307 6171 +rect 12357 6137 12391 6171 +rect 2237 6069 2271 6103 +rect 3065 6069 3099 6103 +rect 4353 6069 4387 6103 +rect 6101 6069 6135 6103 +rect 8309 6069 8343 6103 +rect 8493 6069 8527 6103 +rect 8677 6069 8711 6103 +rect 9045 6069 9079 6103 +rect 9229 6069 9263 6103 +rect 11713 6069 11747 6103 +rect 3111 5865 3145 5899 +rect 6561 5865 6595 5899 +rect 8309 5865 8343 5899 +rect 10609 5865 10643 5899 +rect 16037 5865 16071 5899 +rect 4169 5729 4203 5763 +rect 6193 5729 6227 5763 +rect 6929 5729 6963 5763 rect 11161 5729 11195 5763 +rect 13553 5729 13587 5763 +rect 13921 5729 13955 5763 rect 14289 5729 14323 5763 -rect 14749 5729 14783 5763 -rect 16405 5729 16439 5763 -rect 949 5661 983 5695 -rect 2605 5661 2639 5695 -rect 2881 5661 2915 5695 -rect 3065 5661 3099 5695 -rect 3985 5661 4019 5695 -rect 8217 5661 8251 5695 -rect 11069 5661 11103 5695 -rect 14381 5661 14415 5695 +rect 15761 5729 15795 5763 +rect 15945 5729 15979 5763 +rect 16129 5729 16163 5763 +rect 17601 5729 17635 5763 +rect 1041 5661 1075 5695 +rect 1133 5661 1167 5695 +rect 1317 5661 1351 5695 +rect 1685 5661 1719 5695 +rect 6285 5661 6319 5695 +rect 7185 5661 7219 5695 +rect 10425 5661 10459 5695 +rect 13461 5661 13495 5695 +rect 14565 5661 14599 5695 +rect 15209 5661 15243 5695 rect 16037 5661 16071 5695 -rect 18061 5661 18095 5695 -rect 581 5593 615 5627 -rect 2789 5525 2823 5559 -rect 3709 5525 3743 5559 -rect 8769 5525 8803 5559 -rect 2513 5321 2547 5355 -rect 2881 5321 2915 5355 -rect 9781 5321 9815 5355 -rect 10425 5321 10459 5355 +rect 17877 5661 17911 5695 +rect 18245 5661 18279 5695 +rect 18521 5661 18555 5695 +rect 4445 5593 4479 5627 +rect 11437 5593 11471 5627 +rect 13921 5593 13955 5627 +rect 14473 5593 14507 5627 +rect 3341 5525 3375 5559 +rect 3985 5525 4019 5559 +rect 5917 5525 5951 5559 +rect 9137 5525 9171 5559 +rect 11069 5525 11103 5559 +rect 12909 5525 12943 5559 +rect 13829 5525 13863 5559 +rect 14105 5525 14139 5559 +rect 14933 5525 14967 5559 +rect 15025 5525 15059 5559 +rect 15485 5525 15519 5559 +rect 18337 5525 18371 5559 +rect 1225 5321 1259 5355 +rect 2329 5321 2363 5355 +rect 2973 5321 3007 5355 +rect 4537 5321 4571 5355 +rect 4905 5321 4939 5355 +rect 5365 5321 5399 5355 +rect 6837 5321 6871 5355 +rect 11345 5321 11379 5355 rect 12081 5321 12115 5355 -rect 6653 5253 6687 5287 -rect 10333 5253 10367 5287 -rect 10701 5253 10735 5287 -rect 11897 5253 11931 5287 -rect 13553 5253 13587 5287 -rect 14841 5253 14875 5287 -rect 489 5185 523 5219 -rect 2283 5185 2317 5219 -rect 2789 5185 2823 5219 -rect 6469 5185 6503 5219 -rect 7573 5185 7607 5219 -rect 10609 5185 10643 5219 -rect 10793 5185 10827 5219 -rect 14933 5185 14967 5219 -rect 18245 5185 18279 5219 -rect 18521 5185 18555 5219 -rect 857 5117 891 5151 -rect 7849 5117 7883 5151 -rect 13829 5117 13863 5151 -rect 15209 5117 15243 5151 -rect 18337 5049 18371 5083 -rect 3617 4981 3651 5015 -rect 3893 4981 3927 5015 -rect 5917 4981 5951 5015 -rect 7389 4981 7423 5015 -rect 9321 4981 9355 5015 -rect 16681 4981 16715 5015 -rect 13277 4777 13311 4811 -rect 3433 4709 3467 4743 -rect 10885 4709 10919 4743 -rect 15669 4709 15703 4743 -rect 2973 4641 3007 4675 -rect 3709 4641 3743 4675 -rect 7113 4641 7147 4675 -rect 8953 4641 8987 4675 -rect 9413 4641 9447 4675 +rect 6193 5253 6227 5287 +rect 6929 5253 6963 5287 +rect 11253 5253 11287 5287 +rect 16267 5253 16301 5287 +rect 1041 5185 1075 5219 +rect 1961 5185 1995 5219 +rect 2881 5185 2915 5219 +rect 3525 5185 3559 5219 +rect 4721 5185 4755 5219 +rect 5273 5185 5307 5219 +rect 5917 5185 5951 5219 +rect 8493 5185 8527 5219 +rect 9137 5185 9171 5219 +rect 9229 5185 9263 5219 +rect 9413 5185 9447 5219 +rect 10802 5185 10836 5219 +rect 11069 5185 11103 5219 +rect 11529 5185 11563 5219 +rect 11713 5185 11747 5219 +rect 13829 5185 13863 5219 +rect 14473 5185 14507 5219 +rect 16589 5185 16623 5219 +rect 17233 5185 17267 5219 +rect 2053 5117 2087 5151 +rect 3065 5117 3099 5151 +rect 3433 5117 3467 5151 +rect 5457 5117 5491 5151 +rect 8401 5117 8435 5151 +rect 13553 5117 13587 5151 +rect 14841 5117 14875 5151 +rect 17141 5117 17175 5151 +rect 2513 5049 2547 5083 +rect 8861 5049 8895 5083 +rect 9689 5049 9723 5083 +rect 16405 5049 16439 5083 +rect 16865 5049 16899 5083 +rect 3801 4981 3835 5015 +rect 9137 4981 9171 5015 +rect 11529 4981 11563 5015 +rect 11805 4981 11839 5015 +rect 14289 4981 14323 5015 +rect 8217 4777 8251 4811 +rect 10655 4777 10689 4811 +rect 5917 4709 5951 4743 +rect 4353 4641 4387 4675 +rect 4537 4641 4571 4675 +rect 4813 4641 4847 4675 +rect 5641 4641 5675 4675 +rect 6101 4641 6135 4675 +rect 6377 4641 6411 4675 +rect 8861 4641 8895 4675 +rect 9229 4641 9263 4675 rect 11345 4641 11379 4675 -rect 11437 4641 11471 4675 -rect 14749 4641 14783 4675 -rect 15025 4641 15059 4675 +rect 11529 4641 11563 4675 +rect 13461 4641 13495 4675 rect 16129 4641 16163 4675 -rect 17877 4641 17911 4675 -rect 3065 4573 3099 4607 +rect 17509 4641 17543 4675 +rect 857 4573 891 4607 +rect 1317 4573 1351 4607 rect 4077 4573 4111 4607 -rect 6285 4573 6319 4607 -rect 6377 4573 6411 4607 -rect 7021 4573 7055 4607 -rect 9045 4573 9079 4607 -rect 11713 4573 11747 4607 -rect 15117 4573 15151 4607 -rect 15853 4573 15887 4607 -rect 5503 4505 5537 4539 -rect 11253 4505 11287 4539 -rect 11958 4505 11992 4539 -rect 15228 4505 15262 4539 -rect 15393 4505 15427 4539 -rect 6101 4437 6135 4471 -rect 6745 4437 6779 4471 -rect 7389 4437 7423 4471 -rect 13093 4437 13127 4471 -rect 15117 4437 15151 4471 -rect 2329 4233 2363 4267 +rect 4169 4573 4203 4607 +rect 4721 4573 4755 4607 +rect 4905 4573 4939 4607 +rect 5549 4573 5583 4607 +rect 7941 4573 7975 4607 +rect 8217 4573 8251 4607 +rect 11253 4573 11287 4607 +rect 15761 4573 15795 4607 +rect 18153 4573 18187 4607 +rect 1593 4505 1627 4539 +rect 3249 4505 3283 4539 +rect 8125 4505 8159 4539 +rect 11897 4505 11931 4539 +rect 13737 4505 13771 4539 +rect 15485 4505 15519 4539 +rect 1041 4437 1075 4471 +rect 3065 4437 3099 4471 +rect 3709 4437 3743 4471 +rect 7849 4437 7883 4471 +rect 8769 4437 8803 4471 +rect 10885 4437 10919 4471 +rect 13369 4437 13403 4471 +rect 18245 4437 18279 4471 rect 2973 4233 3007 4267 -rect 7665 4233 7699 4267 -rect 8585 4233 8619 4267 -rect 9137 4233 9171 4267 -rect 9689 4233 9723 4267 -rect 10057 4233 10091 4267 -rect 11253 4233 11287 4267 -rect 12725 4233 12759 4267 -rect 13553 4233 13587 4267 -rect 14289 4233 14323 4267 -rect 3433 4165 3467 4199 -rect 2605 4097 2639 4131 -rect 2697 4097 2731 4131 -rect 2789 4097 2823 4131 -rect 3985 4097 4019 4131 -rect 4905 4097 4939 4131 -rect 6699 4097 6733 4131 -rect 8493 4097 8527 4131 -rect 8677 4097 8711 4131 -rect 10149 4097 10183 4131 -rect 11161 4097 11195 4131 -rect 11345 4097 11379 4131 -rect 12909 4097 12943 4131 -rect 13185 4097 13219 4131 -rect 13369 4097 13403 4131 -rect 13829 4097 13863 4131 +rect 5641 4233 5675 4267 +rect 6101 4233 6135 4267 +rect 7389 4233 7423 4267 +rect 12449 4233 12483 4267 +rect 17969 4233 18003 4267 +rect 3065 4165 3099 4199 +rect 489 4097 523 4131 +rect 2329 4097 2363 4131 +rect 3801 4097 3835 4131 +rect 3893 4097 3927 4131 +rect 4537 4097 4571 4131 +rect 5641 4097 5675 4131 +rect 5733 4097 5767 4131 +rect 7297 4097 7331 4131 +rect 7481 4097 7515 4131 +rect 8861 4097 8895 4131 +rect 10609 4097 10643 4131 +rect 11253 4097 11287 4131 +rect 12357 4097 12391 4131 +rect 14197 4097 14231 4131 +rect 14473 4097 14507 4131 +rect 16635 4097 16669 4131 rect 18245 4097 18279 4131 -rect 18521 4097 18555 4131 -rect 305 4029 339 4063 -rect 673 4029 707 4063 -rect 2145 4029 2179 4063 -rect 3525 4029 3559 4063 -rect 3617 4029 3651 4063 -rect 5273 4029 5307 4063 -rect 7757 4029 7791 4063 -rect 7941 4029 7975 4063 -rect 9229 4029 9263 4063 -rect 9413 4029 9447 4063 -rect 10241 4029 10275 4063 -rect 12633 4029 12667 4063 -rect 13093 4029 13127 4063 -rect 14657 4029 14691 4063 +rect 857 4029 891 4063 +rect 3249 4029 3283 4063 +rect 3985 4029 4019 4063 +rect 5917 4029 5951 4063 +rect 8953 4029 8987 4063 +rect 10425 4029 10459 4063 +rect 11345 4029 11379 4063 +rect 13921 4029 13955 4063 rect 14841 4029 14875 4063 rect 15209 4029 15243 4063 -rect 3893 3961 3927 3995 -rect 14473 3961 14507 3995 -rect 18337 3961 18371 3995 -rect 3065 3893 3099 3927 -rect 4629 3893 4663 3927 -rect 7297 3893 7331 3927 -rect 8769 3893 8803 3927 -rect 12633 3893 12667 3927 -rect 13185 3893 13219 3927 -rect 14105 3893 14139 3927 -rect 16635 3893 16669 3927 -rect 857 3689 891 3723 -rect 6285 3689 6319 3723 -rect 8493 3689 8527 3723 -rect 10701 3689 10735 3723 -rect 13645 3689 13679 3723 -rect 15209 3689 15243 3723 -rect 15393 3689 15427 3723 -rect 3525 3621 3559 3655 -rect 5917 3621 5951 3655 -rect 2237 3553 2271 3587 -rect 1041 3485 1075 3519 -rect 1961 3485 1995 3519 -rect 3065 3485 3099 3519 -rect 3249 3485 3283 3519 -rect 2053 3417 2087 3451 -rect 6745 3553 6779 3587 +rect 16865 4029 16899 4063 +rect 17049 4029 17083 4063 +rect 2605 3961 2639 3995 +rect 11161 3961 11195 3995 +rect 3433 3893 3467 3927 +rect 4353 3893 4387 3927 +rect 14657 3893 14691 3927 +rect 1317 3689 1351 3723 +rect 3709 3689 3743 3723 +rect 5733 3689 5767 3723 +rect 11345 3689 11379 3723 +rect 14841 3689 14875 3723 +rect 18337 3689 18371 3723 +rect 4721 3621 4755 3655 +rect 8309 3621 8343 3655 +rect 15301 3621 15335 3655 +rect 15485 3621 15519 3655 +rect 4261 3553 4295 3587 rect 6929 3553 6963 3587 -rect 8769 3553 8803 3587 -rect 5917 3485 5951 3519 -rect 6653 3485 6687 3519 -rect 8677 3485 8711 3519 -rect 9229 3485 9263 3519 -rect 9597 3485 9631 3519 -rect 9781 3485 9815 3519 -rect 10241 3485 10275 3519 -rect 10517 3485 10551 3519 -rect 11253 3621 11287 3655 -rect 12449 3621 12483 3655 -rect 13001 3621 13035 3655 -rect 12265 3553 12299 3587 -rect 13093 3553 13127 3587 -rect 16129 3553 16163 3587 -rect 17509 3553 17543 3587 +rect 7849 3553 7883 3587 +rect 8861 3553 8895 3587 +rect 11161 3553 11195 3587 +rect 13277 3553 13311 3587 +rect 14749 3553 14783 3587 +rect 1501 3485 1535 3519 +rect 3157 3485 3191 3519 +rect 3341 3485 3375 3519 +rect 4077 3485 4111 3519 +rect 4169 3485 4203 3519 +rect 4537 3485 4571 3519 +rect 4905 3485 4939 3519 +rect 5273 3485 5307 3519 +rect 6837 3485 6871 3519 +rect 8309 3485 8343 3519 +rect 8493 3485 8527 3519 +rect 10287 3485 10321 3519 +rect 11069 3485 11103 3519 rect 12541 3485 12575 3519 -rect 12817 3485 12851 3519 -rect 13461 3485 13495 3519 -rect 13737 3485 13771 3519 -rect 13829 3485 13863 3519 -rect 15761 3485 15795 3519 +rect 12909 3485 12943 3519 +rect 13093 3485 13127 3519 +rect 15025 3485 15059 3519 +rect 15853 3485 15887 3519 rect 18245 3485 18279 3519 -rect 5641 3417 5675 3451 -rect 5825 3417 5859 3451 -rect 9321 3417 9355 3451 -rect 9505 3417 9539 3451 -rect 10333 3417 10367 3451 -rect 10701 3417 10735 3451 -rect 10885 3417 10919 3451 -rect 11529 3417 11563 3451 -rect 13277 3417 13311 3451 -rect 14096 3417 14130 3451 -rect 18061 3417 18095 3451 -rect 1593 3349 1627 3383 -rect 2421 3349 2455 3383 -rect 3157 3349 3191 3383 -rect 3433 3349 3467 3383 -rect 3525 3349 3559 3383 -rect 7297 3349 7331 3383 -rect 9137 3349 9171 3383 -rect 9229 3349 9263 3383 -rect 9689 3349 9723 3383 -rect 10241 3349 10275 3383 -rect 11345 3349 11379 3383 +rect 18521 3485 18555 3519 +rect 3249 3417 3283 3451 +rect 5089 3417 5123 3451 +rect 8033 3417 8067 3451 +rect 8217 3417 8251 3451 +rect 13522 3417 13556 3451 +rect 15485 3417 15519 3451 +rect 16129 3417 16163 3451 +rect 17877 3417 17911 3451 +rect 2513 3349 2547 3383 +rect 6377 3349 6411 3383 +rect 6745 3349 6779 3383 rect 12265 3349 12299 3383 -rect 12633 3349 12667 3383 -rect 2237 3145 2271 3179 -rect 3157 3145 3191 3179 -rect 3709 3145 3743 3179 -rect 5549 3145 5583 3179 -rect 5641 3145 5675 3179 -rect 6745 3145 6779 3179 -rect 7297 3145 7331 3179 -rect 7757 3145 7791 3179 +rect 13001 3349 13035 3383 +rect 14657 3349 14691 3383 +rect 15209 3349 15243 3383 +rect 15761 3349 15795 3383 +rect 8309 3145 8343 3179 rect 8585 3145 8619 3179 -rect 10425 3145 10459 3179 -rect 12081 3145 12115 3179 -rect 15853 3145 15887 3179 -rect 15945 3145 15979 3179 -rect 5273 3077 5307 3111 -rect 5457 3077 5491 3111 -rect 6837 3077 6871 3111 -rect 12541 3077 12575 3111 -rect 14718 3077 14752 3111 -rect 16497 3077 16531 3111 +rect 16681 3145 16715 3179 +rect 2329 3077 2363 3111 +rect 2881 3077 2915 3111 +rect 11897 3077 11931 3111 rect 305 3009 339 3043 -rect 2789 3009 2823 3043 -rect 3617 3009 3651 3043 -rect 5549 3009 5583 3043 -rect 7665 3009 7699 3043 -rect 8125 3009 8159 3043 -rect 8309 3009 8343 3043 -rect 8861 3009 8895 3043 -rect 9045 3009 9079 3043 -rect 10057 3009 10091 3043 -rect 10241 3009 10275 3043 -rect 10609 3009 10643 3043 -rect 10701 3009 10735 3043 -rect 10793 3009 10827 3043 -rect 11161 3009 11195 3043 -rect 11437 3009 11471 3043 -rect 11897 3009 11931 3043 -rect 12265 3009 12299 3043 -rect 14473 3009 14507 3043 -rect 16405 3009 16439 3043 rect 581 2941 615 2975 -rect 2697 2941 2731 2975 -rect 3801 2941 3835 2975 -rect 6009 2941 6043 2975 -rect 6101 2941 6135 2975 -rect 7021 2941 7055 2975 -rect 7941 2941 7975 2975 -rect 8953 2941 8987 2975 -rect 11713 2941 11747 2975 +rect 2053 2941 2087 2975 +rect 3709 3009 3743 3043 +rect 3801 3009 3835 3043 +rect 4169 3009 4203 3043 +rect 4353 3009 4387 3043 +rect 4629 3009 4663 3043 +rect 4905 3009 4939 3043 +rect 5181 3009 5215 3043 +rect 7297 3009 7331 3043 +rect 7389 3009 7423 3043 +rect 7573 3009 7607 3043 +rect 8033 3009 8067 3043 +rect 8217 3009 8251 3043 +rect 8769 3009 8803 3043 +rect 8861 3009 8895 3043 +rect 8953 3009 8987 3043 +rect 9873 3009 9907 3043 +rect 12081 3009 12115 3043 +rect 14013 3009 14047 3043 +rect 14657 3009 14691 3043 +rect 14841 3009 14875 3043 +rect 14933 3009 14967 3043 +rect 17049 3009 17083 3043 +rect 17601 3009 17635 3043 +rect 17785 3009 17819 3043 +rect 2973 2941 3007 2975 +rect 3157 2941 3191 2975 +rect 3985 2941 4019 2975 +rect 5457 2941 5491 2975 +rect 6929 2941 6963 2975 +rect 8493 2941 8527 2975 +rect 9781 2941 9815 2975 +rect 12449 2941 12483 2975 rect 14289 2941 14323 2975 -rect 3249 2873 3283 2907 -rect 6377 2873 6411 2907 -rect 2053 2805 2087 2839 -rect 6285 2805 6319 2839 -rect 16129 2805 16163 2839 +rect 15209 2941 15243 2975 +rect 2513 2873 2547 2907 +rect 3341 2873 3375 2907 +rect 7297 2873 7331 2907 +rect 14105 2873 14139 2907 +rect 14473 2873 14507 2907 +rect 16865 2873 16899 2907 +rect 17509 2873 17543 2907 +rect 2237 2805 2271 2839 +rect 2329 2805 2363 2839 +rect 4537 2805 4571 2839 +rect 5089 2805 5123 2839 +rect 13875 2805 13909 2839 +rect 14197 2805 14231 2839 +rect 17141 2805 17175 2839 +rect 17969 2805 18003 2839 rect 765 2601 799 2635 -rect 2789 2601 2823 2635 -rect 3157 2601 3191 2635 -rect 3341 2601 3375 2635 -rect 4169 2601 4203 2635 -rect 7113 2601 7147 2635 -rect 11069 2601 11103 2635 -rect 2605 2533 2639 2567 -rect 8769 2533 8803 2567 -rect 11345 2533 11379 2567 -rect 11529 2533 11563 2567 -rect 15669 2533 15703 2567 -rect 2053 2465 2087 2499 -rect 2237 2465 2271 2499 -rect 2421 2465 2455 2499 -rect 5917 2465 5951 2499 -rect 8861 2465 8895 2499 -rect 15209 2465 15243 2499 -rect 15853 2465 15887 2499 -rect 17877 2465 17911 2499 +rect 2421 2601 2455 2635 +rect 3801 2601 3835 2635 +rect 6101 2601 6135 2635 +rect 6837 2601 6871 2635 +rect 8493 2601 8527 2635 +rect 9597 2601 9631 2635 +rect 11621 2601 11655 2635 +rect 13369 2601 13403 2635 +rect 17049 2601 17083 2635 +rect 17233 2601 17267 2635 +rect 17693 2601 17727 2635 +rect 2145 2465 2179 2499 +rect 2789 2465 2823 2499 +rect 3433 2465 3467 2499 +rect 6377 2465 6411 2499 +rect 6745 2465 6779 2499 +rect 7481 2465 7515 2499 rect 949 2397 983 2431 +rect 2053 2397 2087 2431 rect 2697 2397 2731 2431 -rect 2789 2397 2823 2431 -rect 2973 2397 3007 2431 -rect 6377 2397 6411 2431 -rect 9229 2397 9263 2431 -rect 10885 2397 10919 2431 +rect 3525 2397 3559 2431 +rect 4261 2397 4295 2431 +rect 4537 2397 4571 2431 +rect 4721 2397 4755 2431 +rect 4813 2397 4847 2431 +rect 4905 2397 4939 2431 +rect 5917 2397 5951 2431 +rect 6285 2397 6319 2431 +rect 7297 2397 7331 2431 +rect 3249 2329 3283 2363 +rect 18429 2533 18463 2567 +rect 8585 2465 8619 2499 +rect 13461 2465 13495 2499 +rect 15669 2465 15703 2499 +rect 17601 2465 17635 2499 +rect 8677 2397 8711 2431 +rect 9781 2397 9815 2431 rect 11069 2397 11103 2431 rect 11437 2397 11471 2431 -rect 15025 2397 15059 2431 -rect 15393 2397 15427 2431 -rect 18245 2397 18279 2431 -rect 18521 2397 18555 2431 -rect 1961 2329 1995 2363 -rect 3985 2329 4019 2363 -rect 5641 2329 5675 2363 -rect 7205 2329 7239 2363 -rect 8585 2329 8619 2363 -rect 10655 2329 10689 2363 -rect 16129 2329 16163 2363 -rect 1593 2261 1627 2295 -rect 2697 2261 2731 2295 -rect 6193 2261 6227 2295 -rect 8309 2261 8343 2295 -rect 14565 2261 14599 2295 -rect 14933 2261 14967 2295 -rect 18337 2261 18371 2295 -rect 2053 2057 2087 2091 -rect 9137 2057 9171 2091 -rect 11437 2057 11471 2091 -rect 12081 2057 12115 2091 -rect 12265 2057 12299 2091 -rect 12633 2057 12667 2091 -rect 13093 2057 13127 2091 -rect 13553 2057 13587 2091 -rect 16681 2057 16715 2091 -rect 17325 2057 17359 2091 -rect 18153 2057 18187 2091 -rect 6101 1989 6135 2023 -rect 9781 1989 9815 2023 -rect 10057 1989 10091 2023 -rect 15209 1989 15243 2023 -rect 2881 1921 2915 1955 -rect 3525 1921 3559 1955 -rect 3709 1921 3743 1955 -rect 4721 1921 4755 1955 -rect 5273 1921 5307 1955 -rect 5365 1921 5399 1955 +rect 11989 2397 12023 2431 +rect 15936 2397 15970 2431 +rect 17141 2397 17175 2431 +rect 17877 2397 17911 2431 +rect 18061 2397 18095 2431 +rect 18153 2397 18187 2431 +rect 11161 2329 11195 2363 +rect 11345 2329 11379 2363 +rect 11529 2329 11563 2363 +rect 11713 2329 11747 2363 +rect 13737 2329 13771 2363 +rect 15485 2329 15519 2363 +rect 18337 2329 18371 2363 +rect 3065 2261 3099 2295 +rect 3525 2261 3559 2295 +rect 5181 2261 5215 2295 +rect 5457 2261 5491 2295 +rect 7205 2261 7239 2295 +rect 8493 2261 8527 2295 +rect 11069 2261 11103 2295 +rect 11897 2261 11931 2295 +rect 18061 2261 18095 2295 +rect 2237 2057 2271 2091 +rect 2513 2057 2547 2091 +rect 2881 2057 2915 2091 +rect 2973 2057 3007 2091 +rect 3341 2057 3375 2091 +rect 3709 2057 3743 2091 +rect 7757 2057 7791 2091 +rect 8585 2057 8619 2091 +rect 11621 2057 11655 2091 +rect 13185 2057 13219 2091 +rect 13829 2057 13863 2091 +rect 14933 2057 14967 2091 +rect 18245 2057 18279 2091 +rect 3801 1989 3835 2023 +rect 4261 1989 4295 2023 +rect 8309 1989 8343 2023 +rect 9689 1989 9723 2023 +rect 17110 1989 17144 2023 +rect 1041 1921 1075 1955 +rect 2151 1921 2185 1955 +rect 2329 1921 2363 1955 +rect 4169 1921 4203 1955 +rect 4353 1921 4387 1955 +rect 4629 1921 4663 1955 +rect 5089 1921 5123 1955 rect 5457 1921 5491 1955 -rect 6561 1921 6595 1955 -rect 6745 1921 6779 1955 -rect 6837 1921 6871 1955 +rect 6009 1921 6043 1955 +rect 6653 1921 6687 1955 rect 7297 1921 7331 1955 -rect 7481 1921 7515 1955 +rect 7389 1921 7423 1955 rect 7573 1921 7607 1955 -rect 8953 1921 8987 1955 +rect 7849 1921 7883 1955 +rect 7941 1921 7975 1955 +rect 8125 1921 8159 1955 +rect 8217 1921 8251 1955 +rect 8401 1921 8435 1955 +rect 8493 1921 8527 1955 +rect 8677 1921 8711 1955 rect 9229 1921 9263 1955 -rect 9865 1911 9899 1945 -rect 9965 1921 9999 1955 +rect 9321 1921 9355 1955 rect 10149 1921 10183 1955 +rect 10885 1921 10919 1955 rect 11069 1921 11103 1955 +rect 11161 1921 11195 1955 +rect 11437 1921 11471 1955 rect 11713 1921 11747 1955 rect 11897 1921 11931 1955 -rect 12081 1921 12115 1955 -rect 12725 1921 12759 1955 -rect 13461 1921 13495 1955 -rect 13921 1921 13955 1955 -rect 14105 1921 14139 1955 -rect 14289 1921 14323 1955 +rect 13093 1921 13127 1955 +rect 14013 1921 14047 1955 +rect 14197 1921 14231 1955 rect 14841 1921 14875 1955 -rect 17233 1921 17267 1955 -rect 18245 1921 18279 1955 -rect 305 1853 339 1887 -rect 581 1853 615 1887 -rect 2513 1853 2547 1887 -rect 2789 1853 2823 1887 +rect 16221 1921 16255 1955 +rect 16313 1921 16347 1955 +rect 3157 1853 3191 1887 rect 3985 1853 4019 1887 -rect 10977 1853 11011 1887 -rect 12817 1853 12851 1887 -rect 13737 1853 13771 1887 -rect 14197 1853 14231 1887 -rect 14565 1853 14599 1887 -rect 14933 1853 14967 1887 -rect 17417 1853 17451 1887 -rect 4353 1785 4387 1819 -rect 8401 1785 8435 1819 -rect 14657 1785 14691 1819 -rect 16865 1785 16899 1819 -rect 2237 1717 2271 1751 -rect 3249 1717 3283 1751 -rect 4445 1717 4479 1751 -rect 4629 1717 4663 1751 -rect 5641 1717 5675 1751 -rect 8677 1717 8711 1751 -rect 11805 1717 11839 1751 -rect 14749 1717 14783 1751 -rect 10609 1513 10643 1547 -rect 14565 1513 14599 1547 +rect 5825 1853 5859 1887 +rect 6561 1853 6595 1887 +rect 7021 1853 7055 1887 +rect 9505 1853 9539 1887 +rect 11345 1853 11379 1887 +rect 13369 1853 13403 1887 +rect 14289 1853 14323 1887 +rect 15025 1853 15059 1887 +rect 16037 1853 16071 1887 +rect 16865 1853 16899 1887 +rect 4445 1785 4479 1819 +rect 6377 1785 6411 1819 +rect 9413 1785 9447 1819 +rect 857 1717 891 1751 +rect 7297 1717 7331 1751 +rect 7849 1717 7883 1751 +rect 10425 1717 10459 1751 +rect 12725 1717 12759 1751 +rect 14473 1717 14507 1751 +rect 16681 1717 16715 1751 +rect 3065 1513 3099 1547 +rect 5917 1513 5951 1547 +rect 10057 1513 10091 1547 rect 14749 1513 14783 1547 -rect 3525 1445 3559 1479 -rect 7205 1445 7239 1479 -rect 7941 1445 7975 1479 -rect 2053 1377 2087 1411 -rect 3709 1377 3743 1411 -rect 3893 1377 3927 1411 -rect 3985 1377 4019 1411 -rect 5181 1377 5215 1411 -rect 8493 1377 8527 1411 -rect 15301 1377 15335 1411 -rect 1777 1309 1811 1343 -rect 4905 1309 4939 1343 -rect 5457 1309 5491 1343 -rect 5641 1309 5675 1343 +rect 5641 1445 5675 1479 +rect 5365 1377 5399 1411 +rect 857 1309 891 1343 +rect 1317 1309 1351 1343 +rect 3157 1309 3191 1343 +rect 4795 1309 4829 1343 +rect 5089 1309 5123 1343 +rect 6745 1377 6779 1411 +rect 7389 1377 7423 1411 +rect 7665 1377 7699 1411 +rect 9137 1377 9171 1411 +rect 11161 1377 11195 1411 +rect 12725 1377 12759 1411 +rect 15209 1377 15243 1411 +rect 15393 1377 15427 1411 +rect 5733 1309 5767 1343 rect 5917 1309 5951 1343 -rect 7021 1309 7055 1343 -rect 7205 1309 7239 1343 -rect 7481 1309 7515 1343 -rect 8861 1309 8895 1343 -rect 10287 1309 10321 1343 -rect 12633 1309 12667 1343 -rect 13369 1309 13403 1343 -rect 13553 1309 13587 1343 -rect 14473 1309 14507 1343 -rect 15117 1309 15151 1343 -rect 15209 1309 15243 1343 -rect 15761 1309 15795 1343 -rect 15945 1309 15979 1343 -rect 16037 1309 16071 1343 -rect 16405 1309 16439 1343 -rect 4537 1241 4571 1275 -rect 6837 1241 6871 1275 -rect 12357 1241 12391 1275 -rect 17877 1241 17911 1275 -rect 4353 1173 4387 1207 -rect 5365 1173 5399 1207 -rect 5825 1173 5859 1207 -rect 8217 1173 8251 1207 -rect 10885 1173 10919 1207 -rect 13369 1173 13403 1207 -rect 15853 1173 15887 1207 -rect 4307 969 4341 1003 -rect 4997 969 5031 1003 -rect 7113 969 7147 1003 -rect 7389 969 7423 1003 -rect 9413 969 9447 1003 -rect 11805 969 11839 1003 -rect 12265 969 12299 1003 -rect 14565 969 14599 1003 -rect 16681 969 16715 1003 -rect 17049 969 17083 1003 -rect 17509 969 17543 1003 -rect 18337 969 18371 1003 -rect 9137 901 9171 935 -rect 11529 901 11563 935 -rect 15025 901 15059 935 -rect 2513 833 2547 867 -rect 4629 833 4663 867 -rect 4721 833 4755 867 +rect 6469 1309 6503 1343 +rect 7297 1309 7331 1343 +rect 8033 1309 8067 1343 +rect 8125 1309 8159 1343 +rect 8309 1309 8343 1343 +rect 9873 1309 9907 1343 +rect 10149 1309 10183 1343 +rect 10333 1309 10367 1343 +rect 10517 1309 10551 1343 +rect 11253 1309 11287 1343 +rect 11437 1309 11471 1343 +rect 13277 1309 13311 1343 +rect 13461 1309 13495 1343 +rect 14013 1309 14047 1343 +rect 14197 1309 14231 1343 +rect 14289 1309 14323 1343 +rect 16129 1309 16163 1343 +rect 1593 1241 1627 1275 +rect 3433 1241 3467 1275 +rect 5273 1241 5307 1275 +rect 5641 1241 5675 1275 +rect 10425 1241 10459 1275 +rect 10977 1241 11011 1275 +rect 12541 1241 12575 1275 +rect 16405 1241 16439 1275 +rect 581 1173 615 1207 +rect 3801 1173 3835 1207 +rect 6101 1173 6135 1207 +rect 6561 1173 6595 1207 +rect 6929 1173 6963 1207 +rect 8493 1173 8527 1207 +rect 8861 1173 8895 1207 +rect 8953 1173 8987 1207 +rect 9413 1173 9447 1207 +rect 11253 1173 11287 1207 +rect 12173 1173 12207 1207 +rect 12633 1173 12667 1207 +rect 13277 1173 13311 1207 +rect 14013 1173 14047 1207 +rect 14565 1173 14599 1207 +rect 15117 1173 15151 1207 +rect 16037 1173 16071 1207 +rect 17877 1173 17911 1207 +rect 2881 969 2915 1003 +rect 2973 969 3007 1003 +rect 3341 969 3375 1003 +rect 3709 969 3743 1003 +rect 6837 969 6871 1003 +rect 13921 969 13955 1003 +rect 16405 969 16439 1003 +rect 16865 969 16899 1003 +rect 17325 969 17359 1003 +rect 2191 901 2225 935 +rect 8861 901 8895 935 +rect 12173 901 12207 935 +rect 12909 901 12943 935 +rect 397 833 431 867 +rect 765 833 799 867 rect 4905 833 4939 867 -rect 5089 833 5123 867 -rect 5365 833 5399 867 -rect 7297 833 7331 867 -rect 7481 833 7515 867 -rect 7573 833 7607 867 -rect 7757 833 7791 867 -rect 8217 833 8251 867 -rect 8493 833 8527 867 -rect 8769 833 8803 867 -rect 9045 833 9079 867 -rect 9321 833 9355 867 -rect 9965 833 9999 867 -rect 10149 833 10183 867 -rect 10425 833 10459 867 -rect 11713 833 11747 867 -rect 11805 833 11839 867 +rect 7021 833 7055 867 +rect 9137 833 9171 867 +rect 9229 833 9263 867 +rect 9873 833 9907 867 rect 12081 833 12115 867 rect 12265 833 12299 867 -rect 12541 833 12575 867 -rect 13001 833 13035 867 +rect 12449 833 12483 867 +rect 12817 833 12851 867 rect 13185 833 13219 867 -rect 13737 833 13771 867 -rect 17417 833 17451 867 +rect 13277 833 13311 867 +rect 13369 833 13403 867 +rect 13553 833 13587 867 +rect 13645 833 13679 867 +rect 14013 833 14047 867 +rect 14197 833 14231 867 +rect 14473 833 14507 867 +rect 16589 833 16623 867 +rect 16681 833 16715 867 +rect 17233 833 17267 867 rect 18245 833 18279 867 -rect 18521 833 18555 867 -rect 2881 765 2915 799 -rect 4445 765 4479 799 -rect 5641 765 5675 799 -rect 12633 765 12667 799 -rect 14289 765 14323 799 -rect 14749 765 14783 799 -rect 17601 765 17635 799 -rect 4537 697 4571 731 -rect 7757 697 7791 731 -rect 8033 697 8067 731 -rect 8585 697 8619 731 -rect 9689 697 9723 731 -rect 10333 697 10367 731 -rect 12909 697 12943 731 -rect 2329 629 2363 663 -rect 5273 629 5307 663 +rect 3157 765 3191 799 +rect 3801 765 3835 799 +rect 3985 765 4019 799 +rect 5273 765 5307 799 +rect 7389 765 7423 799 +rect 9781 765 9815 799 +rect 10149 765 10183 799 +rect 6699 697 6733 731 +rect 13461 697 13495 731 +rect 14105 765 14139 799 +rect 14841 765 14875 799 +rect 16405 765 16439 799 +rect 17417 765 17451 799 +rect 18521 765 18555 799 +rect 2513 629 2547 663 +rect 4721 629 4755 663 rect 9229 629 9263 663 -rect 9965 629 9999 663 -rect 16497 629 16531 663 -rect 5549 425 5583 459 -rect 6193 425 6227 459 -rect 9137 425 9171 459 -rect 13461 425 13495 459 -rect 13553 425 13587 459 -rect 15669 425 15703 459 -rect 16221 425 16255 459 -rect 17325 425 17359 459 -rect 5457 357 5491 391 -rect 12265 357 12299 391 -rect 15945 357 15979 391 -rect 16957 289 16991 323 -rect 5365 221 5399 255 -rect 5917 221 5951 255 -rect 6101 221 6135 255 -rect 6285 221 6319 255 -rect 9137 221 9171 255 -rect 9229 221 9263 255 -rect 12081 221 12115 255 +rect 11621 629 11655 663 +rect 13645 629 13679 663 +rect 16267 629 16301 663 +rect 1501 425 1535 459 +rect 2605 425 2639 459 +rect 3433 425 3467 459 +rect 4905 425 4939 459 +rect 6377 425 6411 459 +rect 7297 425 7331 459 +rect 7573 425 7607 459 +rect 8493 425 8527 459 +rect 10609 425 10643 459 +rect 12725 425 12759 459 +rect 15025 425 15059 459 +rect 16957 425 16991 459 +rect 17233 425 17267 459 +rect 18521 425 18555 459 +rect 13001 357 13035 391 +rect 3249 289 3283 323 +rect 5089 289 5123 323 +rect 5181 289 5215 323 +rect 6837 289 6871 323 +rect 7021 289 7055 323 +rect 9045 289 9079 323 +rect 12449 289 12483 323 +rect 14841 289 14875 323 +rect 1317 221 1351 255 +rect 3157 221 3191 255 +rect 5549 221 5583 255 +rect 8861 221 8895 255 +rect 8953 221 8987 255 +rect 10517 221 10551 255 +rect 10701 221 10735 255 +rect 10885 221 10919 255 +rect 11161 221 11195 255 rect 12357 221 12391 255 -rect 13277 221 13311 255 -rect 13461 221 13495 255 -rect 13553 221 13587 255 -rect 13737 221 13771 255 -rect 15853 221 15887 255 -rect 16037 221 16071 255 -rect 16221 221 16255 255 +rect 12817 221 12851 255 +rect 13001 221 13035 255 +rect 14749 221 14783 255 +rect 16865 221 16899 255 rect 17049 221 17083 255 -rect 9413 153 9447 187 -rect 12173 153 12207 187 -rect 5825 85 5859 119 +rect 17325 221 17359 255 +rect 6745 153 6779 187 +rect 10977 153 11011 187 +rect 10885 85 10919 119 << metal1 >> rect 0 10906 18860 10928 rect 0 10854 4660 10906 @@ -1053,254 +1116,219 @@ rect 7156 10752 7162 10764 rect 7285 10761 7297 10764 rect 7331 10761 7343 10795 rect 7285 10755 7343 10761 -rect 7484 10764 9904 10792 -rect 290 10616 296 10668 -rect 348 10656 354 10668 -rect 1489 10659 1547 10665 -rect 1489 10656 1501 10659 -rect 348 10628 1501 10656 -rect 348 10616 354 10628 -rect 1489 10625 1501 10628 -rect 1535 10625 1547 10659 -rect 1489 10619 1547 10625 -rect 3881 10659 3939 10665 -rect 3881 10625 3893 10659 -rect 3927 10656 3939 10659 -rect 4154 10656 4160 10668 -rect 3927 10628 4160 10656 -rect 3927 10625 3939 10628 -rect 3881 10619 3939 10625 -rect 4154 10616 4160 10628 -rect 4212 10616 4218 10668 -rect 7484 10665 7512 10764 -rect 7837 10727 7895 10733 -rect 7837 10693 7849 10727 -rect 7883 10724 7895 10727 -rect 9033 10727 9091 10733 -rect 9033 10724 9045 10727 -rect 7883 10696 9045 10724 -rect 7883 10693 7895 10696 -rect 7837 10687 7895 10693 -rect 9033 10693 9045 10696 -rect 9079 10693 9091 10727 -rect 9876 10724 9904 10764 +rect 8846 10752 8852 10804 +rect 8904 10792 8910 10804 +rect 8904 10764 9168 10792 +rect 8904 10752 8910 10764 +rect 9140 10733 9168 10764 rect 9950 10752 9956 10804 rect 10008 10792 10014 10804 -rect 10413 10795 10471 10801 -rect 10413 10792 10425 10795 -rect 10008 10764 10425 10792 +rect 10045 10795 10103 10801 +rect 10045 10792 10057 10795 +rect 10008 10764 10057 10792 rect 10008 10752 10014 10764 -rect 10413 10761 10425 10764 -rect 10459 10761 10471 10795 -rect 12069 10795 12127 10801 -rect 12069 10792 12081 10795 -rect 10413 10755 10471 10761 -rect 10520 10764 12081 10792 -rect 10520 10724 10548 10764 -rect 12069 10761 12081 10764 -rect 12115 10792 12127 10795 -rect 12115 10764 12434 10792 -rect 12115 10761 12127 10764 -rect 12069 10755 12127 10761 -rect 11330 10724 11336 10736 -rect 9876 10696 10548 10724 -rect 10612 10696 11336 10724 +rect 10045 10761 10057 10764 +rect 10091 10761 10103 10795 +rect 10045 10755 10103 10761 +rect 12802 10752 12808 10804 +rect 12860 10792 12866 10804 +rect 13909 10795 13967 10801 +rect 13909 10792 13921 10795 +rect 12860 10764 13921 10792 +rect 12860 10752 12866 10764 +rect 13909 10761 13921 10764 +rect 13955 10761 13967 10795 +rect 13909 10755 13967 10761 +rect 18325 10795 18383 10801 +rect 18325 10761 18337 10795 +rect 18371 10761 18383 10795 +rect 18325 10755 18383 10761 +rect 8297 10727 8355 10733 +rect 8297 10693 8309 10727 +rect 8343 10724 8355 10727 +rect 9033 10727 9091 10733 +rect 9033 10724 9045 10727 +rect 8343 10696 9045 10724 +rect 8343 10693 8355 10696 +rect 8297 10687 8355 10693 +rect 9033 10693 9045 10696 +rect 9079 10693 9091 10727 rect 9033 10687 9091 10693 +rect 9125 10727 9183 10733 +rect 9125 10693 9137 10727 +rect 9171 10724 9183 10727 +rect 10870 10724 10876 10736 +rect 9171 10696 10876 10724 +rect 9171 10693 9183 10696 +rect 9125 10687 9183 10693 +rect 10870 10684 10876 10696 +rect 10928 10684 10934 10736 +rect 12345 10727 12403 10733 +rect 12345 10693 12357 10727 +rect 12391 10724 12403 10727 +rect 13078 10724 13084 10736 +rect 12391 10696 13084 10724 +rect 12391 10693 12403 10696 +rect 12345 10687 12403 10693 +rect 13078 10684 13084 10696 +rect 13136 10684 13142 10736 +rect 18141 10727 18199 10733 +rect 18141 10724 18153 10727 +rect 14108 10696 18153 10724 +rect 1949 10659 2007 10665 +rect 1949 10625 1961 10659 +rect 1995 10625 2007 10659 +rect 2130 10656 2136 10668 +rect 2091 10628 2136 10656 +rect 1949 10619 2007 10625 +rect 1964 10588 1992 10619 +rect 2130 10616 2136 10628 +rect 2188 10616 2194 10668 +rect 3510 10616 3516 10668 +rect 3568 10656 3574 10668 +rect 3881 10659 3939 10665 +rect 3881 10656 3893 10659 +rect 3568 10628 3893 10656 +rect 3568 10616 3574 10628 +rect 3881 10625 3893 10628 +rect 3927 10625 3939 10659 +rect 3881 10619 3939 10625 +rect 6086 10616 6092 10668 +rect 6144 10656 6150 10668 +rect 6273 10659 6331 10665 +rect 6273 10656 6285 10659 +rect 6144 10628 6285 10656 +rect 6144 10616 6150 10628 +rect 6273 10625 6285 10628 +rect 6319 10625 6331 10659 +rect 6273 10619 6331 10625 rect 7469 10659 7527 10665 rect 7469 10625 7481 10659 rect 7515 10625 7527 10659 -rect 7650 10656 7656 10668 -rect 7611 10628 7656 10656 rect 7469 10619 7527 10625 -rect 7650 10616 7656 10628 -rect 7708 10616 7714 10668 -rect 8849 10659 8907 10665 -rect 8849 10625 8861 10659 -rect 8895 10656 8907 10659 -rect 8938 10656 8944 10668 -rect 8895 10628 8944 10656 -rect 8895 10625 8907 10628 -rect 8849 10619 8907 10625 -rect 8938 10616 8944 10628 -rect 8996 10656 9002 10668 -rect 10612 10665 10640 10696 -rect 11330 10684 11336 10696 -rect 11388 10684 11394 10736 -rect 12406 10724 12434 10764 -rect 12802 10752 12808 10804 -rect 12860 10792 12866 10804 -rect 14001 10795 14059 10801 -rect 14001 10792 14013 10795 -rect 12860 10764 14013 10792 -rect 12860 10752 12866 10764 -rect 14001 10761 14013 10764 -rect 14047 10761 14059 10795 -rect 18141 10795 18199 10801 -rect 18141 10792 18153 10795 -rect 14001 10755 14059 10761 -rect 14200 10764 18153 10792 -rect 12406 10696 13768 10724 -rect 9677 10659 9735 10665 -rect 9677 10656 9689 10659 -rect 8996 10628 9689 10656 -rect 8996 10616 9002 10628 -rect 9677 10625 9689 10628 -rect 9723 10625 9735 10659 -rect 9677 10619 9735 10625 -rect 10597 10659 10655 10665 -rect 10597 10625 10609 10659 -rect 10643 10625 10655 10659 -rect 11238 10656 11244 10668 -rect 11199 10628 11244 10656 -rect 10597 10619 10655 10625 -rect 11238 10616 11244 10628 -rect 11296 10616 11302 10668 -rect 13740 10665 13768 10696 -rect 14200 10665 14228 10764 -rect 18141 10761 18153 10764 -rect 18187 10761 18199 10795 -rect 18141 10755 18199 10761 -rect 17865 10727 17923 10733 -rect 17865 10693 17877 10727 -rect 17911 10724 17923 10727 -rect 17911 10696 18552 10724 -rect 17911 10693 17923 10696 -rect 17865 10687 17923 10693 +rect 7929 10659 7987 10665 +rect 7929 10625 7941 10659 +rect 7975 10625 7987 10659 +rect 7929 10619 7987 10625 +rect 8113 10659 8171 10665 +rect 8113 10625 8125 10659 +rect 8159 10656 8171 10659 +rect 10229 10659 10287 10665 +rect 8159 10628 10180 10656 +rect 8159 10625 8171 10628 +rect 8113 10619 8171 10625 +rect 2038 10588 2044 10600 +rect 1964 10560 2044 10588 +rect 2038 10548 2044 10560 +rect 2096 10548 2102 10600 +rect 3786 10588 3792 10600 +rect 3747 10560 3792 10588 +rect 3786 10548 3792 10560 +rect 3844 10548 3850 10600 +rect 7484 10520 7512 10619 +rect 7944 10588 7972 10619 +rect 8938 10588 8944 10600 +rect 7944 10560 8616 10588 +rect 8899 10560 8944 10588 +rect 8588 10529 8616 10560 +rect 8938 10548 8944 10560 +rect 8996 10548 9002 10600 +rect 10152 10588 10180 10628 +rect 10229 10625 10241 10659 +rect 10275 10656 10287 10659 +rect 11882 10656 11888 10668 +rect 10275 10628 11888 10656 +rect 10275 10625 10287 10628 +rect 10229 10619 10287 10625 +rect 11882 10616 11888 10628 +rect 11940 10616 11946 10668 rect 12437 10659 12495 10665 -rect 12437 10656 12449 10659 -rect 11624 10628 12449 10656 -rect 3510 10548 3516 10600 -rect 3568 10588 3574 10600 -rect 3789 10591 3847 10597 -rect 3789 10588 3801 10591 -rect 3568 10560 3801 10588 -rect 3568 10548 3574 10560 -rect 3789 10557 3801 10560 -rect 3835 10557 3847 10591 -rect 8754 10588 8760 10600 -rect 3789 10551 3847 10557 -rect 8312 10560 8760 10588 -rect 2682 10480 2688 10532 -rect 2740 10520 2746 10532 -rect 7006 10520 7012 10532 -rect 2740 10480 2774 10520 -rect 1302 10412 1308 10464 -rect 1360 10452 1366 10464 -rect 1489 10455 1547 10461 -rect 1489 10452 1501 10455 -rect 1360 10424 1501 10452 -rect 1360 10412 1366 10424 -rect 1489 10421 1501 10424 -rect 1535 10421 1547 10455 -rect 2746 10452 2774 10480 -rect 3252 10492 7012 10520 -rect 3252 10461 3280 10492 -rect 7006 10480 7012 10492 -rect 7064 10520 7070 10532 -rect 8312 10529 8340 10560 -rect 8754 10548 8760 10560 -rect 8812 10588 8818 10600 -rect 9125 10591 9183 10597 -rect 9125 10588 9137 10591 -rect 8812 10560 9137 10588 -rect 8812 10548 8818 10560 -rect 9125 10557 9137 10560 -rect 9171 10557 9183 10591 -rect 11054 10588 11060 10600 -rect 11015 10560 11060 10588 -rect 9125 10551 9183 10557 -rect 11054 10548 11060 10560 -rect 11112 10548 11118 10600 -rect 11149 10591 11207 10597 -rect 11149 10557 11161 10591 -rect 11195 10557 11207 10591 -rect 11149 10551 11207 10557 -rect 8297 10523 8355 10529 -rect 8297 10520 8309 10523 -rect 7064 10492 8309 10520 -rect 7064 10480 7070 10492 -rect 8297 10489 8309 10492 -rect 8343 10489 8355 10523 -rect 8297 10483 8355 10489 -rect 10686 10480 10692 10532 -rect 10744 10520 10750 10532 -rect 11164 10520 11192 10551 -rect 10744 10492 11192 10520 -rect 10744 10480 10750 10492 -rect 3237 10455 3295 10461 -rect 3237 10452 3249 10455 -rect 2746 10424 3249 10452 -rect 1489 10415 1547 10421 -rect 3237 10421 3249 10424 -rect 3283 10421 3295 10455 -rect 3237 10415 3295 10421 -rect 4249 10455 4307 10461 -rect 4249 10421 4261 10455 -rect 4295 10452 4307 10455 -rect 4430 10452 4436 10464 -rect 4295 10424 4436 10452 -rect 4295 10421 4307 10424 -rect 4249 10415 4307 10421 -rect 4430 10412 4436 10424 -rect 4488 10412 4494 10464 -rect 8573 10455 8631 10461 -rect 8573 10421 8585 10455 -rect 8619 10452 8631 10455 -rect 8662 10452 8668 10464 -rect 8619 10424 8668 10452 -rect 8619 10421 8631 10424 -rect 8573 10415 8631 10421 -rect 8662 10412 8668 10424 -rect 8720 10412 8726 10464 -rect 9214 10412 9220 10464 -rect 9272 10452 9278 10464 -rect 10321 10455 10379 10461 -rect 10321 10452 10333 10455 -rect 9272 10424 10333 10452 -rect 9272 10412 9278 10424 -rect 10321 10421 10333 10424 -rect 10367 10421 10379 10455 -rect 10321 10415 10379 10421 -rect 11514 10412 11520 10464 -rect 11572 10452 11578 10464 -rect 11624 10461 11652 10628 -rect 12437 10625 12449 10628 -rect 12483 10625 12495 10659 +rect 12437 10625 12449 10659 +rect 12483 10656 12495 10659 +rect 12894 10656 12900 10668 +rect 12483 10628 12900 10656 +rect 12483 10625 12495 10628 rect 12437 10619 12495 10625 +rect 12894 10616 12900 10628 +rect 12952 10616 12958 10668 +rect 14108 10665 14136 10696 +rect 18141 10693 18153 10696 +rect 18187 10693 18199 10727 +rect 18141 10687 18199 10693 rect 13725 10659 13783 10665 rect 13725 10625 13737 10659 rect 13771 10625 13783 10659 rect 13725 10619 13783 10625 -rect 14185 10659 14243 10665 -rect 14185 10625 14197 10659 -rect 14231 10625 14243 10659 -rect 14185 10619 14243 10625 -rect 15105 10659 15163 10665 -rect 15105 10625 15117 10659 -rect 15151 10625 15163 10659 -rect 15105 10619 15163 10625 -rect 12529 10591 12587 10597 -rect 12529 10557 12541 10591 -rect 12575 10557 12587 10591 -rect 12529 10551 12587 10557 -rect 12713 10591 12771 10597 -rect 12713 10557 12725 10591 -rect 12759 10588 12771 10591 +rect 14093 10659 14151 10665 +rect 14093 10625 14105 10659 +rect 14139 10625 14151 10659 +rect 14093 10619 14151 10625 +rect 15013 10659 15071 10665 +rect 15013 10625 15025 10659 +rect 15059 10625 15071 10659 +rect 15013 10619 15071 10625 +rect 11238 10588 11244 10600 +rect 10152 10560 11244 10588 +rect 11238 10548 11244 10560 +rect 11296 10548 11302 10600 +rect 12253 10591 12311 10597 +rect 12253 10557 12265 10591 +rect 12299 10557 12311 10591 rect 12802 10588 12808 10600 -rect 12759 10560 12808 10588 -rect 12759 10557 12771 10560 -rect 12713 10551 12771 10557 -rect 12544 10520 12572 10551 +rect 12253 10551 12311 10557 +rect 12406 10560 12808 10588 +rect 8573 10523 8631 10529 +rect 7484 10492 8524 10520 +rect 1946 10412 1952 10464 +rect 2004 10452 2010 10464 +rect 2041 10455 2099 10461 +rect 2041 10452 2053 10455 +rect 2004 10424 2053 10452 +rect 2004 10412 2010 10424 +rect 2041 10421 2053 10424 +rect 2087 10421 2099 10455 +rect 2041 10415 2099 10421 +rect 4249 10455 4307 10461 +rect 4249 10421 4261 10455 +rect 4295 10452 4307 10455 +rect 5258 10452 5264 10464 +rect 4295 10424 5264 10452 +rect 4295 10421 4307 10424 +rect 4249 10415 4307 10421 +rect 5258 10412 5264 10424 +rect 5316 10412 5322 10464 +rect 5994 10412 6000 10464 +rect 6052 10452 6058 10464 +rect 6273 10455 6331 10461 +rect 6273 10452 6285 10455 +rect 6052 10424 6285 10452 +rect 6052 10412 6058 10424 +rect 6273 10421 6285 10424 +rect 6319 10421 6331 10455 +rect 6273 10415 6331 10421 +rect 7650 10412 7656 10464 +rect 7708 10452 7714 10464 +rect 7745 10455 7803 10461 +rect 7745 10452 7757 10455 +rect 7708 10424 7757 10452 +rect 7708 10412 7714 10424 +rect 7745 10421 7757 10424 +rect 7791 10421 7803 10455 +rect 8496 10452 8524 10492 +rect 8573 10489 8585 10523 +rect 8619 10489 8631 10523 +rect 12268 10520 12296 10551 +rect 12406 10520 12434 10560 rect 12802 10548 12808 10560 rect 12860 10548 12866 10600 rect 13740 10588 13768 10619 -rect 15120 10588 15148 10619 -rect 15194 10616 15200 10668 -rect 15252 10656 15258 10668 +rect 15028 10588 15056 10619 +rect 15102 10616 15108 10668 +rect 15160 10656 15166 10668 rect 15657 10659 15715 10665 rect 15657 10656 15669 10659 -rect 15252 10628 15669 10656 -rect 15252 10616 15258 10628 +rect 15160 10628 15669 10656 +rect 15160 10616 15166 10628 rect 15657 10625 15669 10628 rect 15703 10625 15715 10659 rect 15657 10619 15715 10625 @@ -1313,76 +1341,70 @@ rect 16945 10619 17003 10625 rect 16960 10588 16988 10619 rect 18046 10616 18052 10628 rect 18104 10616 18110 10668 -rect 18524 10665 18552 10696 rect 18233 10659 18291 10665 rect 18233 10625 18245 10659 rect 18279 10656 18291 10659 -rect 18509 10659 18567 10665 +rect 18340 10656 18368 10755 rect 18279 10628 18368 10656 +rect 18509 10659 18567 10665 rect 18279 10625 18291 10628 rect 18233 10619 18291 10625 -rect 13740 10560 16988 10588 -rect 13170 10520 13176 10532 -rect 12544 10492 13176 10520 -rect 13170 10480 13176 10492 -rect 13228 10480 13234 10532 -rect 13265 10523 13323 10529 -rect 13265 10489 13277 10523 -rect 13311 10520 13323 10523 -rect 14366 10520 14372 10532 -rect 13311 10492 14372 10520 -rect 13311 10489 13323 10492 -rect 13265 10483 13323 10489 -rect 14366 10480 14372 10492 -rect 14424 10480 14430 10532 -rect 18340 10529 18368 10628 rect 18509 10625 18521 10659 rect 18555 10656 18567 10659 rect 18782 10656 18788 10668 rect 18555 10628 18788 10656 rect 18555 10625 18567 10628 rect 18509 10619 18567 10625 +rect 13740 10560 16988 10588 +rect 17865 10591 17923 10597 +rect 13740 10520 13768 10560 +rect 17865 10557 17877 10591 +rect 17911 10588 17923 10591 +rect 18524 10588 18552 10619 rect 18782 10616 18788 10628 rect 18840 10616 18846 10668 -rect 18325 10523 18383 10529 -rect 18325 10489 18337 10523 -rect 18371 10489 18383 10523 -rect 18325 10483 18383 10489 -rect 11609 10455 11667 10461 -rect 11609 10452 11621 10455 -rect 11572 10424 11621 10452 -rect 11572 10412 11578 10424 -rect 11609 10421 11621 10424 -rect 11655 10421 11667 10455 -rect 11609 10415 11667 10421 -rect 13354 10412 13360 10464 -rect 13412 10452 13418 10464 -rect 13541 10455 13599 10461 -rect 13541 10452 13553 10455 -rect 13412 10424 13553 10452 -rect 13412 10412 13418 10424 -rect 13541 10421 13553 10424 -rect 13587 10421 13599 10455 +rect 17911 10560 18552 10588 +rect 17911 10557 17923 10560 +rect 17865 10551 17923 10557 +rect 12268 10492 12434 10520 +rect 12820 10492 13768 10520 +rect 8573 10483 8631 10489 +rect 12820 10461 12848 10492 +rect 12805 10455 12863 10461 +rect 12805 10452 12817 10455 +rect 8496 10424 12817 10452 +rect 7745 10415 7803 10421 +rect 12805 10421 12817 10424 +rect 12851 10421 12863 10455 +rect 12805 10415 12863 10421 +rect 13262 10412 13268 10464 +rect 13320 10452 13326 10464 +rect 13449 10455 13507 10461 +rect 13449 10452 13461 10455 +rect 13320 10424 13461 10452 +rect 13320 10412 13326 10424 +rect 13449 10421 13461 10424 +rect 13495 10421 13507 10455 rect 14458 10452 14464 10464 rect 14419 10424 14464 10452 -rect 13541 10415 13599 10421 +rect 13449 10415 13507 10421 rect 14458 10412 14464 10424 rect 14516 10412 14522 10464 -rect 14826 10412 14832 10464 -rect 14884 10452 14890 10464 -rect 15013 10455 15071 10461 -rect 15013 10452 15025 10455 -rect 14884 10424 15025 10452 -rect 14884 10412 14890 10424 -rect 15013 10421 15025 10424 -rect 15059 10421 15071 10455 -rect 15013 10415 15071 10421 -rect 15286 10412 15292 10464 -rect 15344 10452 15350 10464 +rect 14734 10412 14740 10464 +rect 14792 10452 14798 10464 +rect 14921 10455 14979 10461 +rect 14921 10452 14933 10455 +rect 14792 10424 14933 10452 +rect 14792 10412 14798 10424 +rect 14921 10421 14933 10424 +rect 14967 10421 14979 10455 +rect 14921 10415 14979 10421 +rect 15194 10412 15200 10464 +rect 15252 10452 15258 10464 rect 16301 10455 16359 10461 rect 16301 10452 16313 10455 -rect 15344 10424 16313 10452 -rect 15344 10412 15350 10424 +rect 15252 10424 16313 10452 +rect 15252 10412 15258 10424 rect 16301 10421 16313 10424 rect 16347 10421 16359 10455 rect 16942 10452 16948 10464 @@ -1418,69 +1440,130 @@ rect 15690 10310 15702 10362 rect 15754 10310 15766 10362 rect 15818 10310 18860 10362 rect 0 10288 18860 10310 +rect 3421 10251 3479 10257 +rect 3421 10217 3433 10251 +rect 3467 10248 3479 10251 rect 3510 10248 3516 10260 -rect 3471 10220 3516 10248 +rect 3467 10220 3516 10248 +rect 3467 10217 3479 10220 +rect 3421 10211 3479 10217 rect 3510 10208 3516 10220 rect 3568 10208 3574 10260 -rect 7650 10208 7656 10260 -rect 7708 10248 7714 10260 -rect 7708 10220 10824 10248 -rect 7708 10208 7714 10220 -rect 4338 10180 4344 10192 -rect 3712 10152 4344 10180 -rect 1302 10112 1308 10124 -rect 1263 10084 1308 10112 -rect 1302 10072 1308 10084 -rect 1360 10072 1366 10124 +rect 3786 10208 3792 10260 +rect 3844 10248 3850 10260 +rect 3881 10251 3939 10257 +rect 3881 10248 3893 10251 +rect 3844 10220 3893 10248 +rect 3844 10208 3850 10220 +rect 3881 10217 3893 10220 +rect 3927 10217 3939 10251 +rect 3881 10211 3939 10217 +rect 6914 10208 6920 10260 +rect 6972 10248 6978 10260 +rect 7883 10251 7941 10257 +rect 7883 10248 7895 10251 +rect 6972 10220 7895 10248 +rect 6972 10208 6978 10220 +rect 7883 10217 7895 10220 +rect 7929 10248 7941 10251 +rect 12621 10251 12679 10257 +rect 7929 10220 12434 10248 +rect 7929 10217 7941 10220 +rect 7883 10211 7941 10217 +rect 8573 10183 8631 10189 +rect 8573 10149 8585 10183 +rect 8619 10180 8631 10183 +rect 8846 10180 8852 10192 +rect 8619 10152 8852 10180 +rect 8619 10149 8631 10152 +rect 8573 10143 8631 10149 rect 1673 10115 1731 10121 -rect 1673 10081 1685 10115 -rect 1719 10112 1731 10115 -rect 2590 10112 2596 10124 -rect 1719 10084 2596 10112 -rect 1719 10081 1731 10084 +rect 1673 10112 1685 10115 +rect 492 10084 1685 10112 +rect 290 10004 296 10056 +rect 348 10044 354 10056 +rect 492 10053 520 10084 +rect 1673 10081 1685 10084 +rect 1719 10081 1731 10115 +rect 1946 10112 1952 10124 +rect 1907 10084 1952 10112 rect 1673 10075 1731 10081 -rect 2590 10072 2596 10084 -rect 2648 10072 2654 10124 -rect 3712 10112 3740 10152 -rect 4338 10140 4344 10152 -rect 4396 10180 4402 10192 -rect 4890 10180 4896 10192 -rect 4396 10152 4896 10180 -rect 4396 10140 4402 10152 -rect 4890 10140 4896 10152 -rect 4948 10140 4954 10192 -rect 8754 10180 8760 10192 -rect 8715 10152 8760 10180 -rect 8754 10140 8760 10152 -rect 8812 10140 8818 10192 -rect 10686 10180 10692 10192 -rect 10647 10152 10692 10180 -rect 10686 10140 10692 10152 -rect 10744 10140 10750 10192 -rect 10796 10180 10824 10220 -rect 11238 10208 11244 10260 -rect 11296 10248 11302 10260 -rect 12805 10251 12863 10257 -rect 12805 10248 12817 10251 -rect 11296 10220 12817 10248 -rect 11296 10208 11302 10220 -rect 12805 10217 12817 10220 -rect 12851 10217 12863 10251 -rect 12805 10211 12863 10217 -rect 13170 10208 13176 10260 -rect 13228 10248 13234 10260 -rect 14918 10248 14924 10260 -rect 13228 10220 14924 10248 -rect 13228 10208 13234 10220 -rect 14918 10208 14924 10220 -rect 14976 10208 14982 10260 -rect 15194 10257 15200 10260 -rect 15151 10251 15200 10257 -rect 15151 10217 15163 10251 -rect 15197 10217 15200 10251 -rect 15151 10211 15200 10217 -rect 15194 10208 15200 10211 -rect 15252 10208 15258 10260 +rect 1946 10072 1952 10084 +rect 2004 10072 2010 10124 +rect 5994 10072 6000 10124 +rect 6052 10112 6058 10124 +rect 6089 10115 6147 10121 +rect 6089 10112 6101 10115 +rect 6052 10084 6101 10112 +rect 6052 10072 6058 10084 +rect 6089 10081 6101 10084 +rect 6135 10081 6147 10115 +rect 6089 10075 6147 10081 +rect 477 10047 535 10053 +rect 477 10044 489 10047 +rect 348 10016 489 10044 +rect 348 10004 354 10016 +rect 477 10013 489 10016 +rect 523 10013 535 10047 +rect 934 10044 940 10056 +rect 895 10016 940 10044 +rect 477 10007 535 10013 +rect 934 10004 940 10016 +rect 992 10004 998 10056 +rect 1121 10047 1179 10053 +rect 1121 10013 1133 10047 +rect 1167 10013 1179 10047 +rect 1121 10007 1179 10013 +rect 382 9976 388 9988 +rect 343 9948 388 9976 +rect 382 9936 388 9948 +rect 440 9936 446 9988 +rect 1136 9976 1164 10007 +rect 1210 10004 1216 10056 +rect 1268 10044 1274 10056 +rect 1305 10047 1363 10053 +rect 1305 10044 1317 10047 +rect 1268 10016 1317 10044 +rect 1268 10004 1274 10016 +rect 1305 10013 1317 10016 +rect 1351 10013 1363 10047 +rect 3694 10044 3700 10056 +rect 3655 10016 3700 10044 +rect 1305 10007 1363 10013 +rect 3694 10004 3700 10016 +rect 3752 10004 3758 10056 +rect 3878 10044 3884 10056 +rect 3839 10016 3884 10044 +rect 3878 10004 3884 10016 +rect 3936 10004 3942 10056 +rect 6454 10044 6460 10056 +rect 6415 10016 6460 10044 +rect 6454 10004 6460 10016 +rect 6512 10004 6518 10056 +rect 8588 10044 8616 10143 +rect 8846 10140 8852 10152 +rect 8904 10140 8910 10192 +rect 12406 10180 12434 10220 +rect 12621 10217 12633 10251 +rect 12667 10248 12679 10251 +rect 12802 10248 12808 10260 +rect 12667 10220 12808 10248 +rect 12667 10217 12679 10220 +rect 12621 10211 12679 10217 +rect 12802 10208 12808 10220 +rect 12860 10208 12866 10260 +rect 13814 10248 13820 10260 +rect 13096 10220 13820 10248 +rect 13096 10180 13124 10220 +rect 13814 10208 13820 10220 +rect 13872 10208 13878 10260 +rect 15102 10257 15108 10260 +rect 15059 10251 15108 10257 +rect 15059 10217 15071 10251 +rect 15105 10217 15108 10251 +rect 15059 10211 15108 10217 +rect 15102 10208 15108 10211 +rect 15160 10208 15166 10260 rect 17819 10251 17877 10257 rect 17819 10217 17831 10251 rect 17865 10248 17877 10251 @@ -1490,192 +1573,43 @@ rect 17865 10217 17877 10220 rect 17819 10211 17877 10217 rect 18046 10208 18052 10220 rect 18104 10208 18110 10260 -rect 12161 10183 12219 10189 -rect 12161 10180 12173 10183 -rect 10796 10152 12173 10180 -rect 12161 10149 12173 10152 -rect 12207 10180 12219 10183 -rect 12207 10152 12434 10180 -rect 12207 10149 12219 10152 -rect 12161 10143 12219 10149 -rect 2976 10084 3740 10112 -rect 2682 9936 2688 9988 -rect 2740 9936 2746 9988 -rect 2222 9868 2228 9920 -rect 2280 9908 2286 9920 -rect 2976 9908 3004 10084 -rect 3712 10053 3740 10084 -rect 4154 10072 4160 10124 -rect 4212 10112 4218 10124 -rect 4709 10115 4767 10121 -rect 4212 10084 4476 10112 -rect 4212 10072 4218 10084 -rect 4448 10053 4476 10084 -rect 4709 10081 4721 10115 -rect 4755 10112 4767 10115 -rect 4755 10084 5120 10112 -rect 4755 10081 4767 10084 -rect 4709 10075 4767 10081 -rect 3329 10047 3387 10053 -rect 3329 10013 3341 10047 -rect 3375 10013 3387 10047 -rect 3329 10007 3387 10013 -rect 3513 10047 3571 10053 -rect 3513 10013 3525 10047 -rect 3559 10044 3571 10047 -rect 3697 10047 3755 10053 -rect 3697 10044 3709 10047 -rect 3559 10016 3709 10044 -rect 3559 10013 3571 10016 -rect 3513 10007 3571 10013 -rect 3697 10013 3709 10016 -rect 3743 10013 3755 10047 -rect 3697 10007 3755 10013 -rect 4249 10047 4307 10053 -rect 4249 10013 4261 10047 -rect 4295 10013 4307 10047 -rect 4249 10007 4307 10013 -rect 4433 10047 4491 10053 -rect 4433 10013 4445 10047 -rect 4479 10044 4491 10047 -rect 4617 10047 4675 10053 -rect 4617 10044 4629 10047 -rect 4479 10016 4629 10044 -rect 4479 10013 4491 10016 -rect 4433 10007 4491 10013 -rect 4617 10013 4629 10016 -rect 4663 10013 4675 10047 -rect 4617 10007 4675 10013 -rect 4801 10047 4859 10053 -rect 4801 10013 4813 10047 -rect 4847 10013 4859 10047 -rect 4801 10007 4859 10013 -rect 3099 9979 3157 9985 -rect 3099 9945 3111 9979 -rect 3145 9976 3157 9979 -rect 3344 9976 3372 10007 -rect 4062 9976 4068 9988 -rect 3145 9948 4068 9976 -rect 3145 9945 3157 9948 -rect 3099 9939 3157 9945 -rect 4062 9936 4068 9948 -rect 4120 9976 4126 9988 -rect 4264 9976 4292 10007 -rect 4816 9976 4844 10007 -rect 4890 10004 4896 10056 -rect 4948 10044 4954 10056 -rect 5092 10053 5120 10084 -rect 5442 10072 5448 10124 -rect 5500 10112 5506 10124 -rect 5813 10115 5871 10121 -rect 5813 10112 5825 10115 -rect 5500 10084 5825 10112 -rect 5500 10072 5506 10084 -rect 5813 10081 5825 10084 -rect 5859 10112 5871 10115 -rect 6362 10112 6368 10124 -rect 5859 10084 6368 10112 -rect 5859 10081 5871 10084 -rect 5813 10075 5871 10081 -rect 6362 10072 6368 10084 -rect 6420 10072 6426 10124 -rect 5077 10047 5135 10053 -rect 4948 10016 4993 10044 -rect 4948 10004 4954 10016 -rect 5077 10013 5089 10047 -rect 5123 10013 5135 10047 -rect 5077 10007 5135 10013 -rect 5353 10047 5411 10053 -rect 5353 10013 5365 10047 -rect 5399 10013 5411 10047 -rect 5534 10044 5540 10056 -rect 5495 10016 5540 10044 -rect 5353 10007 5411 10013 -rect 4120 9948 4844 9976 -rect 4985 9979 5043 9985 -rect 4120 9936 4126 9948 -rect 4985 9945 4997 9979 -rect 5031 9976 5043 9979 -rect 5368 9976 5396 10007 -rect 5534 10004 5540 10016 -rect 5592 10004 5598 10056 -rect 6089 10047 6147 10053 -rect 6089 10013 6101 10047 -rect 6135 10044 6147 10047 -rect 6178 10044 6184 10056 -rect 6135 10016 6184 10044 -rect 6135 10013 6147 10016 -rect 6089 10007 6147 10013 -rect 6178 10004 6184 10016 -rect 6236 10004 6242 10056 -rect 6454 10044 6460 10056 -rect 6415 10016 6460 10044 -rect 6454 10004 6460 10016 -rect 6512 10004 6518 10056 -rect 8662 10044 8668 10056 -rect 8623 10016 8668 10044 -rect 8662 10004 8668 10016 -rect 8720 10004 8726 10056 -rect 5031 9948 5396 9976 -rect 5031 9945 5043 9948 -rect 4985 9939 5043 9945 -rect 7006 9936 7012 9988 -rect 7064 9936 7070 9988 -rect 2280 9880 3004 9908 -rect 4433 9911 4491 9917 -rect 2280 9868 2286 9880 -rect 4433 9877 4445 9911 -rect 4479 9908 4491 9911 -rect 4522 9908 4528 9920 -rect 4479 9880 4528 9908 -rect 4479 9877 4491 9880 -rect 4433 9871 4491 9877 -rect 4522 9868 4528 9880 -rect 4580 9868 4586 9920 -rect 5629 9911 5687 9917 -rect 5629 9877 5641 9911 -rect 5675 9908 5687 9911 -rect 5718 9908 5724 9920 -rect 5675 9880 5724 9908 -rect 5675 9877 5687 9880 -rect 5629 9871 5687 9877 -rect 5718 9868 5724 9880 -rect 5776 9868 5782 9920 -rect 7650 9868 7656 9920 -rect 7708 9908 7714 9920 -rect 7883 9911 7941 9917 -rect 7883 9908 7895 9911 -rect 7708 9880 7895 9908 -rect 7708 9868 7714 9880 -rect 7883 9877 7895 9880 -rect 7929 9877 7941 9911 -rect 8478 9908 8484 9920 -rect 8439 9880 8484 9908 -rect 7883 9871 7941 9877 -rect 8478 9868 8484 9880 -rect 8536 9868 8542 9920 -rect 8772 9908 8800 10140 +rect 12406 10152 13124 10180 rect 8941 10115 8999 10121 rect 8941 10081 8953 10115 rect 8987 10112 8999 10115 -rect 9950 10112 9956 10124 -rect 8987 10084 9956 10112 +rect 9214 10112 9220 10124 +rect 8987 10084 9220 10112 rect 8987 10081 8999 10084 rect 8941 10075 8999 10081 -rect 9950 10072 9956 10084 -rect 10008 10072 10014 10124 -rect 12406 10044 12434 10152 -rect 13354 10112 13360 10124 -rect 13315 10084 13360 10112 -rect 13354 10072 13360 10084 -rect 13412 10072 13418 10124 -rect 13725 10115 13783 10121 -rect 13725 10081 13737 10115 -rect 13771 10112 13783 10115 +rect 9214 10072 9220 10084 +rect 9272 10112 9278 10124 +rect 10873 10115 10931 10121 +rect 10873 10112 10885 10115 +rect 9272 10084 10885 10112 +rect 9272 10072 9278 10084 +rect 10873 10081 10885 10084 +rect 10919 10081 10931 10115 +rect 10873 10075 10931 10081 +rect 11149 10115 11207 10121 +rect 11149 10081 11161 10115 +rect 11195 10112 11207 10115 +rect 11514 10112 11520 10124 +rect 11195 10084 11520 10112 +rect 11195 10081 11207 10084 +rect 11149 10075 11207 10081 +rect 11514 10072 11520 10084 +rect 11572 10072 11578 10124 +rect 13262 10112 13268 10124 +rect 13223 10084 13268 10112 +rect 13262 10072 13268 10084 +rect 13320 10072 13326 10124 +rect 13633 10115 13691 10121 +rect 13633 10081 13645 10115 +rect 13679 10112 13691 10115 rect 14458 10112 14464 10124 -rect 13771 10084 14464 10112 -rect 13771 10081 13783 10084 -rect 13725 10075 13783 10081 +rect 13679 10084 14464 10112 +rect 13679 10081 13691 10084 +rect 13633 10075 13691 10081 rect 14458 10072 14464 10084 rect 14516 10072 14522 10124 rect 16025 10115 16083 10121 @@ -1687,13 +1621,9 @@ rect 16071 10081 16083 10084 rect 16025 10075 16083 10081 rect 16942 10072 16948 10084 rect 17000 10072 17006 10124 -rect 12897 10047 12955 10053 -rect 12897 10044 12909 10047 -rect 12406 10016 12909 10044 -rect 12897 10013 12909 10016 -rect 12943 10013 12955 10047 -rect 12897 10007 12955 10013 +rect 7484 10016 8616 10044 rect 16393 10047 16451 10053 +rect 7484 9988 7512 10016 rect 16393 10013 16405 10047 rect 16439 10044 16451 10047 rect 16482 10044 16488 10056 @@ -1718,47 +1648,106 @@ rect 18555 10013 18567 10016 rect 18509 10007 18567 10013 rect 18598 10004 18604 10016 rect 18656 10004 18662 10056 -rect 9214 9976 9220 9988 -rect 9175 9948 9220 9976 -rect 9214 9936 9220 9948 -rect 9272 9936 9278 9988 -rect 9674 9936 9680 9988 -rect 9732 9936 9738 9988 -rect 10873 9979 10931 9985 -rect 10873 9976 10885 9979 -rect 10520 9948 10885 9976 -rect 9692 9908 9720 9936 -rect 8772 9880 9720 9908 -rect 9858 9868 9864 9920 -rect 9916 9908 9922 9920 -rect 10520 9908 10548 9948 -rect 10873 9945 10885 9948 -rect 10919 9945 10931 9979 -rect 10873 9939 10931 9945 -rect 9916 9880 10548 9908 -rect 9916 9868 9922 9880 -rect 14366 9868 14372 9920 -rect 14424 9908 14430 9920 -rect 14752 9908 14780 9962 -rect 15749 9911 15807 9917 -rect 15749 9908 15761 9911 -rect 14424 9880 15761 9908 -rect 14424 9868 14430 9880 -rect 15749 9877 15761 9880 -rect 15795 9908 15807 9911 +rect 1397 9979 1455 9985 +rect 1136 9948 1348 9976 +rect 658 9868 664 9920 +rect 716 9908 722 9920 +rect 1320 9917 1348 9948 +rect 1397 9945 1409 9979 +rect 1443 9945 1455 9979 +rect 1578 9976 1584 9988 +rect 1539 9948 1584 9976 +rect 1397 9939 1455 9945 +rect 937 9911 995 9917 +rect 937 9908 949 9911 +rect 716 9880 949 9908 +rect 716 9868 722 9880 +rect 937 9877 949 9880 +rect 983 9877 995 9911 +rect 937 9871 995 9877 +rect 1305 9911 1363 9917 +rect 1305 9877 1317 9911 +rect 1351 9877 1363 9911 +rect 1412 9908 1440 9939 +rect 1578 9936 1584 9948 +rect 1636 9936 1642 9988 +rect 2590 9908 2596 9920 +rect 1412 9880 2596 9908 +rect 1305 9871 1363 9877 +rect 2590 9868 2596 9880 +rect 2648 9868 2654 9920 +rect 3160 9908 3188 9962 +rect 7466 9936 7472 9988 +rect 7524 9936 7530 9988 +rect 9217 9979 9275 9985 +rect 9217 9945 9229 9979 +rect 9263 9945 9275 9979 +rect 10870 9976 10876 9988 +rect 10442 9948 10876 9976 +rect 9217 9939 9275 9945 +rect 3602 9908 3608 9920 +rect 3160 9880 3608 9908 +rect 3602 9868 3608 9880 +rect 3660 9908 3666 9920 +rect 4065 9911 4123 9917 +rect 4065 9908 4077 9911 +rect 3660 9880 4077 9908 +rect 3660 9868 3666 9880 +rect 4065 9877 4077 9880 +rect 4111 9908 4123 9911 +rect 5905 9911 5963 9917 +rect 5905 9908 5917 9911 +rect 4111 9880 5917 9908 +rect 4111 9877 4123 9880 +rect 4065 9871 4123 9877 +rect 5905 9877 5917 9880 +rect 5951 9908 5963 9911 +rect 7484 9908 7512 9936 +rect 5951 9880 7512 9908 +rect 9232 9908 9260 9939 +rect 10226 9908 10232 9920 +rect 9232 9880 10232 9908 +rect 5951 9877 5963 9880 +rect 5905 9871 5963 9877 +rect 10226 9868 10232 9880 +rect 10284 9868 10290 9920 +rect 10686 9908 10692 9920 +rect 10647 9880 10692 9908 +rect 10686 9868 10692 9880 +rect 10744 9868 10750 9920 +rect 10796 9908 10824 9948 +rect 10870 9936 10876 9948 +rect 10928 9936 10934 9988 +rect 12360 9908 12388 9962 +rect 12434 9908 12440 9920 +rect 10796 9880 12440 9908 +rect 12434 9868 12440 9880 +rect 12492 9908 12498 9920 +rect 13081 9911 13139 9917 +rect 13081 9908 13093 9911 +rect 12492 9880 13093 9908 +rect 12492 9868 12498 9880 +rect 13081 9877 13093 9880 +rect 13127 9908 13139 9911 +rect 14660 9908 14688 9962 rect 15933 9911 15991 9917 rect 15933 9908 15945 9911 -rect 15795 9880 15945 9908 -rect 15795 9877 15807 9880 -rect 15749 9871 15807 9877 +rect 13127 9880 15945 9908 +rect 13127 9877 13139 9880 +rect 13081 9871 13139 9877 rect 15933 9877 15945 9880 rect 15979 9908 15991 9911 -rect 16776 9908 16804 9962 -rect 18322 9908 18328 9920 -rect 15979 9880 16804 9908 -rect 18283 9880 18328 9908 +rect 16114 9908 16120 9920 +rect 15979 9880 16120 9908 rect 15979 9877 15991 9880 rect 15933 9871 15991 9877 +rect 16114 9868 16120 9880 +rect 16172 9908 16178 9920 +rect 16776 9908 16804 9962 +rect 18322 9908 18328 9920 +rect 16172 9880 16804 9908 +rect 18283 9880 18328 9908 +rect 16172 9868 16178 9880 rect 18322 9868 18328 9880 rect 18380 9868 18386 9920 rect 0 9818 18860 9840 @@ -1789,435 +1778,456 @@ rect 17240 9766 17252 9818 rect 17304 9766 17316 9818 rect 17368 9766 18860 9818 rect 0 9744 18860 9766 -rect 2041 9707 2099 9713 -rect 2041 9673 2053 9707 -rect 2087 9704 2099 9707 -rect 4154 9704 4160 9716 -rect 2087 9676 4160 9704 -rect 2087 9673 2099 9676 -rect 2041 9667 2099 9673 -rect 1946 9636 1952 9648 -rect 1794 9608 1952 9636 -rect 1946 9596 1952 9608 -rect 2004 9596 2010 9648 -rect 2593 9639 2651 9645 -rect 2593 9605 2605 9639 -rect 2639 9636 2651 9639 +rect 2130 9664 2136 9716 +rect 2188 9704 2194 9716 +rect 2501 9707 2559 9713 +rect 2501 9704 2513 9707 +rect 2188 9676 2513 9704 +rect 2188 9664 2194 9676 +rect 2501 9673 2513 9676 +rect 2547 9673 2559 9707 +rect 2501 9667 2559 9673 +rect 2958 9664 2964 9716 +rect 3016 9704 3022 9716 +rect 3145 9707 3203 9713 +rect 3145 9704 3157 9707 +rect 3016 9676 3157 9704 +rect 3016 9664 3022 9676 +rect 3145 9673 3157 9676 +rect 3191 9673 3203 9707 +rect 5258 9704 5264 9716 +rect 5219 9676 5264 9704 +rect 3145 9667 3203 9673 +rect 5258 9664 5264 9676 +rect 5316 9664 5322 9716 +rect 5997 9707 6055 9713 +rect 5997 9673 6009 9707 +rect 6043 9673 6055 9707 +rect 6454 9704 6460 9716 +rect 6415 9676 6460 9704 +rect 5997 9667 6055 9673 +rect 2222 9636 2228 9648 +rect 1702 9608 2228 9636 +rect 2222 9596 2228 9608 +rect 2280 9596 2286 9648 rect 2682 9636 2688 9648 -rect 2639 9608 2688 9636 -rect 2639 9605 2651 9608 -rect 2593 9599 2651 9605 +rect 2424 9608 2688 9636 +rect 293 9571 351 9577 +rect 293 9537 305 9571 +rect 339 9568 351 9571 +rect 382 9568 388 9580 +rect 339 9540 388 9568 +rect 339 9537 351 9540 +rect 293 9531 351 9537 +rect 382 9528 388 9540 +rect 440 9528 446 9580 +rect 658 9568 664 9580 +rect 619 9540 664 9568 +rect 658 9528 664 9540 +rect 716 9528 722 9580 +rect 1578 9528 1584 9580 +rect 1636 9568 1642 9580 +rect 2087 9571 2145 9577 +rect 2087 9568 2099 9571 +rect 1636 9540 2099 9568 +rect 1636 9528 1642 9540 +rect 2087 9537 2099 9540 +rect 2133 9568 2145 9571 +rect 2424 9568 2452 9608 rect 2682 9596 2688 9608 -rect 2740 9596 2746 9648 -rect 2792 9645 2820 9676 -rect 4154 9664 4160 9676 -rect 4212 9664 4218 9716 -rect 5445 9707 5503 9713 -rect 5445 9673 5457 9707 -rect 5491 9704 5503 9707 -rect 5813 9707 5871 9713 -rect 5491 9676 5580 9704 -rect 5491 9673 5503 9676 -rect 5445 9667 5503 9673 -rect 2777 9639 2835 9645 -rect 2777 9605 2789 9639 -rect 2823 9605 2835 9639 -rect 2777 9599 2835 9605 -rect 2961 9639 3019 9645 -rect 2961 9605 2973 9639 -rect 3007 9636 3019 9639 -rect 3050 9636 3056 9648 -rect 3007 9608 3056 9636 -rect 3007 9605 3019 9608 -rect 2961 9599 3019 9605 -rect 3050 9596 3056 9608 -rect 3108 9596 3114 9648 -rect 3145 9639 3203 9645 -rect 3145 9605 3157 9639 -rect 3191 9636 3203 9639 -rect 4062 9636 4068 9648 -rect 3191 9608 4068 9636 -rect 3191 9605 3203 9608 -rect 3145 9599 3203 9605 -rect 4062 9596 4068 9608 -rect 4120 9636 4126 9648 -rect 5350 9636 5356 9648 -rect 4120 9608 4200 9636 -rect 5311 9608 5356 9636 -rect 4120 9596 4126 9608 -rect 2130 9568 2136 9580 -rect 2091 9540 2136 9568 -rect 2130 9528 2136 9540 -rect 2188 9528 2194 9580 -rect 2317 9571 2375 9577 -rect 2317 9537 2329 9571 -rect 2363 9537 2375 9571 -rect 2498 9568 2504 9580 -rect 2459 9540 2504 9568 -rect 2317 9531 2375 9537 -rect 290 9500 296 9512 -rect 251 9472 296 9500 -rect 290 9460 296 9472 -rect 348 9460 354 9512 -rect 569 9503 627 9509 -rect 569 9469 581 9503 -rect 615 9500 627 9503 -rect 2225 9503 2283 9509 -rect 2225 9500 2237 9503 -rect 615 9472 2237 9500 -rect 615 9469 627 9472 -rect 569 9463 627 9469 -rect 2225 9469 2237 9472 -rect 2271 9469 2283 9503 -rect 2332 9500 2360 9531 -rect 2498 9528 2504 9540 -rect 2556 9568 2562 9580 -rect 4172 9577 4200 9608 -rect 5350 9596 5356 9608 -rect 5408 9596 5414 9648 -rect 2869 9571 2927 9577 -rect 2869 9568 2881 9571 -rect 2556 9540 2881 9568 -rect 2556 9528 2562 9540 -rect 2869 9537 2881 9540 -rect 2915 9537 2927 9571 -rect 2869 9531 2927 9537 +rect 2740 9636 2746 9648 +rect 3694 9636 3700 9648 +rect 2740 9608 3096 9636 +rect 2740 9596 2746 9608 +rect 2133 9540 2452 9568 +rect 2501 9571 2559 9577 +rect 2133 9537 2145 9540 +rect 2087 9531 2145 9537 +rect 2501 9537 2513 9571 +rect 2547 9537 2559 9571 +rect 2501 9531 2559 9537 +rect 1210 9460 1216 9512 +rect 1268 9500 1274 9512 +rect 2516 9500 2544 9531 +rect 2590 9528 2596 9580 +rect 2648 9568 2654 9580 +rect 2777 9571 2835 9577 +rect 2648 9540 2693 9568 +rect 2648 9528 2654 9540 +rect 2777 9537 2789 9571 +rect 2823 9537 2835 9571 +rect 2777 9531 2835 9537 +rect 1268 9472 2544 9500 +rect 2792 9500 2820 9531 +rect 2866 9528 2872 9580 +rect 2924 9568 2930 9580 +rect 3068 9568 3096 9608 +rect 3252 9608 3700 9636 +rect 3252 9577 3280 9608 +rect 3694 9596 3700 9608 +rect 3752 9636 3758 9648 +rect 3881 9639 3939 9645 +rect 3752 9608 3832 9636 +rect 3752 9596 3758 9608 rect 3237 9571 3295 9577 -rect 3237 9537 3249 9571 +rect 3237 9568 3249 9571 +rect 2924 9540 2969 9568 +rect 3068 9540 3249 9568 +rect 2924 9528 2930 9540 +rect 3237 9537 3249 9540 rect 3283 9537 3295 9571 rect 3237 9531 3295 9537 -rect 3421 9571 3479 9577 -rect 3421 9537 3433 9571 -rect 3467 9537 3479 9571 -rect 3421 9531 3479 9537 -rect 4157 9571 4215 9577 -rect 4157 9537 4169 9571 -rect 4203 9537 4215 9571 -rect 4157 9531 4215 9537 -rect 2332 9472 2544 9500 -rect 2225 9463 2283 9469 -rect 2516 9441 2544 9472 -rect 3050 9460 3056 9512 -rect 3108 9500 3114 9512 -rect 3252 9500 3280 9531 -rect 3108 9472 3280 9500 -rect 3108 9460 3114 9472 -rect 2501 9435 2559 9441 -rect 2501 9401 2513 9435 -rect 2547 9401 2559 9435 -rect 3329 9435 3387 9441 -rect 3329 9432 3341 9435 -rect 2501 9395 2559 9401 -rect 2976 9404 3341 9432 -rect 2682 9324 2688 9376 -rect 2740 9364 2746 9376 -rect 2976 9364 3004 9404 -rect 3329 9401 3341 9404 -rect 3375 9401 3387 9435 -rect 3329 9395 3387 9401 -rect 2740 9336 3004 9364 -rect 3053 9367 3111 9373 -rect 2740 9324 2746 9336 -rect 3053 9333 3065 9367 -rect 3099 9364 3111 9367 -rect 3436 9364 3464 9531 -rect 4522 9528 4528 9580 -rect 4580 9568 4586 9580 -rect 5169 9571 5227 9577 -rect 5169 9568 5181 9571 -rect 4580 9540 5181 9568 -rect 4580 9528 4586 9540 -rect 5169 9537 5181 9540 -rect 5215 9537 5227 9571 -rect 5442 9568 5448 9580 -rect 5403 9540 5448 9568 -rect 5169 9531 5227 9537 -rect 5442 9528 5448 9540 -rect 5500 9528 5506 9580 -rect 5552 9568 5580 9676 -rect 5813 9673 5825 9707 -rect 5859 9704 5871 9707 -rect 6454 9704 6460 9716 -rect 5859 9676 6460 9704 -rect 5859 9673 5871 9676 -rect 5813 9667 5871 9673 +rect 3510 9528 3516 9580 +rect 3568 9568 3574 9580 +rect 3804 9577 3832 9608 +rect 3881 9605 3893 9639 +rect 3927 9636 3939 9639 +rect 5353 9639 5411 9645 +rect 3927 9608 4292 9636 +rect 3927 9605 3939 9608 +rect 3881 9599 3939 9605 +rect 4264 9577 4292 9608 +rect 5353 9605 5365 9639 +rect 5399 9636 5411 9639 +rect 5626 9636 5632 9648 +rect 5399 9608 5632 9636 +rect 5399 9605 5411 9608 +rect 5353 9599 5411 9605 +rect 5626 9596 5632 9608 +rect 5684 9596 5690 9648 +rect 6012 9636 6040 9667 rect 6454 9664 6460 9676 rect 6512 9664 6518 9716 -rect 8938 9664 8944 9716 -rect 8996 9704 9002 9716 -rect 9309 9707 9367 9713 -rect 9309 9704 9321 9707 -rect 8996 9676 9321 9704 -rect 8996 9664 9002 9676 -rect 9309 9673 9321 9676 -rect 9355 9673 9367 9707 -rect 9309 9667 9367 9673 -rect 12437 9707 12495 9713 -rect 12437 9673 12449 9707 -rect 12483 9704 12495 9707 -rect 14366 9704 14372 9716 -rect 12483 9676 14372 9704 -rect 12483 9673 12495 9676 -rect 12437 9667 12495 9673 -rect 5718 9636 5724 9648 -rect 5679 9608 5724 9636 -rect 5718 9596 5724 9608 -rect 5776 9596 5782 9648 -rect 5905 9639 5963 9645 -rect 5905 9605 5917 9639 -rect 5951 9636 5963 9639 -rect 6178 9636 6184 9648 -rect 5951 9608 6031 9636 -rect 6139 9608 6184 9636 -rect 5951 9605 5963 9608 -rect 5905 9599 5963 9605 -rect 5629 9571 5687 9577 -rect 5629 9568 5641 9571 -rect 5552 9540 5641 9568 -rect 5629 9537 5641 9540 -rect 5675 9537 5687 9571 -rect 5629 9531 5687 9537 -rect 6003 9512 6031 9608 -rect 6178 9596 6184 9608 -rect 6236 9596 6242 9648 -rect 6362 9596 6368 9648 -rect 6420 9636 6426 9648 -rect 7285 9639 7343 9645 -rect 7285 9636 7297 9639 -rect 6420 9608 7297 9636 -rect 6420 9596 6426 9608 -rect 7285 9605 7297 9608 -rect 7331 9605 7343 9639 -rect 7285 9599 7343 9605 -rect 8196 9639 8254 9645 -rect 8196 9605 8208 9639 -rect 8242 9636 8254 9639 -rect 8478 9636 8484 9648 -rect 8242 9608 8484 9636 -rect 8242 9605 8254 9608 -rect 8196 9599 8254 9605 -rect 8478 9596 8484 9608 -rect 8536 9596 8542 9648 -rect 9674 9596 9680 9648 -rect 9732 9636 9738 9648 -rect 10042 9636 10048 9648 -rect 9732 9608 10048 9636 -rect 9732 9596 9738 9608 -rect 10042 9596 10048 9608 -rect 10100 9636 10106 9648 -rect 10686 9636 10692 9648 -rect 10100 9608 10692 9636 -rect 10100 9596 10106 9608 -rect 10686 9596 10692 9608 -rect 10744 9636 10750 9648 -rect 10744 9608 10902 9636 -rect 10744 9596 10750 9608 -rect 6086 9528 6092 9580 -rect 6144 9568 6150 9580 -rect 6273 9571 6331 9577 -rect 6273 9568 6285 9571 -rect 6144 9540 6285 9568 -rect 6144 9528 6150 9540 -rect 6273 9537 6285 9540 -rect 6319 9537 6331 9571 -rect 6273 9531 6331 9537 -rect 7650 9528 7656 9580 -rect 7708 9568 7714 9580 -rect 7745 9571 7803 9577 -rect 7745 9568 7757 9571 -rect 7708 9540 7757 9568 -rect 7708 9528 7714 9540 -rect 7745 9537 7757 9540 -rect 7791 9568 7803 9571 -rect 7791 9540 8984 9568 -rect 7791 9537 7803 9540 -rect 7745 9531 7803 9537 -rect 4249 9503 4307 9509 -rect 4249 9469 4261 9503 -rect 4295 9500 4307 9503 -rect 4338 9500 4344 9512 -rect 4295 9472 4344 9500 -rect 4295 9469 4307 9472 -rect 4249 9463 4307 9469 -rect 4338 9460 4344 9472 -rect 4396 9460 4402 9512 -rect 5994 9460 6000 9512 -rect 6052 9500 6058 9512 -rect 6052 9472 6145 9500 -rect 6052 9460 6058 9472 -rect 3602 9392 3608 9444 -rect 3660 9432 3666 9444 -rect 3660 9404 5396 9432 -rect 3660 9392 3666 9404 -rect 3099 9336 3464 9364 -rect 4433 9367 4491 9373 -rect 3099 9333 3111 9336 -rect 3053 9327 3111 9333 -rect 4433 9333 4445 9367 -rect 4479 9364 4491 9367 -rect 5258 9364 5264 9376 -rect 4479 9336 5264 9364 -rect 4479 9333 4491 9336 -rect 4433 9327 4491 9333 -rect 5258 9324 5264 9336 -rect 5316 9324 5322 9376 -rect 5368 9364 5396 9404 -rect 5997 9367 6055 9373 -rect 5997 9364 6009 9367 -rect 5368 9336 6009 9364 -rect 5997 9333 6009 9336 -rect 6043 9333 6055 9367 -rect 6104 9364 6132 9472 -rect 7558 9460 7564 9512 -rect 7616 9500 7622 9512 -rect 7929 9503 7987 9509 -rect 7929 9500 7941 9503 -rect 7616 9472 7941 9500 -rect 7616 9460 7622 9472 -rect 7929 9469 7941 9472 -rect 7975 9469 7987 9503 -rect 8956 9500 8984 9540 -rect 9950 9528 9956 9580 -rect 10008 9568 10014 9580 -rect 10137 9571 10195 9577 -rect 10137 9568 10149 9571 -rect 10008 9540 10149 9568 -rect 10008 9528 10014 9540 -rect 10137 9537 10149 9540 -rect 10183 9537 10195 9571 -rect 10137 9531 10195 9537 -rect 11790 9528 11796 9580 -rect 11848 9568 11854 9580 -rect 12452 9568 12480 9667 -rect 13556 9622 13584 9676 -rect 14366 9664 14372 9676 -rect 14424 9664 14430 9716 -rect 14458 9664 14464 9716 -rect 14516 9704 14522 9716 -rect 14516 9676 15608 9704 -rect 14516 9664 14522 9676 -rect 14001 9639 14059 9645 -rect 14001 9605 14013 9639 -rect 14047 9636 14059 9639 -rect 14047 9608 14688 9636 -rect 15580 9622 15608 9676 +rect 11238 9704 11244 9716 +rect 8220 9676 9168 9704 +rect 11199 9676 11244 9704 +rect 6365 9639 6423 9645 +rect 6365 9636 6377 9639 +rect 6012 9608 6377 9636 +rect 6365 9605 6377 9608 +rect 6411 9605 6423 9639 +rect 6365 9599 6423 9605 +rect 6549 9639 6607 9645 +rect 6549 9605 6561 9639 +rect 6595 9636 6607 9639 +rect 6638 9636 6644 9648 +rect 6595 9608 6644 9636 +rect 6595 9605 6607 9608 +rect 6549 9599 6607 9605 +rect 6638 9596 6644 9608 +rect 6696 9636 6702 9648 +rect 8220 9636 8248 9676 +rect 6696 9608 8248 9636 +rect 6696 9596 6702 9608 +rect 8846 9596 8852 9648 +rect 8904 9596 8910 9648 +rect 9140 9636 9168 9676 +rect 11238 9664 11244 9676 +rect 11296 9664 11302 9716 +rect 11885 9707 11943 9713 +rect 11885 9673 11897 9707 +rect 11931 9704 11943 9707 +rect 12434 9704 12440 9716 +rect 11931 9676 12440 9704 +rect 11931 9673 11943 9676 +rect 11885 9667 11943 9673 +rect 12434 9664 12440 9676 +rect 12492 9664 12498 9716 rect 16574 9664 16580 9716 rect 16632 9704 16638 9716 rect 16632 9676 17540 9704 rect 16632 9664 16638 9676 +rect 9858 9636 9864 9648 +rect 9140 9608 9864 9636 +rect 9858 9596 9864 9608 +rect 9916 9596 9922 9648 +rect 11256 9636 11284 9664 +rect 12253 9639 12311 9645 +rect 12253 9636 12265 9639 +rect 11256 9608 12265 9636 +rect 12253 9605 12265 9608 +rect 12299 9605 12311 9639 +rect 14461 9639 14519 9645 +rect 14461 9636 14473 9639 +rect 14030 9608 14473 9636 +rect 12253 9599 12311 9605 +rect 14461 9605 14473 9608 +rect 14507 9605 14519 9639 +rect 14461 9599 14519 9605 +rect 16114 9596 16120 9648 +rect 16172 9596 16178 9648 rect 17512 9645 17540 9676 rect 17497 9639 17555 9645 -rect 14047 9605 14059 9608 -rect 14001 9599 14059 9605 -rect 11848 9540 12480 9568 -rect 11848 9528 11854 9540 -rect 10413 9503 10471 9509 -rect 8956 9472 10088 9500 -rect 7929 9463 7987 9469 -rect 9674 9432 9680 9444 -rect 8864 9404 9680 9432 -rect 8864 9364 8892 9404 -rect 9674 9392 9680 9404 -rect 9732 9392 9738 9444 -rect 9766 9364 9772 9376 -rect 6104 9336 8892 9364 -rect 9727 9336 9772 9364 -rect 5997 9327 6055 9333 -rect 9766 9324 9772 9336 -rect 9824 9324 9830 9376 -rect 10060 9364 10088 9472 -rect 10413 9469 10425 9503 -rect 10459 9500 10471 9503 -rect 11146 9500 11152 9512 -rect 10459 9472 11152 9500 -rect 10459 9469 10471 9472 -rect 10413 9463 10471 9469 -rect 11146 9460 11152 9472 -rect 11204 9500 11210 9512 -rect 11606 9500 11612 9512 -rect 11204 9472 11612 9500 -rect 11204 9460 11210 9472 -rect 11606 9460 11612 9472 -rect 11664 9460 11670 9512 -rect 11885 9503 11943 9509 -rect 11885 9469 11897 9503 -rect 11931 9500 11943 9503 -rect 12802 9500 12808 9512 -rect 11931 9472 12808 9500 -rect 11931 9469 11943 9472 -rect 11885 9463 11943 9469 -rect 12802 9460 12808 9472 -rect 12860 9460 12866 9512 -rect 14277 9503 14335 9509 -rect 14277 9469 14289 9503 -rect 14323 9469 14335 9503 -rect 14660 9500 14688 9608 rect 17497 9605 17509 9639 rect 17543 9605 17555 9639 rect 17497 9599 17555 9605 -rect 14826 9568 14832 9580 -rect 14787 9540 14832 9568 -rect 14826 9528 14832 9540 -rect 14884 9528 14890 9580 -rect 15197 9571 15255 9577 -rect 15197 9537 15209 9571 -rect 15243 9568 15255 9571 -rect 15286 9568 15292 9580 -rect 15243 9540 15292 9568 -rect 15243 9537 15255 9540 -rect 15197 9531 15255 9537 -rect 15286 9528 15292 9540 -rect 15344 9528 15350 9580 -rect 16623 9571 16681 9577 -rect 16623 9537 16635 9571 -rect 16669 9568 16681 9571 +rect 3605 9571 3663 9577 +rect 3605 9568 3617 9571 +rect 3568 9540 3617 9568 +rect 3568 9528 3574 9540 +rect 3605 9537 3617 9540 +rect 3651 9537 3663 9571 +rect 3605 9531 3663 9537 +rect 3789 9571 3847 9577 +rect 3789 9537 3801 9571 +rect 3835 9537 3847 9571 +rect 3789 9531 3847 9537 +rect 3973 9571 4031 9577 +rect 3973 9537 3985 9571 +rect 4019 9537 4031 9571 +rect 3973 9531 4031 9537 +rect 4065 9571 4123 9577 +rect 4065 9537 4077 9571 +rect 4111 9537 4123 9571 +rect 4065 9531 4123 9537 +rect 4249 9571 4307 9577 +rect 4249 9537 4261 9571 +rect 4295 9537 4307 9571 +rect 5721 9571 5779 9577 +rect 5721 9568 5733 9571 +rect 4249 9531 4307 9537 +rect 5000 9540 5733 9568 +rect 3620 9500 3648 9531 +rect 3988 9500 4016 9531 +rect 2792 9472 4016 9500 +rect 1268 9460 1274 9472 +rect 2516 9432 2544 9472 +rect 2516 9404 2774 9432 +rect 2222 9364 2228 9376 +rect 2183 9336 2228 9364 +rect 2222 9324 2228 9336 +rect 2280 9324 2286 9376 +rect 2746 9364 2774 9404 +rect 2866 9392 2872 9444 +rect 2924 9432 2930 9444 +rect 3878 9432 3884 9444 +rect 2924 9404 3884 9432 +rect 2924 9392 2930 9404 +rect 3878 9392 3884 9404 +rect 3936 9432 3942 9444 +rect 4080 9432 4108 9531 +rect 4157 9503 4215 9509 +rect 4157 9469 4169 9503 +rect 4203 9500 4215 9503 +rect 5000 9500 5028 9540 +rect 5721 9537 5733 9540 +rect 5767 9537 5779 9571 +rect 5721 9531 5779 9537 +rect 5905 9571 5963 9577 +rect 5905 9537 5917 9571 +rect 5951 9537 5963 9571 +rect 5905 9531 5963 9537 +rect 4203 9472 5028 9500 +rect 4203 9469 4215 9472 +rect 4157 9463 4215 9469 +rect 5442 9460 5448 9512 +rect 5500 9500 5506 9512 +rect 5500 9472 5545 9500 +rect 5500 9460 5506 9472 +rect 5166 9432 5172 9444 +rect 3936 9404 4108 9432 +rect 4724 9404 5172 9432 +rect 3936 9392 3942 9404 +rect 3970 9364 3976 9376 +rect 2746 9336 3976 9364 +rect 3970 9324 3976 9336 +rect 4028 9364 4034 9376 +rect 4724 9364 4752 9404 +rect 5166 9392 5172 9404 +rect 5224 9432 5230 9444 +rect 5920 9432 5948 9531 +rect 5994 9528 6000 9580 +rect 6052 9568 6058 9580 +rect 6273 9571 6331 9577 +rect 6273 9568 6285 9571 +rect 6052 9540 6285 9568 +rect 6052 9528 6058 9540 +rect 6273 9537 6285 9540 +rect 6319 9537 6331 9571 +rect 6273 9531 6331 9537 +rect 6825 9571 6883 9577 +rect 6825 9537 6837 9571 +rect 6871 9568 6883 9571 +rect 6914 9568 6920 9580 +rect 6871 9540 6920 9568 +rect 6871 9537 6883 9540 +rect 6825 9531 6883 9537 +rect 6914 9528 6920 9540 +rect 6972 9528 6978 9580 +rect 7466 9568 7472 9580 +rect 7427 9540 7472 9568 +rect 7466 9528 7472 9540 +rect 7524 9528 7530 9580 +rect 9766 9528 9772 9580 +rect 9824 9568 9830 9580 +rect 9953 9571 10011 9577 +rect 9953 9568 9965 9571 +rect 9824 9540 9965 9568 +rect 9824 9528 9830 9540 +rect 9953 9537 9965 9540 +rect 9999 9537 10011 9571 +rect 14734 9568 14740 9580 +rect 14695 9540 14740 9568 +rect 9953 9531 10011 9537 +rect 14734 9528 14740 9540 +rect 14792 9528 14798 9580 +rect 15105 9571 15163 9577 +rect 15105 9537 15117 9571 +rect 15151 9568 15163 9571 +rect 15194 9568 15200 9580 +rect 15151 9540 15200 9568 +rect 15151 9537 15163 9540 +rect 15105 9531 15163 9537 +rect 15194 9528 15200 9540 +rect 15252 9528 15258 9580 +rect 16531 9571 16589 9577 +rect 16531 9537 16543 9571 +rect 16577 9568 16589 9571 rect 16853 9571 16911 9577 rect 16853 9568 16865 9571 -rect 16669 9540 16865 9568 -rect 16669 9537 16681 9540 -rect 16623 9531 16681 9537 +rect 16577 9540 16865 9568 +rect 16577 9537 16589 9540 +rect 16531 9531 16589 9537 rect 16853 9537 16865 9540 rect 16899 9537 16911 9571 rect 16853 9531 16911 9537 +rect 6086 9460 6092 9512 +rect 6144 9500 6150 9512 +rect 7561 9503 7619 9509 +rect 7561 9500 7573 9503 +rect 6144 9472 7573 9500 +rect 6144 9460 6150 9472 +rect 7561 9469 7573 9472 +rect 7607 9469 7619 9503 +rect 7561 9463 7619 9469 +rect 7837 9503 7895 9509 +rect 7837 9469 7849 9503 +rect 7883 9500 7895 9503 +rect 8478 9500 8484 9512 +rect 7883 9472 8484 9500 +rect 7883 9469 7895 9472 +rect 7837 9463 7895 9469 +rect 8478 9460 8484 9472 +rect 8536 9460 8542 9512 +rect 9030 9460 9036 9512 +rect 9088 9500 9094 9512 +rect 9088 9472 9996 9500 +rect 9088 9460 9094 9472 +rect 5224 9404 5948 9432 +rect 5224 9392 5230 9404 +rect 8846 9392 8852 9444 +rect 8904 9432 8910 9444 +rect 9309 9435 9367 9441 +rect 9309 9432 9321 9435 +rect 8904 9404 9321 9432 +rect 8904 9392 8910 9404 +rect 9309 9401 9321 9404 +rect 9355 9432 9367 9435 +rect 9858 9432 9864 9444 +rect 9355 9404 9864 9432 +rect 9355 9401 9367 9404 +rect 9309 9395 9367 9401 +rect 9858 9392 9864 9404 +rect 9916 9392 9922 9444 +rect 9968 9432 9996 9472 +rect 12250 9460 12256 9512 +rect 12308 9500 12314 9512 +rect 12529 9503 12587 9509 +rect 12529 9500 12541 9503 +rect 12308 9472 12541 9500 +rect 12308 9460 12314 9472 +rect 12529 9469 12541 9472 +rect 12575 9469 12587 9503 +rect 12529 9463 12587 9469 +rect 12805 9503 12863 9509 +rect 12805 9469 12817 9503 +rect 12851 9500 12863 9503 rect 18322 9500 18328 9512 -rect 14660 9472 18328 9500 -rect 14277 9463 14335 9469 -rect 14292 9432 14320 9463 +rect 12851 9472 18328 9500 +rect 12851 9469 12863 9472 +rect 12805 9463 12863 9469 rect 18322 9460 18328 9472 rect 18380 9460 18386 9512 -rect 14292 9404 14780 9432 -rect 11422 9364 11428 9376 -rect 10060 9336 11428 9364 -rect 11422 9324 11428 9336 -rect 11480 9324 11486 9376 -rect 12529 9367 12587 9373 -rect 12529 9333 12541 9367 -rect 12575 9364 12587 9367 -rect 13354 9364 13360 9376 -rect 12575 9336 13360 9364 -rect 12575 9333 12587 9336 -rect 12529 9327 12587 9333 -rect 13354 9324 13360 9336 -rect 13412 9324 13418 9376 -rect 13446 9324 13452 9376 -rect 13504 9364 13510 9376 -rect 14292 9364 14320 9404 -rect 13504 9336 14320 9364 -rect 13504 9324 13510 9336 -rect 14458 9324 14464 9376 -rect 14516 9364 14522 9376 +rect 14277 9435 14335 9441 +rect 9968 9404 12434 9432 +rect 4890 9364 4896 9376 +rect 4028 9336 4752 9364 +rect 4851 9336 4896 9364 +rect 4028 9324 4034 9336 +rect 4890 9324 4896 9336 +rect 4948 9324 4954 9376 +rect 5534 9324 5540 9376 +rect 5592 9364 5598 9376 +rect 6181 9367 6239 9373 +rect 6181 9364 6193 9367 +rect 5592 9336 6193 9364 +rect 5592 9324 5598 9336 +rect 6181 9333 6193 9336 +rect 6227 9364 6239 9367 +rect 6733 9367 6791 9373 +rect 6733 9364 6745 9367 +rect 6227 9336 6745 9364 +rect 6227 9333 6239 9336 +rect 6181 9327 6239 9333 +rect 6733 9333 6745 9336 +rect 6779 9333 6791 9367 +rect 6733 9327 6791 9333 +rect 7466 9324 7472 9376 +rect 7524 9364 7530 9376 +rect 9030 9364 9036 9376 +rect 7524 9336 9036 9364 +rect 7524 9324 7530 9336 +rect 9030 9324 9036 9336 +rect 9088 9324 9094 9376 +rect 9766 9364 9772 9376 +rect 9727 9336 9772 9364 +rect 9766 9324 9772 9336 +rect 9824 9324 9830 9376 +rect 9950 9324 9956 9376 +rect 10008 9364 10014 9376 +rect 11330 9364 11336 9376 +rect 10008 9336 11336 9364 +rect 10008 9324 10014 9336 +rect 11330 9324 11336 9336 +rect 11388 9324 11394 9376 +rect 12158 9364 12164 9376 +rect 12119 9336 12164 9364 +rect 12158 9324 12164 9336 +rect 12216 9324 12222 9376 +rect 12406 9364 12434 9404 +rect 14277 9401 14289 9435 +rect 14323 9432 14335 9435 +rect 14734 9432 14740 9444 +rect 14323 9404 14740 9432 +rect 14323 9401 14335 9404 +rect 14277 9395 14335 9401 +rect 14734 9392 14740 9404 +rect 14792 9392 14798 9444 +rect 12986 9364 12992 9376 +rect 12406 9336 12992 9364 +rect 12986 9324 12992 9336 +rect 13044 9324 13050 9376 +rect 14461 9367 14519 9373 +rect 14461 9333 14473 9367 +rect 14507 9364 14519 9367 rect 14645 9367 14703 9373 rect 14645 9364 14657 9367 -rect 14516 9336 14657 9364 -rect 14516 9324 14522 9336 +rect 14507 9336 14657 9364 +rect 14507 9333 14519 9336 +rect 14461 9327 14519 9333 rect 14645 9333 14657 9336 -rect 14691 9333 14703 9367 -rect 14752 9364 14780 9404 -rect 16666 9364 16672 9376 -rect 14752 9336 16672 9364 +rect 14691 9364 14703 9367 +rect 15286 9364 15292 9376 +rect 14691 9336 15292 9364 +rect 14691 9333 14703 9336 rect 14645 9327 14703 9333 -rect 16666 9324 16672 9336 -rect 16724 9324 16730 9376 +rect 15286 9324 15292 9336 +rect 15344 9364 15350 9376 +rect 16114 9364 16120 9376 +rect 15344 9336 16120 9364 +rect 15344 9324 15350 9336 +rect 16114 9324 16120 9336 +rect 16172 9324 16178 9376 rect 0 9274 18860 9296 rect 0 9222 3110 9274 rect 3162 9222 3174 9274 @@ -2246,78 +2256,78 @@ rect 15690 9222 15702 9274 rect 15754 9222 15766 9274 rect 15818 9222 18860 9274 rect 0 9200 18860 9222 +rect 934 9120 940 9172 +rect 992 9160 998 9172 +rect 1029 9163 1087 9169 +rect 1029 9160 1041 9163 +rect 992 9132 1041 9160 +rect 992 9120 998 9132 +rect 1029 9129 1041 9132 +rect 1075 9129 1087 9163 rect 1394 9160 1400 9172 rect 1355 9132 1400 9160 +rect 1029 9123 1087 9129 rect 1394 9120 1400 9132 rect 1452 9120 1458 9172 -rect 2958 9120 2964 9172 -rect 3016 9160 3022 9172 -rect 3053 9163 3111 9169 -rect 3053 9160 3065 9163 -rect 3016 9132 3065 9160 -rect 3016 9120 3022 9132 -rect 3053 9129 3065 9132 -rect 3099 9129 3111 9163 -rect 10686 9160 10692 9172 -rect 10647 9132 10692 9160 -rect 3053 9123 3111 9129 -rect 10686 9120 10692 9132 -rect 10744 9160 10750 9172 -rect 11790 9160 11796 9172 -rect 10744 9132 11796 9160 -rect 10744 9120 10750 9132 -rect 11790 9120 11796 9132 -rect 11848 9160 11854 9172 -rect 13265 9163 13323 9169 -rect 13265 9160 13277 9163 -rect 11848 9132 13277 9160 -rect 11848 9120 11854 9132 -rect 13265 9129 13277 9132 -rect 13311 9160 13323 9163 -rect 14182 9160 14188 9172 -rect 13311 9132 14188 9160 -rect 13311 9129 13323 9132 -rect 13265 9123 13323 9129 -rect 14182 9120 14188 9132 -rect 14240 9160 14246 9172 -rect 14458 9160 14464 9172 -rect 14240 9132 14464 9160 -rect 14240 9120 14246 9132 -rect 14458 9120 14464 9132 -rect 14516 9120 14522 9172 -rect 10045 9095 10103 9101 -rect 10045 9061 10057 9095 -rect 10091 9092 10103 9095 -rect 10505 9095 10563 9101 -rect 10505 9092 10517 9095 -rect 10091 9064 10517 9092 -rect 10091 9061 10103 9064 -rect 10045 9055 10103 9061 -rect 10505 9061 10517 9064 -rect 10551 9061 10563 9095 -rect 10505 9055 10563 9061 -rect 1946 9024 1952 9036 -rect 1907 8996 1952 9024 -rect 1946 8984 1952 8996 -rect 2004 8984 2010 9036 -rect 4522 8984 4528 9036 -rect 4580 9024 4586 9036 -rect 4617 9027 4675 9033 -rect 4617 9024 4629 9027 -rect 4580 8996 4629 9024 -rect 4580 8984 4586 8996 -rect 4617 8993 4629 8996 -rect 4663 9024 4675 9027 -rect 5537 9027 5595 9033 -rect 5537 9024 5549 9027 -rect 4663 8996 5549 9024 -rect 4663 8993 4675 8996 -rect 4617 8987 4675 8993 -rect 5537 8993 5549 8996 -rect 5583 8993 5595 9027 -rect 10686 9024 10692 9036 -rect 5537 8987 5595 8993 -rect 10060 8996 10692 9024 +rect 5629 9163 5687 9169 +rect 5629 9129 5641 9163 +rect 5675 9160 5687 9163 +rect 5994 9160 6000 9172 +rect 5675 9132 6000 9160 +rect 5675 9129 5687 9132 +rect 5629 9123 5687 9129 +rect 5994 9120 6000 9132 +rect 6052 9120 6058 9172 +rect 8478 9160 8484 9172 +rect 8439 9132 8484 9160 +rect 8478 9120 8484 9132 +rect 8536 9120 8542 9172 +rect 11882 9160 11888 9172 +rect 8588 9132 11284 9160 +rect 11843 9132 11888 9160 +rect 2590 9052 2596 9104 +rect 2648 9092 2654 9104 +rect 6638 9092 6644 9104 +rect 2648 9064 6644 9092 +rect 2648 9052 2654 9064 +rect 6638 9052 6644 9064 +rect 6696 9052 6702 9104 +rect 7190 9052 7196 9104 +rect 7248 9092 7254 9104 +rect 8588 9092 8616 9132 +rect 7248 9064 8616 9092 +rect 9677 9095 9735 9101 +rect 7248 9052 7254 9064 +rect 9677 9061 9689 9095 +rect 9723 9061 9735 9095 +rect 10502 9092 10508 9104 +rect 10463 9064 10508 9092 +rect 9677 9055 9735 9061 +rect 2130 9024 2136 9036 +rect 1136 8996 2136 9024 +rect 1136 8965 1164 8996 +rect 2130 8984 2136 8996 +rect 2188 8984 2194 9036 +rect 3694 8984 3700 9036 +rect 3752 9024 3758 9036 +rect 4341 9027 4399 9033 +rect 4341 9024 4353 9027 +rect 3752 8996 4353 9024 +rect 3752 8984 3758 8996 +rect 4341 8993 4353 8996 +rect 4387 8993 4399 9027 +rect 8846 9024 8852 9036 +rect 4341 8987 4399 8993 +rect 6656 8996 8852 9024 +rect 937 8959 995 8965 +rect 937 8925 949 8959 +rect 983 8925 995 8959 +rect 937 8919 995 8925 +rect 1121 8959 1179 8965 +rect 1121 8925 1133 8959 +rect 1167 8925 1179 8959 +rect 1121 8919 1179 8925 +rect 952 8888 980 8919 rect 1394 8916 1400 8968 rect 1452 8956 1458 8968 rect 1489 8959 1547 8965 @@ -2327,263 +2337,456 @@ rect 1452 8916 1458 8928 rect 1489 8925 1501 8928 rect 1535 8925 1547 8959 rect 1489 8919 1547 8925 -rect 2682 8916 2688 8968 -rect 2740 8956 2746 8968 +rect 2222 8916 2228 8968 +rect 2280 8956 2286 8968 +rect 2685 8959 2743 8965 +rect 2685 8956 2697 8959 +rect 2280 8928 2697 8956 +rect 2280 8916 2286 8928 +rect 2685 8925 2697 8928 +rect 2731 8956 2743 8959 rect 2961 8959 3019 8965 rect 2961 8956 2973 8959 -rect 2740 8928 2973 8956 -rect 2740 8916 2746 8928 +rect 2731 8928 2973 8956 +rect 2731 8925 2743 8928 +rect 2685 8919 2743 8925 rect 2961 8925 2973 8928 -rect 3007 8925 3019 8959 +rect 3007 8956 3019 8959 +rect 3602 8956 3608 8968 +rect 3007 8928 3608 8956 +rect 3007 8925 3019 8928 rect 2961 8919 3019 8925 -rect 3145 8959 3203 8965 -rect 3145 8925 3157 8959 -rect 3191 8925 3203 8959 -rect 4430 8956 4436 8968 -rect 4391 8928 4436 8956 -rect 3145 8919 3203 8925 -rect 3160 8888 3188 8919 -rect 4430 8916 4436 8928 -rect 4488 8916 4494 8968 -rect 4982 8916 4988 8968 -rect 5040 8916 5046 8968 -rect 5258 8916 5264 8968 -rect 5316 8956 5322 8968 -rect 5353 8959 5411 8965 -rect 5353 8956 5365 8959 -rect 5316 8928 5365 8956 -rect 5316 8916 5322 8928 -rect 5353 8925 5365 8928 -rect 5399 8925 5411 8959 -rect 5353 8919 5411 8925 -rect 6086 8916 6092 8968 -rect 6144 8956 6150 8968 +rect 3602 8916 3608 8928 +rect 3660 8916 3666 8968 +rect 4157 8959 4215 8965 +rect 4157 8925 4169 8959 +rect 4203 8956 4215 8959 +rect 4890 8956 4896 8968 +rect 4203 8928 4896 8956 +rect 4203 8925 4215 8928 +rect 4157 8919 4215 8925 +rect 4890 8916 4896 8928 +rect 4948 8916 4954 8968 +rect 5534 8956 5540 8968 +rect 5495 8928 5540 8956 +rect 5534 8916 5540 8928 +rect 5592 8916 5598 8968 +rect 5626 8916 5632 8968 +rect 5684 8956 5690 8968 +rect 6656 8965 6684 8996 +rect 8846 8984 8852 8996 +rect 8904 8984 8910 9036 +rect 9692 9024 9720 9055 +rect 10502 9052 10508 9064 +rect 10560 9052 10566 9104 +rect 10873 9095 10931 9101 +rect 10873 9061 10885 9095 +rect 10919 9061 10931 9095 +rect 10873 9055 10931 9061 +rect 10318 9024 10324 9036 +rect 9140 8996 9720 9024 +rect 10279 8996 10324 9024 +rect 6641 8959 6699 8965 +rect 5684 8928 5729 8956 +rect 5684 8916 5690 8928 +rect 6641 8925 6653 8959 +rect 6687 8925 6699 8959 +rect 6641 8919 6699 8925 rect 6825 8959 6883 8965 -rect 6825 8956 6837 8959 -rect 6144 8928 6837 8956 -rect 6144 8916 6150 8928 -rect 6825 8925 6837 8928 -rect 6871 8925 6883 8959 +rect 6825 8925 6837 8959 +rect 6871 8956 6883 8959 +rect 7282 8956 7288 8968 +rect 6871 8928 7288 8956 +rect 6871 8925 6883 8928 rect 6825 8919 6883 8925 -rect 7092 8959 7150 8965 -rect 7092 8925 7104 8959 -rect 7138 8956 7150 8959 -rect 8386 8956 8392 8968 -rect 7138 8928 8392 8956 -rect 7138 8925 7150 8928 -rect 7092 8919 7150 8925 -rect 8386 8916 8392 8928 -rect 8444 8916 8450 8968 -rect 8478 8916 8484 8968 -rect 8536 8956 8542 8968 +rect 7282 8916 7288 8928 +rect 7340 8956 7346 8968 +rect 7466 8956 7472 8968 +rect 7340 8928 7472 8956 +rect 7340 8916 7346 8928 +rect 7466 8916 7472 8928 +rect 7524 8916 7530 8968 +rect 7745 8959 7803 8965 +rect 7745 8925 7757 8959 +rect 7791 8925 7803 8959 +rect 7745 8919 7803 8925 +rect 7837 8959 7895 8965 +rect 7837 8925 7849 8959 +rect 7883 8956 7895 8959 +rect 8110 8956 8116 8968 +rect 7883 8928 8116 8956 +rect 7883 8925 7895 8928 +rect 7837 8919 7895 8925 +rect 2314 8888 2320 8900 +rect 952 8860 2320 8888 +rect 2314 8848 2320 8860 +rect 2372 8848 2378 8900 +rect 2774 8888 2780 8900 +rect 2516 8860 2780 8888 +rect 2130 8780 2136 8832 +rect 2188 8820 2194 8832 +rect 2516 8820 2544 8860 +rect 2774 8848 2780 8860 +rect 2832 8848 2838 8900 +rect 2884 8860 3832 8888 +rect 2188 8792 2544 8820 +rect 2188 8780 2194 8792 +rect 2590 8780 2596 8832 +rect 2648 8820 2654 8832 +rect 2884 8820 2912 8860 +rect 3804 8829 3832 8860 +rect 4062 8848 4068 8900 +rect 4120 8888 4126 8900 +rect 5353 8891 5411 8897 +rect 5353 8888 5365 8891 +rect 4120 8860 5365 8888 +rect 4120 8848 4126 8860 +rect 5353 8857 5365 8860 +rect 5399 8888 5411 8891 +rect 5442 8888 5448 8900 +rect 5399 8860 5448 8888 +rect 5399 8857 5411 8860 +rect 5353 8851 5411 8857 +rect 5442 8848 5448 8860 +rect 5500 8848 5506 8900 +rect 6086 8848 6092 8900 +rect 6144 8888 6150 8900 +rect 6457 8891 6515 8897 +rect 6457 8888 6469 8891 +rect 6144 8860 6469 8888 +rect 6144 8848 6150 8860 +rect 6457 8857 6469 8860 +rect 6503 8857 6515 8891 +rect 6457 8851 6515 8857 +rect 6546 8848 6552 8900 +rect 6604 8888 6610 8900 +rect 6917 8891 6975 8897 +rect 6917 8888 6929 8891 +rect 6604 8860 6929 8888 +rect 6604 8848 6610 8860 +rect 6917 8857 6929 8860 +rect 6963 8857 6975 8891 +rect 7098 8888 7104 8900 +rect 7059 8860 7104 8888 +rect 6917 8851 6975 8857 +rect 7098 8848 7104 8860 +rect 7156 8848 7162 8900 +rect 7760 8888 7788 8919 +rect 8110 8916 8116 8928 +rect 8168 8956 8174 8968 rect 8665 8959 8723 8965 rect 8665 8956 8677 8959 -rect 8536 8928 8677 8956 -rect 8536 8916 8542 8928 +rect 8168 8928 8677 8956 +rect 8168 8916 8174 8928 rect 8665 8925 8677 8928 -rect 8711 8956 8723 8959 -rect 10060 8956 10088 8996 -rect 10686 8984 10692 8996 -rect 10744 9024 10750 9036 -rect 10873 9027 10931 9033 -rect 10873 9024 10885 9027 -rect 10744 8996 10885 9024 -rect 10744 8984 10750 8996 -rect 10873 8993 10885 8996 -rect 10919 8993 10931 9027 -rect 10873 8987 10931 8993 -rect 10980 8996 12020 9024 -rect 8711 8928 10088 8956 -rect 8711 8925 8723 8928 +rect 8711 8925 8723 8959 rect 8665 8919 8723 8925 -rect 10134 8916 10140 8968 -rect 10192 8956 10198 8968 -rect 10413 8959 10471 8965 -rect 10192 8928 10237 8956 -rect 10192 8916 10198 8928 -rect 10413 8925 10425 8959 -rect 10459 8956 10471 8959 +rect 8757 8959 8815 8965 +rect 8757 8925 8769 8959 +rect 8803 8956 8815 8959 +rect 9140 8956 9168 8996 +rect 10318 8984 10324 8996 +rect 10376 8984 10382 9036 +rect 10888 9024 10916 9055 +rect 10612 8996 10916 9024 +rect 8803 8928 9168 8956 +rect 9217 8959 9275 8965 +rect 8803 8925 8815 8928 +rect 8757 8919 8815 8925 +rect 9217 8925 9229 8959 +rect 9263 8925 9275 8959 +rect 9217 8919 9275 8925 +rect 8478 8888 8484 8900 +rect 7760 8860 8484 8888 +rect 8478 8848 8484 8860 +rect 8536 8848 8542 8900 +rect 9030 8848 9036 8900 +rect 9088 8888 9094 8900 +rect 9232 8888 9260 8919 +rect 9398 8916 9404 8968 +rect 9456 8956 9462 8968 rect 10505 8959 10563 8965 rect 10505 8956 10517 8959 -rect 10459 8928 10517 8956 -rect 10459 8925 10471 8928 -rect 10413 8919 10471 8925 +rect 9456 8928 10517 8956 +rect 9456 8916 9462 8928 rect 10505 8925 10517 8928 rect 10551 8925 10563 8959 rect 10505 8919 10563 8925 -rect 10778 8916 10784 8968 -rect 10836 8956 10842 8968 -rect 10980 8956 11008 8996 -rect 11238 8956 11244 8968 -rect 10836 8928 11008 8956 -rect 11199 8928 11244 8956 -rect 10836 8916 10842 8928 -rect 11238 8916 11244 8928 -rect 11296 8916 11302 8968 -rect 11992 8956 12020 8996 -rect 13354 8984 13360 9036 -rect 13412 9024 13418 9036 -rect 13725 9027 13783 9033 -rect 13725 9024 13737 9027 -rect 13412 8996 13737 9024 -rect 13412 8984 13418 8996 -rect 13725 8993 13737 8996 -rect 13771 8993 13783 9027 -rect 13725 8987 13783 8993 -rect 14458 8984 14464 9036 -rect 14516 9024 14522 9036 -rect 15473 9027 15531 9033 -rect 15473 9024 15485 9027 -rect 14516 8996 15485 9024 -rect 14516 8984 14522 8996 -rect 15473 8993 15485 8996 -rect 15519 8993 15531 9027 -rect 15473 8987 15531 8993 -rect 15749 9027 15807 9033 -rect 15749 8993 15761 9027 -rect 15795 9024 15807 9027 -rect 16666 9024 16672 9036 -rect 15795 8996 16672 9024 -rect 15795 8993 15807 8996 -rect 15749 8987 15807 8993 -rect 16666 8984 16672 8996 -rect 16724 8984 16730 9036 -rect 13446 8956 13452 8968 -rect 11992 8928 12434 8956 -rect 13407 8928 13452 8956 -rect 4338 8888 4344 8900 -rect 3160 8860 4344 8888 -rect 4338 8848 4344 8860 -rect 4396 8848 4402 8900 -rect 5000 8888 5028 8916 -rect 5445 8891 5503 8897 -rect 5445 8888 5457 8891 -rect 5000 8860 5457 8888 -rect 5445 8857 5457 8860 -rect 5491 8857 5503 8891 -rect 5445 8851 5503 8857 -rect 8294 8848 8300 8900 -rect 8352 8888 8358 8900 -rect 8932 8891 8990 8897 -rect 8352 8860 8708 8888 -rect 8352 8848 8358 8860 -rect 1946 8780 1952 8832 -rect 2004 8820 2010 8832 -rect 2498 8820 2504 8832 -rect 2004 8792 2504 8820 -rect 2004 8780 2010 8792 -rect 2498 8780 2504 8792 -rect 2556 8820 2562 8832 -rect 3602 8820 3608 8832 -rect 2556 8792 3608 8820 -rect 2556 8780 2562 8792 -rect 3602 8780 3608 8792 -rect 3660 8780 3666 8832 -rect 4065 8823 4123 8829 -rect 4065 8789 4077 8823 -rect 4111 8820 4123 8823 -rect 4154 8820 4160 8832 -rect 4111 8792 4160 8820 -rect 4111 8789 4123 8792 -rect 4065 8783 4123 8789 -rect 4154 8780 4160 8792 -rect 4212 8780 4218 8832 -rect 4430 8780 4436 8832 -rect 4488 8820 4494 8832 -rect 4525 8823 4583 8829 -rect 4525 8820 4537 8823 -rect 4488 8792 4537 8820 -rect 4488 8780 4494 8792 -rect 4525 8789 4537 8792 -rect 4571 8789 4583 8823 -rect 4525 8783 4583 8789 -rect 4985 8823 5043 8829 -rect 4985 8789 4997 8823 -rect 5031 8820 5043 8823 -rect 5258 8820 5264 8832 -rect 5031 8792 5264 8820 -rect 5031 8789 5043 8792 -rect 4985 8783 5043 8789 -rect 5258 8780 5264 8792 -rect 5316 8780 5322 8832 -rect 8205 8823 8263 8829 -rect 8205 8789 8217 8823 -rect 8251 8820 8263 8823 -rect 8570 8820 8576 8832 -rect 8251 8792 8576 8820 -rect 8251 8789 8263 8792 -rect 8205 8783 8263 8789 -rect 8570 8780 8576 8792 -rect 8628 8780 8634 8832 -rect 8680 8820 8708 8860 -rect 8932 8857 8944 8891 -rect 8978 8888 8990 8891 -rect 9122 8888 9128 8900 -rect 8978 8860 9128 8888 -rect 8978 8857 8990 8860 -rect 8932 8851 8990 8857 -rect 9122 8848 9128 8860 -rect 9180 8848 9186 8900 -rect 9490 8848 9496 8900 -rect 9548 8888 9554 8900 -rect 10229 8891 10287 8897 -rect 10229 8888 10241 8891 -rect 9548 8860 10241 8888 -rect 9548 8848 9554 8860 -rect 10229 8857 10241 8860 -rect 10275 8857 10287 8891 -rect 10229 8851 10287 8857 -rect 11790 8848 11796 8900 -rect 11848 8848 11854 8900 -rect 12406 8888 12434 8928 -rect 13446 8916 13452 8928 -rect 13504 8916 13510 8968 -rect 15838 8916 15844 8968 -rect 15896 8956 15902 8968 -rect 16117 8959 16175 8965 -rect 16117 8956 16129 8959 -rect 15896 8928 16129 8956 -rect 15896 8916 15902 8928 -rect 16117 8925 16129 8928 -rect 16163 8925 16175 8959 -rect 16117 8919 16175 8925 -rect 12406 8860 14136 8888 -rect 10137 8823 10195 8829 -rect 10137 8820 10149 8823 -rect 8680 8792 10149 8820 -rect 10137 8789 10149 8792 -rect 10183 8789 10195 8823 -rect 10137 8783 10195 8789 -rect 11974 8780 11980 8832 -rect 12032 8820 12038 8832 -rect 12667 8823 12725 8829 -rect 12667 8820 12679 8823 -rect 12032 8792 12679 8820 -rect 12032 8780 12038 8792 -rect 12667 8789 12679 8792 -rect 12713 8789 12725 8823 -rect 14108 8820 14136 8860 -rect 14182 8848 14188 8900 -rect 14240 8848 14246 8900 -rect 16482 8848 16488 8900 -rect 16540 8848 16546 8900 -rect 14366 8820 14372 8832 -rect 14108 8792 14372 8820 -rect 12667 8783 12725 8789 -rect 14366 8780 14372 8792 -rect 14424 8820 14430 8832 -rect 17865 8823 17923 8829 -rect 17865 8820 17877 8823 -rect 14424 8792 17877 8820 -rect 14424 8780 14430 8792 -rect 17865 8789 17877 8792 -rect 17911 8820 17923 8823 -rect 18046 8820 18052 8832 -rect 17911 8792 18052 8820 -rect 17911 8789 17923 8792 -rect 17865 8783 17923 8789 -rect 18046 8780 18052 8792 -rect 18104 8780 18110 8832 +rect 9088 8860 9260 8888 +rect 9309 8891 9367 8897 +rect 9088 8848 9094 8860 +rect 9309 8857 9321 8891 +rect 9355 8857 9367 8891 +rect 9309 8851 9367 8857 +rect 9493 8891 9551 8897 +rect 9493 8857 9505 8891 +rect 9539 8888 9551 8891 +rect 9858 8888 9864 8900 +rect 9539 8860 9864 8888 +rect 9539 8857 9551 8860 +rect 9493 8851 9551 8857 +rect 2648 8792 2912 8820 +rect 3789 8823 3847 8829 +rect 2648 8780 2654 8792 +rect 3789 8789 3801 8823 +rect 3835 8789 3847 8823 +rect 3789 8783 3847 8789 +rect 4249 8823 4307 8829 +rect 4249 8789 4261 8823 +rect 4295 8820 4307 8823 +rect 5718 8820 5724 8832 +rect 4295 8792 5724 8820 +rect 4295 8789 4307 8792 +rect 4249 8783 4307 8789 +rect 5718 8780 5724 8792 +rect 5776 8780 5782 8832 +rect 7009 8823 7067 8829 +rect 7009 8789 7021 8823 +rect 7055 8820 7067 8823 +rect 7377 8823 7435 8829 +rect 7377 8820 7389 8823 +rect 7055 8792 7389 8820 +rect 7055 8789 7067 8792 +rect 7009 8783 7067 8789 +rect 7377 8789 7389 8792 +rect 7423 8789 7435 8823 +rect 7377 8783 7435 8789 +rect 7558 8780 7564 8832 +rect 7616 8820 7622 8832 +rect 8021 8823 8079 8829 +rect 8021 8820 8033 8823 +rect 7616 8792 8033 8820 +rect 7616 8780 7622 8792 +rect 8021 8789 8033 8792 +rect 8067 8789 8079 8823 +rect 8021 8783 8079 8789 +rect 9125 8823 9183 8829 +rect 9125 8789 9137 8823 +rect 9171 8820 9183 8823 +rect 9217 8823 9275 8829 +rect 9217 8820 9229 8823 +rect 9171 8792 9229 8820 +rect 9171 8789 9183 8792 +rect 9125 8783 9183 8789 +rect 9217 8789 9229 8792 +rect 9263 8789 9275 8823 +rect 9324 8820 9352 8851 +rect 9858 8848 9864 8860 +rect 9916 8848 9922 8900 +rect 10045 8891 10103 8897 +rect 10045 8857 10057 8891 +rect 10091 8888 10103 8891 +rect 10612 8888 10640 8996 +rect 10689 8959 10747 8965 +rect 10689 8925 10701 8959 +rect 10735 8956 10747 8959 +rect 10778 8956 10784 8968 +rect 10735 8928 10784 8956 +rect 10735 8925 10747 8928 +rect 10689 8919 10747 8925 +rect 10778 8916 10784 8928 +rect 10836 8916 10842 8968 +rect 11256 8965 11284 9132 +rect 11882 9120 11888 9132 +rect 11940 9120 11946 9172 +rect 12066 9120 12072 9172 +rect 12124 9160 12130 9172 +rect 15473 9163 15531 9169 +rect 12124 9132 14596 9160 +rect 12124 9120 12130 9132 +rect 12434 9092 12440 9104 +rect 12268 9064 12440 9092 +rect 11422 9024 11428 9036 +rect 11383 8996 11428 9024 +rect 11422 8984 11428 8996 +rect 11480 8984 11486 9036 +rect 11241 8959 11299 8965 +rect 11241 8925 11253 8959 +rect 11287 8925 11299 8959 +rect 11241 8919 11299 8925 +rect 11330 8916 11336 8968 +rect 11388 8956 11394 8968 +rect 12268 8965 12296 9064 +rect 12434 9052 12440 9064 +rect 12492 9092 12498 9104 +rect 12894 9092 12900 9104 +rect 12492 9064 12900 9092 +rect 12492 9052 12498 9064 +rect 12894 9052 12900 9064 +rect 12952 9052 12958 9104 +rect 12529 9027 12587 9033 +rect 12529 8993 12541 9027 +rect 12575 9024 12587 9027 +rect 12802 9024 12808 9036 +rect 12575 8996 12808 9024 +rect 12575 8993 12587 8996 +rect 12529 8987 12587 8993 +rect 12802 8984 12808 8996 +rect 12860 8984 12866 9036 +rect 13265 9027 13323 9033 +rect 13265 8993 13277 9027 +rect 13311 9024 13323 9027 +rect 14458 9024 14464 9036 +rect 13311 8996 14464 9024 +rect 13311 8993 13323 8996 +rect 13265 8987 13323 8993 +rect 14458 8984 14464 8996 +rect 14516 8984 14522 9036 +rect 12253 8959 12311 8965 +rect 11388 8928 12204 8956 +rect 11388 8916 11394 8928 +rect 10091 8860 10640 8888 +rect 10796 8888 10824 8916 +rect 11974 8888 11980 8900 +rect 10796 8860 11980 8888 +rect 10091 8857 10103 8860 +rect 10045 8851 10103 8857 +rect 11974 8848 11980 8860 +rect 12032 8848 12038 8900 +rect 12176 8888 12204 8928 +rect 12253 8925 12265 8959 +rect 12299 8925 12311 8959 +rect 12710 8956 12716 8968 +rect 12671 8928 12716 8956 +rect 12253 8919 12311 8925 +rect 12710 8916 12716 8928 +rect 12768 8916 12774 8968 +rect 13633 8959 13691 8965 +rect 13633 8956 13645 8959 +rect 12912 8928 13645 8956 +rect 12805 8891 12863 8897 +rect 12805 8888 12817 8891 +rect 12176 8860 12817 8888 +rect 12805 8857 12817 8860 +rect 12851 8857 12863 8891 +rect 12805 8851 12863 8857 +rect 9950 8820 9956 8832 +rect 9324 8792 9956 8820 +rect 9217 8783 9275 8789 +rect 9950 8780 9956 8792 +rect 10008 8780 10014 8832 +rect 10134 8780 10140 8832 +rect 10192 8820 10198 8832 +rect 11333 8823 11391 8829 +rect 11333 8820 11345 8823 +rect 10192 8792 11345 8820 +rect 10192 8780 10198 8792 +rect 11333 8789 11345 8792 +rect 11379 8820 11391 8823 +rect 12066 8820 12072 8832 +rect 11379 8792 12072 8820 +rect 11379 8789 11391 8792 +rect 11333 8783 11391 8789 +rect 12066 8780 12072 8792 +rect 12124 8780 12130 8832 +rect 12250 8780 12256 8832 +rect 12308 8820 12314 8832 +rect 12345 8823 12403 8829 +rect 12345 8820 12357 8823 +rect 12308 8792 12357 8820 +rect 12308 8780 12314 8792 +rect 12345 8789 12357 8792 +rect 12391 8820 12403 8823 +rect 12618 8820 12624 8832 +rect 12391 8792 12624 8820 +rect 12391 8789 12403 8792 +rect 12345 8783 12403 8789 +rect 12618 8780 12624 8792 +rect 12676 8780 12682 8832 +rect 12912 8829 12940 8928 +rect 13633 8925 13645 8928 +rect 13679 8925 13691 8959 +rect 14568 8956 14596 9132 +rect 15473 9129 15485 9163 +rect 15519 9160 15531 9163 +rect 17402 9160 17408 9172 +rect 15519 9132 17408 9160 +rect 15519 9129 15531 9132 +rect 15473 9123 15531 9129 +rect 17402 9120 17408 9132 +rect 17460 9120 17466 9172 +rect 14734 8984 14740 9036 +rect 14792 9024 14798 9036 +rect 17589 9027 17647 9033 +rect 17589 9024 17601 9027 +rect 14792 8996 17601 9024 +rect 14792 8984 14798 8996 +rect 17589 8993 17601 8996 +rect 17635 8993 17647 9027 +rect 17589 8987 17647 8993 +rect 15289 8959 15347 8965 +rect 15289 8956 15301 8959 +rect 14568 8928 15301 8956 +rect 13633 8919 13691 8925 +rect 15289 8925 15301 8928 +rect 15335 8925 15347 8959 +rect 15289 8919 15347 8925 +rect 15473 8959 15531 8965 +rect 15473 8925 15485 8959 +rect 15519 8956 15531 8959 +rect 15930 8956 15936 8968 +rect 15519 8928 15936 8956 +rect 15519 8925 15531 8928 +rect 15473 8919 15531 8925 +rect 12989 8891 13047 8897 +rect 12989 8857 13001 8891 +rect 13035 8888 13047 8891 +rect 13170 8888 13176 8900 +rect 13035 8860 13176 8888 +rect 13035 8857 13047 8860 +rect 12989 8851 13047 8857 +rect 13170 8848 13176 8860 +rect 13228 8848 13234 8900 +rect 15194 8888 15200 8900 +rect 14674 8874 15200 8888 +rect 14660 8860 15200 8874 +rect 12897 8823 12955 8829 +rect 12897 8789 12909 8823 +rect 12943 8789 12955 8823 +rect 12897 8783 12955 8789 +rect 13630 8780 13636 8832 +rect 13688 8820 13694 8832 +rect 14660 8820 14688 8860 +rect 15194 8848 15200 8860 +rect 15252 8848 15258 8900 +rect 13688 8792 14688 8820 +rect 13688 8780 13694 8792 +rect 14918 8780 14924 8832 +rect 14976 8820 14982 8832 +rect 15059 8823 15117 8829 +rect 15059 8820 15071 8823 +rect 14976 8792 15071 8820 +rect 14976 8780 14982 8792 +rect 15059 8789 15071 8792 +rect 15105 8789 15117 8823 +rect 15304 8820 15332 8919 +rect 15930 8916 15936 8928 +rect 15988 8916 15994 8968 +rect 17862 8916 17868 8968 +rect 17920 8956 17926 8968 +rect 17920 8928 17965 8956 +rect 17920 8916 17926 8928 +rect 15841 8891 15899 8897 +rect 15841 8888 15853 8891 +rect 15580 8860 15853 8888 +rect 15580 8820 15608 8860 +rect 15841 8857 15853 8860 +rect 15887 8857 15899 8891 +rect 15841 8851 15899 8857 +rect 15304 8792 15608 8820 +rect 15749 8823 15807 8829 +rect 15059 8783 15117 8789 +rect 15749 8789 15761 8823 +rect 15795 8820 15807 8823 +rect 16206 8820 16212 8832 +rect 15795 8792 16212 8820 +rect 15795 8789 15807 8792 +rect 15749 8783 15807 8789 +rect 16206 8780 16212 8792 +rect 16264 8820 16270 8832 +rect 16408 8820 16436 8874 +rect 16264 8792 16436 8820 +rect 16264 8780 16270 8792 rect 0 8730 18860 8752 rect 0 8678 4660 8730 rect 4712 8678 4724 8730 @@ -2612,499 +2815,589 @@ rect 17240 8678 17252 8730 rect 17304 8678 17316 8730 rect 17368 8678 18860 8730 rect 0 8656 18860 8678 -rect 2130 8616 2136 8628 -rect 2091 8588 2136 8616 -rect 2130 8576 2136 8588 -rect 2188 8576 2194 8628 -rect 4154 8616 4160 8628 -rect 4115 8588 4160 8616 -rect 4154 8576 4160 8588 -rect 4212 8576 4218 8628 -rect 4338 8576 4344 8628 -rect 4396 8616 4402 8628 -rect 4893 8619 4951 8625 -rect 4893 8616 4905 8619 -rect 4396 8588 4905 8616 -rect 4396 8576 4402 8588 -rect 4893 8585 4905 8588 -rect 4939 8585 4951 8619 -rect 5258 8616 5264 8628 -rect 5219 8588 5264 8616 -rect 4893 8579 4951 8585 -rect 5258 8576 5264 8588 -rect 5316 8576 5322 8628 -rect 8941 8619 8999 8625 -rect 8941 8585 8953 8619 -rect 8987 8585 8999 8619 -rect 9490 8616 9496 8628 -rect 9451 8588 9496 8616 -rect 8941 8579 8999 8585 -rect 1946 8548 1952 8560 -rect 1794 8520 1952 8548 -rect 1946 8508 1952 8520 -rect 2004 8508 2010 8560 -rect 2501 8551 2559 8557 -rect 2501 8548 2513 8551 -rect 2240 8520 2513 8548 +rect 2038 8576 2044 8628 +rect 2096 8616 2102 8628 +rect 2133 8619 2191 8625 +rect 2133 8616 2145 8619 +rect 2096 8588 2145 8616 +rect 2096 8576 2102 8588 +rect 2133 8585 2145 8588 +rect 2179 8585 2191 8619 +rect 2133 8579 2191 8585 +rect 2314 8576 2320 8628 +rect 2372 8616 2378 8628 +rect 3145 8619 3203 8625 +rect 3145 8616 3157 8619 +rect 2372 8588 3157 8616 +rect 2372 8576 2378 8588 +rect 3145 8585 3157 8588 +rect 3191 8585 3203 8619 +rect 3145 8579 3203 8585 +rect 3513 8619 3571 8625 +rect 3513 8585 3525 8619 +rect 3559 8616 3571 8619 +rect 3973 8619 4031 8625 +rect 3973 8616 3985 8619 +rect 3559 8588 3985 8616 +rect 3559 8585 3571 8588 +rect 3513 8579 3571 8585 +rect 3973 8585 3985 8588 +rect 4019 8585 4031 8619 +rect 3973 8579 4031 8585 +rect 6181 8619 6239 8625 +rect 6181 8585 6193 8619 +rect 6227 8616 6239 8619 +rect 6638 8616 6644 8628 +rect 6227 8588 6644 8616 +rect 6227 8585 6239 8588 +rect 6181 8579 6239 8585 +rect 6638 8576 6644 8588 +rect 6696 8576 6702 8628 +rect 8754 8616 8760 8628 +rect 6840 8588 8760 8616 +rect 2222 8548 2228 8560 +rect 1794 8520 2228 8548 +rect 2222 8508 2228 8520 +rect 2280 8508 2286 8560 +rect 2590 8548 2596 8560 +rect 2332 8520 2596 8548 rect 2130 8480 2136 8492 rect 2091 8452 2136 8480 rect 2130 8440 2136 8452 rect 2188 8440 2194 8492 -rect 290 8412 296 8424 -rect 251 8384 296 8412 -rect 290 8372 296 8384 -rect 348 8372 354 8424 -rect 569 8415 627 8421 -rect 569 8381 581 8415 -rect 615 8412 627 8415 -rect 2240 8412 2268 8520 -rect 2501 8517 2513 8520 -rect 2547 8517 2559 8551 -rect 2501 8511 2559 8517 -rect 3421 8551 3479 8557 -rect 3421 8517 3433 8551 -rect 3467 8548 3479 8551 -rect 4062 8548 4068 8560 -rect 3467 8520 4068 8548 -rect 3467 8517 3479 8520 -rect 3421 8511 3479 8517 -rect 4062 8508 4068 8520 -rect 4120 8508 4126 8560 -rect 4249 8551 4307 8557 -rect 4249 8517 4261 8551 -rect 4295 8548 4307 8551 -rect 4430 8548 4436 8560 -rect 4295 8520 4436 8548 -rect 4295 8517 4307 8520 -rect 4249 8511 4307 8517 -rect 4430 8508 4436 8520 -rect 4488 8548 4494 8560 -rect 5350 8548 5356 8560 -rect 4488 8520 5356 8548 -rect 4488 8508 4494 8520 -rect 5350 8508 5356 8520 -rect 5408 8548 5414 8560 -rect 5988 8551 6046 8557 -rect 5988 8548 6000 8551 -rect 5408 8520 6000 8548 -rect 5408 8508 5414 8520 -rect 5988 8517 6000 8520 -rect 6034 8548 6046 8551 -rect 7466 8548 7472 8560 -rect 6034 8520 7472 8548 -rect 6034 8517 6046 8520 -rect 5988 8511 6046 8517 -rect 7466 8508 7472 8520 -rect 7524 8548 7530 8560 -rect 8956 8548 8984 8579 -rect 9490 8576 9496 8588 -rect 9548 8576 9554 8628 -rect 9674 8576 9680 8628 -rect 9732 8616 9738 8628 -rect 11057 8619 11115 8625 -rect 9732 8588 10640 8616 -rect 9732 8576 9738 8588 -rect 10612 8560 10640 8588 -rect 11057 8585 11069 8619 -rect 11103 8616 11115 8619 -rect 11238 8616 11244 8628 -rect 11103 8588 11244 8616 -rect 11103 8585 11115 8588 -rect 11057 8579 11115 8585 -rect 11238 8576 11244 8588 -rect 11296 8576 11302 8628 -rect 11514 8616 11520 8628 -rect 11475 8588 11520 8616 -rect 11514 8576 11520 8588 -rect 11572 8576 11578 8628 -rect 11609 8619 11667 8625 -rect 11609 8585 11621 8619 -rect 11655 8616 11667 8619 -rect 11655 8588 13032 8616 -rect 11655 8585 11667 8588 -rect 11609 8579 11667 8585 -rect 10134 8548 10140 8560 -rect 7524 8520 7604 8548 -rect 8956 8520 10140 8548 -rect 7524 8508 7530 8520 +rect 2332 8489 2360 8520 +rect 2590 8508 2596 8520 +rect 2648 8508 2654 8560 +rect 2774 8508 2780 8560 +rect 2832 8548 2838 8560 +rect 5261 8551 5319 8557 +rect 5261 8548 5273 8551 +rect 2832 8520 5273 8548 +rect 2832 8508 2838 8520 +rect 5261 8517 5273 8520 +rect 5307 8517 5319 8551 +rect 5534 8548 5540 8560 +rect 5261 8511 5319 8517 +rect 5368 8520 5540 8548 rect 2317 8483 2375 8489 rect 2317 8449 2329 8483 rect 2363 8449 2375 8483 rect 2682 8480 2688 8492 rect 2643 8452 2688 8480 rect 2317 8443 2375 8449 -rect 615 8384 2268 8412 -rect 615 8381 627 8384 -rect 569 8375 627 8381 -rect 2041 8347 2099 8353 -rect 2041 8313 2053 8347 -rect 2087 8344 2099 8347 -rect 2222 8344 2228 8356 -rect 2087 8316 2228 8344 -rect 2087 8313 2099 8316 -rect 2041 8307 2099 8313 -rect 2222 8304 2228 8316 -rect 2280 8304 2286 8356 -rect 2332 8344 2360 8443 rect 2682 8440 2688 8452 rect 2740 8440 2746 8492 -rect 2774 8440 2780 8492 -rect 2832 8480 2838 8492 -rect 3329 8483 3387 8489 -rect 3329 8480 3341 8483 -rect 2832 8452 3341 8480 -rect 2832 8440 2838 8452 -rect 3329 8449 3341 8452 -rect 3375 8449 3387 8483 -rect 4522 8480 4528 8492 -rect 3329 8443 3387 8449 -rect 3620 8452 4528 8480 -rect 2406 8372 2412 8424 -rect 2464 8412 2470 8424 -rect 3620 8421 3648 8452 -rect 4522 8440 4528 8452 -rect 4580 8440 4586 8492 -rect 7282 8480 7288 8492 -rect 7116 8452 7288 8480 -rect 2501 8415 2559 8421 -rect 2501 8412 2513 8415 -rect 2464 8384 2513 8412 -rect 2464 8372 2470 8384 -rect 2501 8381 2513 8384 -rect 2547 8381 2559 8415 -rect 2501 8375 2559 8381 +rect 4341 8483 4399 8489 +rect 4341 8480 4353 8483 +rect 3068 8452 4353 8480 +rect 290 8412 296 8424 +rect 251 8384 296 8412 +rect 290 8372 296 8384 +rect 348 8372 354 8424 +rect 566 8412 572 8424 +rect 527 8384 572 8412 +rect 566 8372 572 8384 +rect 624 8372 630 8424 +rect 2593 8415 2651 8421 +rect 2593 8412 2605 8415 +rect 2056 8384 2605 8412 +rect 2056 8288 2084 8384 +rect 2593 8381 2605 8384 +rect 2639 8412 2651 8415 +rect 2866 8412 2872 8424 +rect 2639 8384 2872 8412 +rect 2639 8381 2651 8384 +rect 2593 8375 2651 8381 +rect 2866 8372 2872 8384 +rect 2924 8372 2930 8424 +rect 3068 8353 3096 8452 +rect 4341 8449 4353 8452 +rect 4387 8449 4399 8483 +rect 5166 8480 5172 8492 +rect 5127 8452 5172 8480 +rect 4341 8443 4399 8449 +rect 5166 8440 5172 8452 +rect 5224 8440 5230 8492 +rect 5368 8489 5396 8520 +rect 5534 8508 5540 8520 +rect 5592 8548 5598 8560 +rect 6273 8551 6331 8557 +rect 6273 8548 6285 8551 +rect 5592 8520 6285 8548 +rect 5592 8508 5598 8520 +rect 6273 8517 6285 8520 +rect 6319 8548 6331 8551 +rect 6546 8548 6552 8560 +rect 6319 8520 6552 8548 +rect 6319 8517 6331 8520 +rect 6273 8511 6331 8517 +rect 6546 8508 6552 8520 +rect 6604 8508 6610 8560 +rect 6840 8548 6868 8588 +rect 8754 8576 8760 8588 +rect 8812 8576 8818 8628 +rect 8849 8619 8907 8625 +rect 8849 8585 8861 8619 +rect 8895 8616 8907 8619 +rect 8938 8616 8944 8628 +rect 8895 8588 8944 8616 +rect 8895 8585 8907 8588 +rect 8849 8579 8907 8585 +rect 8938 8576 8944 8588 +rect 8996 8616 9002 8628 +rect 9582 8616 9588 8628 +rect 8996 8588 9588 8616 +rect 8996 8576 9002 8588 +rect 9582 8576 9588 8588 +rect 9640 8576 9646 8628 +rect 10226 8576 10232 8628 +rect 10284 8616 10290 8628 +rect 10321 8619 10379 8625 +rect 10321 8616 10333 8619 +rect 10284 8588 10333 8616 +rect 10284 8576 10290 8588 +rect 10321 8585 10333 8588 +rect 10367 8585 10379 8619 +rect 10321 8579 10379 8585 +rect 10686 8576 10692 8628 +rect 10744 8616 10750 8628 +rect 10781 8619 10839 8625 +rect 10781 8616 10793 8619 +rect 10744 8588 10793 8616 +rect 10744 8576 10750 8588 +rect 10781 8585 10793 8588 +rect 10827 8585 10839 8619 +rect 10781 8579 10839 8585 +rect 10873 8619 10931 8625 +rect 10873 8585 10885 8619 +rect 10919 8616 10931 8619 +rect 12158 8616 12164 8628 +rect 10919 8588 12164 8616 +rect 10919 8585 10931 8588 +rect 10873 8579 10931 8585 +rect 12158 8576 12164 8588 +rect 12216 8576 12222 8628 +rect 12710 8576 12716 8628 +rect 12768 8616 12774 8628 +rect 12805 8619 12863 8625 +rect 12805 8616 12817 8619 +rect 12768 8588 12817 8616 +rect 12768 8576 12774 8588 +rect 12805 8585 12817 8588 +rect 12851 8585 12863 8619 +rect 13170 8616 13176 8628 +rect 13131 8588 13176 8616 +rect 12805 8579 12863 8585 +rect 13170 8576 13176 8588 +rect 13228 8576 13234 8628 +rect 13630 8616 13636 8628 +rect 13591 8588 13636 8616 +rect 13630 8576 13636 8588 +rect 13688 8576 13694 8628 +rect 14458 8576 14464 8628 +rect 14516 8616 14522 8628 +rect 17313 8619 17371 8625 +rect 17313 8616 17325 8619 +rect 14516 8588 17325 8616 +rect 14516 8576 14522 8588 +rect 17313 8585 17325 8588 +rect 17359 8585 17371 8619 +rect 17313 8579 17371 8585 +rect 6748 8520 6868 8548 +rect 5353 8483 5411 8489 +rect 5353 8449 5365 8483 +rect 5399 8449 5411 8483 +rect 5718 8480 5724 8492 +rect 5631 8452 5724 8480 +rect 5353 8443 5411 8449 +rect 5718 8440 5724 8452 +rect 5776 8480 5782 8492 +rect 6748 8489 6776 8520 +rect 7650 8508 7656 8560 +rect 7708 8557 7714 8560 +rect 7708 8551 7772 8557 +rect 7708 8517 7726 8551 +rect 7760 8517 7772 8551 +rect 10502 8548 10508 8560 +rect 7708 8511 7772 8517 +rect 8036 8520 10508 8548 +rect 7708 8508 7714 8511 +rect 6733 8483 6791 8489 +rect 5776 8452 6132 8480 +rect 5776 8440 5782 8452 rect 3605 8415 3663 8421 rect 3605 8381 3617 8415 rect 3651 8381 3663 8415 rect 3605 8375 3663 8381 +rect 3053 8347 3111 8353 +rect 3053 8313 3065 8347 +rect 3099 8313 3111 8347 +rect 3620 8344 3648 8375 +rect 3694 8372 3700 8424 +rect 3752 8412 3758 8424 rect 4433 8415 4491 8421 -rect 4433 8381 4445 8415 +rect 4433 8412 4445 8415 +rect 3752 8384 3797 8412 +rect 3896 8384 4445 8412 +rect 3752 8372 3758 8384 +rect 3896 8356 3924 8384 +rect 4433 8381 4445 8384 rect 4479 8381 4491 8415 rect 4433 8375 4491 8381 -rect 3789 8347 3847 8353 -rect 3789 8344 3801 8347 -rect 2332 8316 3801 8344 -rect 3789 8313 3801 8316 -rect 3835 8313 3847 8347 -rect 4448 8344 4476 8375 -rect 4982 8372 4988 8424 -rect 5040 8412 5046 8424 -rect 5353 8415 5411 8421 -rect 5353 8412 5365 8415 -rect 5040 8384 5365 8412 -rect 5040 8372 5046 8384 -rect 5353 8381 5365 8384 -rect 5399 8381 5411 8415 -rect 5353 8375 5411 8381 -rect 5445 8415 5503 8421 -rect 5445 8381 5457 8415 -rect 5491 8381 5503 8415 -rect 5445 8375 5503 8381 -rect 5721 8415 5779 8421 -rect 5721 8381 5733 8415 -rect 5767 8381 5779 8415 -rect 5721 8375 5779 8381 -rect 5166 8344 5172 8356 -rect 4448 8316 5172 8344 -rect 3789 8307 3847 8313 -rect 5166 8304 5172 8316 -rect 5224 8344 5230 8356 -rect 5460 8344 5488 8375 -rect 5224 8316 5488 8344 -rect 5224 8304 5230 8316 -rect 2958 8276 2964 8288 -rect 2919 8248 2964 8276 -rect 2958 8236 2964 8248 -rect 3016 8236 3022 8288 -rect 5736 8276 5764 8375 -rect 7116 8353 7144 8452 -rect 7282 8440 7288 8452 -rect 7340 8480 7346 8492 -rect 7576 8489 7604 8520 -rect 10134 8508 10140 8520 -rect 10192 8508 10198 8560 -rect 10594 8508 10600 8560 -rect 10652 8548 10658 8560 -rect 10965 8551 11023 8557 -rect 10965 8548 10977 8551 -rect 10652 8520 10977 8548 -rect 10652 8508 10658 8520 -rect 10965 8517 10977 8520 -rect 11011 8517 11023 8551 -rect 12894 8548 12900 8560 -rect 10965 8511 11023 8517 -rect 11072 8520 12900 8548 -rect 7377 8483 7435 8489 -rect 7377 8480 7389 8483 -rect 7340 8452 7389 8480 -rect 7340 8440 7346 8452 -rect 7377 8449 7389 8452 -rect 7423 8449 7435 8483 -rect 7377 8443 7435 8449 -rect 7561 8483 7619 8489 -rect 7561 8449 7573 8483 -rect 7607 8449 7619 8483 -rect 7561 8443 7619 8449 -rect 7745 8483 7803 8489 -rect 7745 8449 7757 8483 -rect 7791 8449 7803 8483 -rect 7745 8443 7803 8449 -rect 7469 8415 7527 8421 -rect 7469 8381 7481 8415 -rect 7515 8412 7527 8415 -rect 7760 8412 7788 8443 -rect 8386 8440 8392 8492 -rect 8444 8480 8450 8492 -rect 8481 8483 8539 8489 -rect 8481 8480 8493 8483 -rect 8444 8452 8493 8480 -rect 8444 8440 8450 8452 -rect 8481 8449 8493 8452 -rect 8527 8449 8539 8483 -rect 8481 8443 8539 8449 -rect 7515 8384 7788 8412 -rect 8021 8415 8079 8421 -rect 7515 8381 7527 8384 -rect 7469 8375 7527 8381 -rect 8021 8381 8033 8415 -rect 8067 8381 8079 8415 -rect 8496 8412 8524 8443 -rect 8570 8440 8576 8492 -rect 8628 8480 8634 8492 -rect 11072 8489 11100 8520 -rect 12894 8508 12900 8520 -rect 12952 8508 12958 8560 -rect 13004 8557 13032 8588 -rect 14550 8576 14556 8628 -rect 14608 8616 14614 8628 -rect 14645 8619 14703 8625 -rect 14645 8616 14657 8619 -rect 14608 8588 14657 8616 -rect 14608 8576 14614 8588 -rect 14645 8585 14657 8588 -rect 14691 8585 14703 8619 -rect 14645 8579 14703 8585 -rect 14875 8619 14933 8625 -rect 14875 8585 14887 8619 -rect 14921 8616 14933 8619 -rect 15838 8616 15844 8628 -rect 14921 8588 15844 8616 -rect 14921 8585 14933 8588 -rect 14875 8579 14933 8585 -rect 12989 8551 13047 8557 -rect 12989 8517 13001 8551 -rect 13035 8548 13047 8551 -rect 13446 8548 13452 8560 -rect 13035 8520 13452 8548 -rect 13035 8517 13047 8520 -rect 12989 8511 13047 8517 -rect 13446 8508 13452 8520 -rect 13504 8508 13510 8560 -rect 14660 8548 14688 8579 -rect 15838 8576 15844 8588 -rect 15896 8576 15902 8628 -rect 18325 8619 18383 8625 -rect 18325 8585 18337 8619 -rect 18371 8585 18383 8619 -rect 18325 8579 18383 8585 -rect 15102 8548 15108 8560 -rect 14660 8520 15108 8548 -rect 15102 8508 15108 8520 -rect 15160 8548 15166 8560 -rect 15160 8520 15318 8548 -rect 15160 8508 15166 8520 -rect 9033 8483 9091 8489 -rect 9033 8480 9045 8483 -rect 8628 8452 9045 8480 -rect 8628 8440 8634 8452 -rect 9033 8449 9045 8452 -rect 9079 8449 9091 8483 -rect 9033 8443 9091 8449 -rect 10781 8483 10839 8489 -rect 10781 8449 10793 8483 -rect 10827 8449 10839 8483 -rect 10781 8443 10839 8449 -rect 11057 8483 11115 8489 -rect 11057 8449 11069 8483 -rect 11103 8449 11115 8483 -rect 11057 8443 11115 8449 -rect 8662 8412 8668 8424 -rect 8496 8384 8668 8412 -rect 8021 8375 8079 8381 -rect 7101 8347 7159 8353 -rect 7101 8313 7113 8347 -rect 7147 8313 7159 8347 -rect 8036 8344 8064 8375 -rect 8662 8372 8668 8384 -rect 8720 8372 8726 8424 -rect 10796 8412 10824 8443 +rect 4525 8415 4583 8421 +rect 4525 8381 4537 8415 +rect 4571 8381 4583 8415 +rect 4525 8375 4583 8381 +rect 3878 8344 3884 8356 +rect 3620 8316 3884 8344 +rect 3053 8307 3111 8313 +rect 3878 8304 3884 8316 +rect 3936 8304 3942 8356 +rect 4062 8344 4068 8356 +rect 3975 8316 4068 8344 +rect 4062 8304 4068 8316 +rect 4120 8344 4126 8356 +rect 4540 8344 4568 8375 +rect 5810 8372 5816 8424 +rect 5868 8412 5874 8424 +rect 5997 8415 6055 8421 +rect 5997 8412 6009 8415 +rect 5868 8384 6009 8412 +rect 5868 8372 5874 8384 +rect 5997 8381 6009 8384 +rect 6043 8381 6055 8415 +rect 5997 8375 6055 8381 +rect 4120 8316 4568 8344 +rect 4120 8304 4126 8316 +rect 2038 8276 2044 8288 +rect 1999 8248 2044 8276 +rect 2038 8236 2044 8248 +rect 2096 8236 2102 8288 +rect 2958 8236 2964 8288 +rect 3016 8276 3022 8288 +rect 4080 8276 4108 8304 +rect 3016 8248 4108 8276 +rect 3016 8236 3022 8248 +rect 5166 8236 5172 8288 +rect 5224 8276 5230 8288 +rect 5813 8279 5871 8285 +rect 5813 8276 5825 8279 +rect 5224 8248 5825 8276 +rect 5224 8236 5230 8248 +rect 5813 8245 5825 8248 +rect 5859 8245 5871 8279 +rect 5813 8239 5871 8245 +rect 5902 8236 5908 8288 +rect 5960 8276 5966 8288 +rect 6104 8276 6132 8452 +rect 6733 8449 6745 8483 +rect 6779 8449 6791 8483 +rect 8036 8480 8064 8520 +rect 10502 8508 10508 8520 +rect 10560 8508 10566 8560 +rect 10704 8520 12296 8548 +rect 6733 8443 6791 8449 +rect 6840 8452 8064 8480 +rect 6840 8421 6868 8452 +rect 8202 8440 8208 8492 +rect 8260 8480 8266 8492 +rect 9203 8483 9261 8489 +rect 9203 8480 9215 8483 +rect 8260 8452 9215 8480 +rect 8260 8440 8266 8452 +rect 9203 8449 9215 8452 +rect 9249 8480 9261 8483 +rect 9249 8452 9536 8480 +rect 9249 8449 9261 8452 +rect 9203 8443 9261 8449 +rect 6825 8415 6883 8421 +rect 6825 8381 6837 8415 +rect 6871 8381 6883 8415 +rect 6825 8375 6883 8381 +rect 7101 8415 7159 8421 +rect 7101 8381 7113 8415 +rect 7147 8412 7159 8415 +rect 7190 8412 7196 8424 +rect 7147 8384 7196 8412 +rect 7147 8381 7159 8384 +rect 7101 8375 7159 8381 +rect 7190 8372 7196 8384 +rect 7248 8372 7254 8424 +rect 7466 8412 7472 8424 +rect 7427 8384 7472 8412 +rect 7466 8372 7472 8384 +rect 7524 8372 7530 8424 +rect 8754 8372 8760 8424 +rect 8812 8412 8818 8424 +rect 9125 8415 9183 8421 +rect 9125 8412 9137 8415 +rect 8812 8384 9137 8412 +rect 8812 8372 8818 8384 +rect 9125 8381 9137 8384 +rect 9171 8404 9183 8415 +rect 9398 8412 9404 8424 +rect 9232 8404 9404 8412 +rect 9171 8384 9404 8404 +rect 9171 8381 9260 8384 +rect 9125 8376 9260 8381 +rect 9125 8375 9183 8376 +rect 9398 8372 9404 8384 +rect 9456 8372 9462 8424 +rect 9508 8412 9536 8452 +rect 9582 8440 9588 8492 +rect 9640 8480 9646 8492 +rect 9677 8483 9735 8489 +rect 9677 8480 9689 8483 +rect 9640 8452 9689 8480 +rect 9640 8440 9646 8452 +rect 9677 8449 9689 8452 +rect 9723 8449 9735 8483 +rect 9677 8443 9735 8449 +rect 9858 8440 9864 8492 +rect 9916 8480 9922 8492 +rect 10704 8480 10732 8520 +rect 9916 8452 10732 8480 +rect 11701 8483 11759 8489 +rect 9916 8440 9922 8452 +rect 11701 8449 11713 8483 +rect 11747 8480 11759 8483 +rect 11790 8480 11796 8492 +rect 11747 8452 11796 8480 +rect 11747 8449 11759 8452 +rect 11701 8443 11759 8449 +rect 11790 8440 11796 8452 +rect 11848 8484 11854 8492 +rect 11848 8480 11928 8484 +rect 11848 8452 11941 8480 +rect 11848 8440 11854 8452 +rect 10594 8412 10600 8424 +rect 9508 8384 10600 8412 +rect 10594 8372 10600 8384 +rect 10652 8372 10658 8424 +rect 10689 8415 10747 8421 +rect 10689 8381 10701 8415 +rect 10735 8412 10747 8415 +rect 11514 8412 11520 8424 +rect 10735 8384 11520 8412 +rect 10735 8381 10747 8384 +rect 10689 8375 10747 8381 +rect 11514 8372 11520 8384 +rect 11572 8372 11578 8424 +rect 11900 8412 11928 8452 rect 11974 8440 11980 8492 rect 12032 8480 12038 8492 -rect 12253 8483 12311 8489 -rect 12253 8480 12265 8483 -rect 12032 8452 12265 8480 +rect 12268 8489 12296 8520 +rect 12342 8508 12348 8560 +rect 12400 8548 12406 8560 +rect 14553 8551 14611 8557 +rect 14553 8548 14565 8551 +rect 12400 8520 12572 8548 +rect 12400 8508 12406 8520 +rect 12544 8489 12572 8520 +rect 12820 8520 14565 8548 +rect 12069 8483 12127 8489 +rect 12069 8480 12081 8483 +rect 12032 8452 12081 8480 rect 12032 8440 12038 8452 -rect 12253 8449 12265 8452 +rect 12069 8449 12081 8452 +rect 12115 8449 12127 8483 +rect 12069 8443 12127 8449 +rect 12253 8483 12311 8489 +rect 12253 8449 12265 8483 rect 12299 8449 12311 8483 rect 12253 8443 12311 8449 -rect 12713 8483 12771 8489 -rect 12713 8449 12725 8483 -rect 12759 8480 12771 8483 -rect 12802 8480 12808 8492 -rect 12759 8452 12808 8480 -rect 12759 8449 12771 8452 -rect 12713 8443 12771 8449 -rect 12802 8440 12808 8452 -rect 12860 8440 12866 8492 -rect 13354 8480 13360 8492 -rect 13315 8452 13360 8480 -rect 13354 8440 13360 8452 -rect 13412 8440 13418 8492 -rect 14001 8483 14059 8489 -rect 14001 8449 14013 8483 -rect 14047 8449 14059 8483 -rect 14001 8443 14059 8449 -rect 14185 8483 14243 8489 -rect 14185 8449 14197 8483 -rect 14231 8480 14243 8483 -rect 14366 8480 14372 8492 -rect 14231 8452 14372 8480 -rect 14231 8449 14243 8452 -rect 14185 8443 14243 8449 -rect 11698 8412 11704 8424 -rect 10796 8384 11704 8412 -rect 11698 8372 11704 8384 -rect 11756 8372 11762 8424 -rect 11793 8415 11851 8421 -rect 11793 8381 11805 8415 -rect 11839 8381 11851 8415 -rect 11793 8375 11851 8381 -rect 11149 8347 11207 8353 -rect 8036 8316 11100 8344 -rect 7101 8307 7159 8313 -rect 6086 8276 6092 8288 -rect 5736 8248 6092 8276 -rect 6086 8236 6092 8248 -rect 6144 8236 6150 8288 -rect 7650 8236 7656 8288 -rect 7708 8276 7714 8288 -rect 7837 8279 7895 8285 -rect 7837 8276 7849 8279 -rect 7708 8248 7849 8276 -rect 7708 8236 7714 8248 -rect 7837 8245 7849 8248 -rect 7883 8245 7895 8279 -rect 7837 8239 7895 8245 -rect 7926 8236 7932 8288 -rect 7984 8276 7990 8288 -rect 8570 8276 8576 8288 -rect 7984 8248 8029 8276 -rect 8531 8248 8576 8276 -rect 7984 8236 7990 8248 -rect 8570 8236 8576 8248 -rect 8628 8236 8634 8288 -rect 8662 8236 8668 8288 -rect 8720 8276 8726 8288 -rect 9309 8279 9367 8285 -rect 9309 8276 9321 8279 -rect 8720 8248 9321 8276 -rect 8720 8236 8726 8248 -rect 9309 8245 9321 8248 -rect 9355 8276 9367 8279 -rect 10778 8276 10784 8288 -rect 9355 8248 10784 8276 -rect 9355 8245 9367 8248 -rect 9309 8239 9367 8245 -rect 10778 8236 10784 8248 -rect 10836 8236 10842 8288 -rect 11072 8276 11100 8316 -rect 11149 8313 11161 8347 -rect 11195 8344 11207 8347 -rect 11330 8344 11336 8356 -rect 11195 8316 11336 8344 -rect 11195 8313 11207 8316 -rect 11149 8307 11207 8313 -rect 11330 8304 11336 8316 -rect 11388 8304 11394 8356 -rect 11808 8344 11836 8375 -rect 12158 8372 12164 8424 -rect 12216 8412 12222 8424 -rect 12529 8415 12587 8421 -rect 12529 8412 12541 8415 -rect 12216 8384 12541 8412 -rect 12216 8372 12222 8384 -rect 12529 8381 12541 8384 -rect 12575 8381 12587 8415 -rect 13262 8412 13268 8424 -rect 13223 8384 13268 8412 -rect 12529 8375 12587 8381 -rect 13262 8372 13268 8384 -rect 13320 8372 13326 8424 -rect 14016 8412 14044 8443 -rect 14366 8440 14372 8452 -rect 14424 8440 14430 8492 -rect 16301 8483 16359 8489 -rect 16301 8449 16313 8483 -rect 16347 8480 16359 8483 -rect 18340 8480 18368 8579 -rect 16347 8452 18368 8480 +rect 12437 8483 12495 8489 +rect 12437 8449 12449 8483 +rect 12483 8449 12495 8483 +rect 12437 8443 12495 8449 +rect 12529 8483 12587 8489 +rect 12529 8449 12541 8483 +rect 12575 8449 12587 8483 +rect 12529 8443 12587 8449 +rect 12621 8483 12679 8489 +rect 12621 8449 12633 8483 +rect 12667 8478 12679 8483 +rect 12820 8480 12848 8520 +rect 12728 8478 12848 8480 +rect 12667 8452 12848 8478 +rect 12667 8450 12756 8452 +rect 12667 8449 12679 8450 +rect 12621 8443 12679 8449 +rect 12452 8412 12480 8443 +rect 12894 8440 12900 8492 +rect 12952 8480 12958 8492 +rect 13372 8489 13400 8520 +rect 14553 8517 14565 8520 +rect 14599 8517 14611 8551 +rect 14553 8511 14611 8517 +rect 16206 8508 16212 8560 +rect 16264 8508 16270 8560 +rect 16942 8508 16948 8560 +rect 17000 8548 17006 8560 +rect 17221 8551 17279 8557 +rect 17221 8548 17233 8551 +rect 17000 8520 17233 8548 +rect 17000 8508 17006 8520 +rect 17221 8517 17233 8520 +rect 17267 8517 17279 8551 +rect 17221 8511 17279 8517 +rect 13081 8483 13139 8489 +rect 12952 8452 12997 8480 +rect 12952 8440 12958 8452 +rect 13081 8449 13093 8483 +rect 13127 8449 13139 8483 +rect 13081 8443 13139 8449 +rect 13357 8483 13415 8489 +rect 13357 8449 13369 8483 +rect 13403 8449 13415 8483 +rect 13357 8443 13415 8449 +rect 13909 8483 13967 8489 +rect 13909 8449 13921 8483 +rect 13955 8480 13967 8483 +rect 14645 8483 14703 8489 +rect 14645 8480 14657 8483 +rect 13955 8452 14657 8480 +rect 13955 8449 13967 8452 +rect 13909 8443 13967 8449 +rect 14645 8449 14657 8452 +rect 14691 8480 14703 8483 +rect 14918 8480 14924 8492 +rect 14691 8452 14924 8480 +rect 14691 8449 14703 8452 +rect 14645 8443 14703 8449 +rect 13096 8412 13124 8443 +rect 14918 8440 14924 8452 +rect 14976 8440 14982 8492 +rect 18233 8483 18291 8489 +rect 16500 8452 16988 8480 +rect 13814 8412 13820 8424 +rect 11900 8384 13124 8412 +rect 13775 8384 13820 8412 +rect 13814 8372 13820 8384 +rect 13872 8372 13878 8424 +rect 14829 8415 14887 8421 +rect 14829 8381 14841 8415 +rect 14875 8381 14887 8415 +rect 14829 8375 14887 8381 +rect 15197 8415 15255 8421 +rect 15197 8381 15209 8415 +rect 15243 8412 15255 8415 +rect 16500 8412 16528 8452 +rect 15243 8384 16528 8412 +rect 15243 8381 15255 8384 +rect 15197 8375 15255 8381 +rect 9493 8347 9551 8353 +rect 9493 8313 9505 8347 +rect 9539 8344 9551 8347 +rect 11146 8344 11152 8356 +rect 9539 8316 11152 8344 +rect 9539 8313 9551 8316 +rect 9493 8307 9551 8313 +rect 11146 8304 11152 8316 +rect 11204 8304 11210 8356 +rect 11241 8347 11299 8353 +rect 11241 8313 11253 8347 +rect 11287 8344 11299 8347 +rect 12342 8344 12348 8356 +rect 11287 8316 12348 8344 +rect 11287 8313 11299 8316 +rect 11241 8307 11299 8313 +rect 12342 8304 12348 8316 +rect 12400 8304 12406 8356 +rect 12618 8304 12624 8356 +rect 12676 8344 12682 8356 +rect 12676 8316 12848 8344 +rect 12676 8304 12682 8316 +rect 10134 8276 10140 8288 +rect 5960 8248 6005 8276 +rect 6104 8248 10140 8276 +rect 5960 8236 5966 8248 +rect 10134 8236 10140 8248 +rect 10192 8236 10198 8288 +rect 11422 8236 11428 8288 +rect 11480 8276 11486 8288 +rect 11609 8279 11667 8285 +rect 11609 8276 11621 8279 +rect 11480 8248 11621 8276 +rect 11480 8236 11486 8248 +rect 11609 8245 11621 8248 +rect 11655 8245 11667 8279 +rect 11609 8239 11667 8245 +rect 11882 8236 11888 8288 +rect 11940 8276 11946 8288 +rect 12069 8279 12127 8285 +rect 12069 8276 12081 8279 +rect 11940 8248 12081 8276 +rect 11940 8236 11946 8248 +rect 12069 8245 12081 8248 +rect 12115 8245 12127 8279 +rect 12820 8276 12848 8316 +rect 12894 8304 12900 8356 +rect 12952 8344 12958 8356 +rect 13998 8344 14004 8356 +rect 12952 8316 14004 8344 +rect 12952 8304 12958 8316 +rect 13998 8304 14004 8316 +rect 14056 8304 14062 8356 +rect 14277 8347 14335 8353 +rect 14277 8313 14289 8347 +rect 14323 8344 14335 8347 +rect 14642 8344 14648 8356 +rect 14323 8316 14648 8344 +rect 14323 8313 14335 8316 +rect 14277 8307 14335 8313 +rect 14642 8304 14648 8316 +rect 14700 8304 14706 8356 +rect 14844 8288 14872 8375 +rect 16574 8372 16580 8424 +rect 16632 8412 16638 8424 +rect 16632 8384 16896 8412 +rect 16632 8372 16638 8384 +rect 16868 8353 16896 8384 +rect 16853 8347 16911 8353 +rect 16853 8313 16865 8347 +rect 16899 8313 16911 8347 +rect 16960 8344 16988 8452 +rect 18233 8449 18245 8483 +rect 18279 8480 18291 8483 rect 18509 8483 18567 8489 -rect 16347 8449 16359 8452 -rect 16301 8443 16359 8449 -rect 18509 8449 18521 8483 +rect 18509 8480 18521 8483 +rect 18279 8452 18521 8480 +rect 18279 8449 18291 8452 +rect 18233 8443 18291 8449 +rect 18509 8449 18521 8452 rect 18555 8480 18567 8483 rect 18598 8480 18604 8492 rect 18555 8452 18604 8480 rect 18555 8449 18567 8452 rect 18509 8443 18567 8449 -rect 14458 8412 14464 8424 -rect 14016 8384 14464 8412 -rect 14458 8372 14464 8384 -rect 14516 8372 14522 8424 -rect 16666 8412 16672 8424 -rect 16579 8384 16672 8412 -rect 16666 8372 16672 8384 -rect 16724 8412 16730 8424 -rect 17862 8412 17868 8424 -rect 16724 8384 17868 8412 -rect 16724 8372 16730 8384 -rect 17862 8372 17868 8384 -rect 17920 8372 17926 8424 -rect 18233 8415 18291 8421 -rect 18233 8381 18245 8415 -rect 18279 8412 18291 8415 -rect 18524 8412 18552 8443 rect 18598 8440 18604 8452 rect 18656 8440 18662 8492 -rect 18279 8384 18552 8412 -rect 18279 8381 18291 8384 -rect 18233 8375 18291 8381 -rect 12710 8344 12716 8356 -rect 11808 8316 12716 8344 -rect 12710 8304 12716 8316 -rect 12768 8304 12774 8356 -rect 11238 8276 11244 8288 -rect 11072 8248 11244 8276 -rect 11238 8236 11244 8248 -rect 11296 8236 11302 8288 -rect 12161 8279 12219 8285 -rect 12161 8245 12173 8279 -rect 12207 8276 12219 8279 -rect 12250 8276 12256 8288 -rect 12207 8248 12256 8276 -rect 12207 8245 12219 8248 -rect 12161 8239 12219 8245 -rect 12250 8236 12256 8248 -rect 12308 8236 12314 8288 -rect 14001 8279 14059 8285 -rect 14001 8245 14013 8279 -rect 14047 8276 14059 8279 -rect 14274 8276 14280 8288 -rect 14047 8248 14280 8276 -rect 14047 8245 14059 8248 -rect 14001 8239 14059 8245 -rect 14274 8236 14280 8248 -rect 14332 8236 14338 8288 +rect 17402 8412 17408 8424 +rect 17363 8384 17408 8412 +rect 17402 8372 17408 8384 +rect 17460 8372 17466 8424 +rect 18325 8347 18383 8353 +rect 18325 8344 18337 8347 +rect 16960 8316 18337 8344 +rect 16853 8307 16911 8313 +rect 18325 8313 18337 8316 +rect 18371 8313 18383 8347 +rect 18325 8307 18383 8313 +rect 14826 8276 14832 8288 +rect 12820 8248 14832 8276 +rect 12069 8239 12127 8245 +rect 14826 8236 14832 8248 +rect 14884 8236 14890 8288 +rect 16623 8279 16681 8285 +rect 16623 8245 16635 8279 +rect 16669 8276 16681 8279 +rect 16758 8276 16764 8288 +rect 16669 8248 16764 8276 +rect 16669 8245 16681 8248 +rect 16623 8239 16681 8245 +rect 16758 8236 16764 8248 +rect 16816 8236 16822 8288 rect 0 8186 18860 8208 rect 0 8134 3110 8186 rect 3162 8134 3174 8186 @@ -3133,20 +3426,37 @@ rect 15690 8134 15702 8186 rect 15754 8134 15766 8186 rect 15818 8134 18860 8186 rect 0 8112 18860 8134 -rect 2130 8032 2136 8084 -rect 2188 8072 2194 8084 -rect 2225 8075 2283 8081 -rect 2225 8072 2237 8075 -rect 2188 8044 2237 8072 -rect 2188 8032 2194 8044 -rect 2225 8041 2237 8044 -rect 2271 8072 2283 8075 -rect 2682 8072 2688 8084 -rect 2271 8044 2688 8072 -rect 2271 8041 2283 8044 -rect 2225 8035 2283 8041 -rect 2682 8032 2688 8044 -rect 2740 8032 2746 8084 +rect 566 8032 572 8084 +rect 624 8072 630 8084 +rect 1397 8075 1455 8081 +rect 1397 8072 1409 8075 +rect 624 8044 1409 8072 +rect 624 8032 630 8044 +rect 1397 8041 1409 8044 +rect 1443 8041 1455 8075 +rect 1397 8035 1455 8041 +rect 1489 8075 1547 8081 +rect 1489 8041 1501 8075 +rect 1535 8072 1547 8075 +rect 2130 8072 2136 8084 +rect 1535 8044 2136 8072 +rect 1535 8041 1547 8044 +rect 1489 8035 1547 8041 +rect 2130 8032 2136 8044 +rect 2188 8032 2194 8084 +rect 2314 8032 2320 8084 +rect 2372 8072 2378 8084 +rect 2409 8075 2467 8081 +rect 2409 8072 2421 8075 +rect 2372 8044 2421 8072 +rect 2372 8032 2378 8044 +rect 2409 8041 2421 8044 +rect 2455 8041 2467 8075 +rect 2409 8035 2467 8041 +rect 2498 8032 2504 8084 +rect 2556 8072 2562 8084 +rect 2556 8044 2601 8072 +rect 2556 8032 2562 8044 rect 4246 8032 4252 8084 rect 4304 8072 4310 8084 rect 9766 8072 9772 8084 @@ -3154,391 +3464,326 @@ rect 4304 8044 9772 8072 rect 4304 8032 4310 8044 rect 9766 8032 9772 8044 rect 9824 8032 9830 8084 -rect 12437 8075 12495 8081 -rect 12437 8041 12449 8075 -rect 12483 8072 12495 8075 -rect 12894 8072 12900 8084 -rect 12483 8044 12900 8072 -rect 12483 8041 12495 8044 -rect 12437 8035 12495 8041 -rect 12894 8032 12900 8044 -rect 12952 8032 12958 8084 -rect 13354 8032 13360 8084 -rect 13412 8072 13418 8084 -rect 13725 8075 13783 8081 -rect 13725 8072 13737 8075 -rect 13412 8044 13737 8072 -rect 13412 8032 13418 8044 -rect 13725 8041 13737 8044 -rect 13771 8041 13783 8075 -rect 15102 8072 15108 8084 -rect 15063 8044 15108 8072 -rect 13725 8035 13783 8041 -rect 15102 8032 15108 8044 -rect 15160 8072 15166 8084 -rect 15657 8075 15715 8081 -rect 15657 8072 15669 8075 -rect 15160 8044 15669 8072 -rect 15160 8032 15166 8044 -rect 15657 8041 15669 8044 -rect 15703 8072 15715 8075 -rect 16482 8072 16488 8084 -rect 15703 8044 16488 8072 -rect 15703 8041 15715 8044 -rect 15657 8035 15715 8041 -rect 16482 8032 16488 8044 -rect 16540 8032 16546 8084 -rect 1857 8007 1915 8013 -rect 1857 7973 1869 8007 -rect 1903 8004 1915 8007 -rect 2774 8004 2780 8016 -rect 1903 7976 2780 8004 -rect 1903 7973 1915 7976 -rect 1857 7967 1915 7973 -rect 2774 7964 2780 7976 -rect 2832 7964 2838 8016 -rect 5074 7964 5080 8016 -rect 5132 8004 5138 8016 -rect 5350 8004 5356 8016 -rect 5132 7976 5356 8004 -rect 5132 7964 5138 7976 -rect 5350 7964 5356 7976 -rect 5408 8004 5414 8016 -rect 5445 8007 5503 8013 -rect 5445 8004 5457 8007 -rect 5408 7976 5457 8004 -rect 5408 7964 5414 7976 -rect 5445 7973 5457 7976 -rect 5491 7973 5503 8007 -rect 7650 8004 7656 8016 -rect 7611 7976 7656 8004 -rect 5445 7967 5503 7973 -rect 7650 7964 7656 7976 -rect 7708 7964 7714 8016 -rect 7926 8004 7932 8016 -rect 7887 7976 7932 8004 -rect 7926 7964 7932 7976 -rect 7984 7964 7990 8016 -rect 11330 8004 11336 8016 -rect 9784 7976 11336 8004 -rect 2222 7936 2228 7948 -rect 1964 7908 2228 7936 -rect 1964 7877 1992 7908 -rect 2222 7896 2228 7908 -rect 2280 7896 2286 7948 -rect 2498 7936 2504 7948 -rect 2459 7908 2504 7936 -rect 2498 7896 2504 7908 -rect 2556 7896 2562 7948 -rect 3237 7939 3295 7945 -rect 3237 7905 3249 7939 -rect 3283 7936 3295 7939 -rect 3510 7936 3516 7948 -rect 3283 7908 3516 7936 -rect 3283 7905 3295 7908 -rect 3237 7899 3295 7905 -rect 3510 7896 3516 7908 -rect 3568 7896 3574 7948 -rect 5258 7936 5264 7948 -rect 5219 7908 5264 7936 -rect 5258 7896 5264 7908 -rect 5316 7896 5322 7948 -rect 6638 7936 6644 7948 -rect 5552 7908 6644 7936 -rect 1949 7871 2007 7877 -rect 1949 7837 1961 7871 -rect 1995 7837 2007 7871 -rect 2130 7868 2136 7880 -rect 2091 7840 2136 7868 -rect 1949 7831 2007 7837 -rect 2130 7828 2136 7840 -rect 2188 7828 2194 7880 -rect 2314 7868 2320 7880 -rect 2275 7840 2320 7868 -rect 2314 7828 2320 7840 -rect 2372 7828 2378 7880 -rect 2958 7868 2964 7880 -rect 2919 7840 2964 7868 -rect 2958 7828 2964 7840 -rect 3016 7828 3022 7880 -rect 4982 7828 4988 7880 -rect 5040 7868 5046 7880 -rect 5552 7877 5580 7908 -rect 6638 7896 6644 7908 -rect 6696 7896 6702 7948 -rect 7282 7936 7288 7948 -rect 7243 7908 7288 7936 -rect 7282 7896 7288 7908 -rect 7340 7896 7346 7948 -rect 7837 7939 7895 7945 -rect 7837 7905 7849 7939 -rect 7883 7936 7895 7939 -rect 8294 7936 8300 7948 -rect 7883 7908 8300 7936 -rect 7883 7905 7895 7908 -rect 7837 7899 7895 7905 -rect 8294 7896 8300 7908 -rect 8352 7896 8358 7948 -rect 8478 7936 8484 7948 -rect 8439 7908 8484 7936 -rect 8478 7896 8484 7908 -rect 8536 7896 8542 7948 -rect 9122 7896 9128 7948 -rect 9180 7936 9186 7948 -rect 9784 7936 9812 7976 -rect 11330 7964 11336 7976 -rect 11388 8004 11394 8016 -rect 11885 8007 11943 8013 -rect 11388 7976 11560 8004 -rect 11388 7964 11394 7976 +rect 11790 8072 11796 8084 +rect 11751 8044 11796 8072 +rect 11790 8032 11796 8044 +rect 11848 8032 11854 8084 +rect 18506 8072 18512 8084 +rect 11992 8044 18512 8072 +rect 3694 8004 3700 8016 +rect 1872 7976 3700 8004 +rect 1302 7936 1308 7948 +rect 1263 7908 1308 7936 +rect 1302 7896 1308 7908 +rect 1360 7896 1366 7948 +rect 1872 7945 1900 7976 +rect 3694 7964 3700 7976 +rect 3752 7964 3758 8016 +rect 5534 7964 5540 8016 +rect 5592 8004 5598 8016 +rect 5629 8007 5687 8013 +rect 5629 8004 5641 8007 +rect 5592 7976 5641 8004 +rect 5592 7964 5598 7976 +rect 5629 7973 5641 7976 +rect 5675 7973 5687 8007 +rect 5629 7967 5687 7973 +rect 1857 7939 1915 7945 +rect 1857 7905 1869 7939 +rect 1903 7905 1915 7939 +rect 1857 7899 1915 7905 +rect 2958 7896 2964 7948 +rect 3016 7936 3022 7948 +rect 3053 7939 3111 7945 +rect 3053 7936 3065 7939 +rect 3016 7908 3065 7936 +rect 3016 7896 3022 7908 +rect 3053 7905 3065 7908 +rect 3099 7905 3111 7939 +rect 3053 7899 3111 7905 +rect 6641 7939 6699 7945 +rect 6641 7905 6653 7939 +rect 6687 7936 6699 7939 +rect 7558 7936 7564 7948 +rect 6687 7908 7564 7936 +rect 6687 7905 6699 7908 +rect 6641 7899 6699 7905 +rect 7558 7896 7564 7908 +rect 7616 7896 7622 7948 +rect 8846 7936 8852 7948 +rect 8807 7908 8852 7936 +rect 8846 7896 8852 7908 +rect 8904 7896 8910 7948 rect 11422 7936 11428 7948 -rect 9180 7908 9812 7936 rect 11383 7908 11428 7936 -rect 9180 7896 9186 7908 rect 11422 7896 11428 7908 rect 11480 7896 11486 7948 -rect 11532 7936 11560 7976 -rect 11885 7973 11897 8007 -rect 11931 8004 11943 8007 -rect 12802 8004 12808 8016 -rect 11931 7976 12808 8004 -rect 11931 7973 11943 7976 -rect 11885 7967 11943 7973 -rect 12802 7964 12808 7976 -rect 12860 7964 12866 8016 -rect 13740 7976 14872 8004 -rect 13740 7948 13768 7976 -rect 11532 7908 12434 7936 -rect 5537 7871 5595 7877 -rect 5537 7868 5549 7871 -rect 5040 7840 5549 7868 -rect 5040 7828 5046 7840 -rect 5537 7837 5549 7840 -rect 5583 7837 5595 7871 -rect 5537 7831 5595 7837 +rect 1581 7871 1639 7877 +rect 1581 7837 1593 7871 +rect 1627 7868 1639 7871 +rect 1946 7868 1952 7880 +rect 1627 7840 1952 7868 +rect 1627 7837 1639 7840 +rect 1581 7831 1639 7837 +rect 1946 7828 1952 7840 +rect 2004 7868 2010 7880 +rect 2869 7871 2927 7877 +rect 2869 7868 2881 7871 +rect 2004 7840 2881 7868 +rect 2004 7828 2010 7840 +rect 2869 7837 2881 7840 +rect 2915 7837 2927 7871 +rect 2869 7831 2927 7837 +rect 3694 7828 3700 7880 +rect 3752 7868 3758 7880 +rect 5445 7871 5503 7877 +rect 5445 7868 5457 7871 +rect 3752 7840 5457 7868 +rect 3752 7828 3758 7840 +rect 5445 7837 5457 7840 +rect 5491 7837 5503 7871 +rect 5445 7831 5503 7837 rect 6086 7828 6092 7880 rect 6144 7868 6150 7880 -rect 6457 7871 6515 7877 -rect 6457 7868 6469 7871 -rect 6144 7840 6469 7868 +rect 6273 7871 6331 7877 +rect 6273 7868 6285 7871 +rect 6144 7840 6285 7868 rect 6144 7828 6150 7840 -rect 6457 7837 6469 7840 -rect 6503 7837 6515 7871 -rect 7466 7868 7472 7880 -rect 7427 7840 7472 7868 -rect 6457 7831 6515 7837 -rect 7466 7828 7472 7840 -rect 7524 7828 7530 7880 -rect 8110 7868 8116 7880 -rect 8071 7840 8116 7868 -rect 8110 7828 8116 7840 -rect 8168 7828 8174 7880 -rect 11517 7871 11575 7877 -rect 11517 7837 11529 7871 -rect 11563 7868 11575 7871 -rect 11974 7868 11980 7880 -rect 11563 7840 11980 7868 -rect 11563 7837 11575 7840 -rect 11517 7831 11575 7837 -rect 11974 7828 11980 7840 -rect 12032 7828 12038 7880 -rect 12069 7871 12127 7877 -rect 12069 7837 12081 7871 -rect 12115 7837 12127 7871 -rect 12069 7831 12127 7837 -rect 12161 7871 12219 7877 -rect 12161 7837 12173 7871 -rect 12207 7837 12219 7871 -rect 12161 7831 12219 7837 -rect 5261 7803 5319 7809 -rect 5261 7769 5273 7803 -rect 5307 7800 5319 7803 -rect 5350 7800 5356 7812 -rect 5307 7772 5356 7800 -rect 5307 7769 5319 7772 -rect 5261 7763 5319 7769 -rect 5350 7760 5356 7772 -rect 5408 7800 5414 7812 -rect 6181 7803 6239 7809 -rect 6181 7800 6193 7803 -rect 5408 7772 6193 7800 -rect 5408 7760 5414 7772 -rect 6181 7769 6193 7772 -rect 6227 7769 6239 7803 -rect 6181 7763 6239 7769 -rect 6365 7803 6423 7809 -rect 6365 7769 6377 7803 -rect 6411 7800 6423 7803 -rect 6546 7800 6552 7812 -rect 6411 7772 6552 7800 -rect 6411 7769 6423 7772 -rect 6365 7763 6423 7769 -rect 6546 7760 6552 7772 -rect 6604 7760 6610 7812 -rect 6641 7803 6699 7809 -rect 6641 7769 6653 7803 -rect 6687 7800 6699 7803 -rect 8386 7800 8392 7812 -rect 6687 7772 8392 7800 -rect 6687 7769 6699 7772 -rect 6641 7763 6699 7769 -rect 8386 7760 8392 7772 -rect 8444 7760 8450 7812 -rect 8757 7803 8815 7809 -rect 8757 7769 8769 7803 -rect 8803 7769 8815 7803 -rect 10042 7800 10048 7812 -rect 9982 7772 10048 7800 -rect 8757 7763 8815 7769 -rect 2498 7692 2504 7744 -rect 2556 7732 2562 7744 -rect 2593 7735 2651 7741 -rect 2593 7732 2605 7735 -rect 2556 7704 2605 7732 -rect 2556 7692 2562 7704 -rect 2593 7701 2605 7704 -rect 2639 7701 2651 7735 -rect 2593 7695 2651 7701 -rect 3053 7735 3111 7741 -rect 3053 7701 3065 7735 -rect 3099 7732 3111 7735 -rect 4154 7732 4160 7744 -rect 3099 7704 4160 7732 -rect 3099 7701 3111 7704 -rect 3053 7695 3111 7701 -rect 4154 7692 4160 7704 -rect 4212 7692 4218 7744 -rect 8297 7735 8355 7741 -rect 8297 7701 8309 7735 -rect 8343 7732 8355 7735 -rect 8772 7732 8800 7763 -rect 10042 7760 10048 7772 -rect 10100 7760 10106 7812 -rect 10134 7760 10140 7812 -rect 10192 7800 10198 7812 -rect 10505 7803 10563 7809 -rect 10505 7800 10517 7803 -rect 10192 7772 10517 7800 -rect 10192 7760 10198 7772 -rect 10505 7769 10517 7772 -rect 10551 7769 10563 7803 -rect 10505 7763 10563 7769 -rect 11790 7760 11796 7812 -rect 11848 7800 11854 7812 -rect 12084 7800 12112 7831 -rect 11848 7772 12112 7800 -rect 11848 7760 11854 7772 -rect 8343 7704 8800 7732 -rect 8343 7701 8355 7704 -rect 8297 7695 8355 7701 +rect 6273 7837 6285 7840 +rect 6319 7837 6331 7871 +rect 6273 7831 6331 7837 +rect 11146 7828 11152 7880 +rect 11204 7868 11210 7880 +rect 11241 7871 11299 7877 +rect 11241 7868 11253 7871 +rect 11204 7840 11253 7868 +rect 11204 7828 11210 7840 +rect 11241 7837 11253 7840 +rect 11287 7837 11299 7871 +rect 11698 7868 11704 7880 +rect 11659 7840 11704 7868 +rect 11241 7831 11299 7837 +rect 11698 7828 11704 7840 +rect 11756 7828 11762 7880 +rect 11882 7868 11888 7880 +rect 11843 7840 11888 7868 +rect 11882 7828 11888 7840 +rect 11940 7828 11946 7880 +rect 2041 7803 2099 7809 +rect 2041 7769 2053 7803 +rect 2087 7800 2099 7803 +rect 2498 7800 2504 7812 +rect 2087 7772 2504 7800 +rect 2087 7769 2099 7772 +rect 2041 7763 2099 7769 +rect 2498 7760 2504 7772 +rect 2556 7760 2562 7812 +rect 7374 7760 7380 7812 +rect 7432 7760 7438 7812 +rect 11992 7809 12020 8044 +rect 18506 8032 18512 8044 +rect 18564 8032 18570 8084 +rect 12066 7964 12072 8016 +rect 12124 8004 12130 8016 +rect 13357 8007 13415 8013 +rect 12124 7976 13032 8004 +rect 12124 7964 12130 7976 +rect 13004 7945 13032 7976 +rect 13357 7973 13369 8007 +rect 13403 8004 13415 8007 +rect 13630 8004 13636 8016 +rect 13403 7976 13636 8004 +rect 13403 7973 13415 7976 +rect 13357 7967 13415 7973 +rect 13630 7964 13636 7976 +rect 13688 7964 13694 8016 +rect 15749 8007 15807 8013 +rect 15749 8004 15761 8007 +rect 14200 7976 15761 8004 +rect 12989 7939 13047 7945 +rect 12406 7908 12940 7936 +rect 12406 7868 12434 7908 +rect 12912 7877 12940 7908 +rect 12989 7905 13001 7939 +rect 13035 7905 13047 7939 +rect 14200 7936 14228 7976 +rect 15749 7973 15761 7976 +rect 15795 8004 15807 8007 +rect 15930 8004 15936 8016 +rect 15795 7976 15936 8004 +rect 15795 7973 15807 7976 +rect 15749 7967 15807 7973 +rect 15930 7964 15936 7976 +rect 15988 7964 15994 8016 +rect 14366 7936 14372 7948 +rect 12989 7899 13047 7905 +rect 13188 7908 14228 7936 +rect 14279 7908 14372 7936 +rect 12084 7840 12434 7868 +rect 12713 7871 12771 7877 +rect 10597 7803 10655 7809 +rect 10597 7769 10609 7803 +rect 10643 7800 10655 7803 +rect 11977 7803 12035 7809 +rect 11977 7800 11989 7803 +rect 10643 7772 11989 7800 +rect 10643 7769 10655 7772 +rect 10597 7763 10655 7769 +rect 11977 7769 11989 7772 +rect 12023 7769 12035 7803 +rect 11977 7763 12035 7769 +rect 1949 7735 2007 7741 +rect 1949 7701 1961 7735 +rect 1995 7732 2007 7735 +rect 2961 7735 3019 7741 +rect 2961 7732 2973 7735 +rect 1995 7704 2973 7732 +rect 1995 7701 2007 7704 +rect 1949 7695 2007 7701 +rect 2961 7701 2973 7704 +rect 3007 7732 3019 7735 +rect 3786 7732 3792 7744 +rect 3007 7704 3792 7732 +rect 3007 7701 3019 7704 +rect 2961 7695 3019 7701 +rect 3786 7692 3792 7704 +rect 3844 7692 3850 7744 +rect 6181 7735 6239 7741 +rect 6181 7701 6193 7735 +rect 6227 7732 6239 7735 +rect 6914 7732 6920 7744 +rect 6227 7704 6920 7732 +rect 6227 7701 6239 7704 +rect 6181 7695 6239 7701 +rect 6914 7692 6920 7704 +rect 6972 7692 6978 7744 +rect 7098 7692 7104 7744 +rect 7156 7732 7162 7744 +rect 8067 7735 8125 7741 +rect 8067 7732 8079 7735 +rect 7156 7704 8079 7732 +rect 7156 7692 7162 7704 +rect 8067 7701 8079 7704 +rect 8113 7732 8125 7735 +rect 8202 7732 8208 7744 +rect 8113 7704 8208 7732 +rect 8113 7701 8125 7704 +rect 8067 7695 8125 7701 +rect 8202 7692 8208 7704 +rect 8260 7692 8266 7744 +rect 9122 7692 9128 7744 +rect 9180 7732 9186 7744 +rect 10873 7735 10931 7741 +rect 10873 7732 10885 7735 +rect 9180 7704 10885 7732 +rect 9180 7692 9186 7704 +rect 10873 7701 10885 7704 +rect 10919 7701 10931 7735 +rect 10873 7695 10931 7701 rect 11238 7692 11244 7744 rect 11296 7732 11302 7744 -rect 12176 7732 12204 7831 -rect 12250 7828 12256 7880 -rect 12308 7868 12314 7880 -rect 12406 7868 12434 7908 -rect 13722 7896 13728 7948 -rect 13780 7896 13786 7948 -rect 14274 7936 14280 7948 -rect 14235 7908 14280 7936 -rect 14274 7896 14280 7908 -rect 14332 7896 14338 7948 -rect 12989 7871 13047 7877 -rect 12989 7868 13001 7871 -rect 12308 7840 12353 7868 -rect 12406 7840 13001 7868 -rect 12308 7828 12314 7840 -rect 12989 7837 13001 7840 -rect 13035 7868 13047 7871 -rect 13538 7868 13544 7880 -rect 13035 7840 13544 7868 -rect 13035 7837 13047 7840 -rect 12989 7831 13047 7837 -rect 13538 7828 13544 7840 -rect 13596 7828 13602 7880 -rect 14093 7871 14151 7877 -rect 14093 7837 14105 7871 -rect 14139 7868 14151 7871 -rect 14550 7868 14556 7880 -rect 14139 7840 14556 7868 -rect 14139 7837 14151 7840 -rect 14093 7831 14151 7837 -rect 14550 7828 14556 7840 -rect 14608 7828 14614 7880 -rect 14844 7877 14872 7976 -rect 15841 7939 15899 7945 -rect 15841 7905 15853 7939 -rect 15887 7936 15899 7939 -rect 16666 7936 16672 7948 -rect 15887 7908 16672 7936 -rect 15887 7905 15899 7908 -rect 15841 7899 15899 7905 -rect 16666 7896 16672 7908 -rect 16724 7896 16730 7948 -rect 14829 7871 14887 7877 -rect 14829 7837 14841 7871 -rect 14875 7837 14887 7871 -rect 14829 7831 14887 7837 -rect 15013 7871 15071 7877 -rect 15013 7837 15025 7871 -rect 15059 7837 15071 7871 -rect 15013 7831 15071 7837 -rect 13081 7803 13139 7809 -rect 13081 7769 13093 7803 -rect 13127 7800 13139 7803 -rect 13262 7800 13268 7812 -rect 13127 7772 13268 7800 -rect 13127 7769 13139 7772 -rect 13081 7763 13139 7769 -rect 13262 7760 13268 7772 -rect 13320 7800 13326 7812 -rect 13630 7800 13636 7812 -rect 13320 7772 13636 7800 -rect 13320 7760 13326 7772 -rect 13630 7760 13636 7772 -rect 13688 7760 13694 7812 -rect 13814 7760 13820 7812 -rect 13872 7800 13878 7812 -rect 14185 7803 14243 7809 -rect 14185 7800 14197 7803 -rect 13872 7772 14197 7800 -rect 13872 7760 13878 7772 -rect 14185 7769 14197 7772 -rect 14231 7769 14243 7803 -rect 14185 7763 14243 7769 -rect 14366 7760 14372 7812 -rect 14424 7800 14430 7812 -rect 15028 7800 15056 7831 -rect 16114 7800 16120 7812 -rect 14424 7772 15056 7800 -rect 16075 7772 16120 7800 -rect 14424 7760 14430 7772 -rect 16114 7760 16120 7772 -rect 16172 7760 16178 7812 -rect 16574 7760 16580 7812 -rect 16632 7760 16638 7812 -rect 17494 7760 17500 7812 -rect 17552 7800 17558 7812 -rect 17865 7803 17923 7809 -rect 17865 7800 17877 7803 -rect 17552 7772 17877 7800 -rect 17552 7760 17558 7772 -rect 17865 7769 17877 7772 -rect 17911 7769 17923 7803 -rect 17865 7763 17923 7769 -rect 11296 7704 12204 7732 +rect 11333 7735 11391 7741 +rect 11333 7732 11345 7735 +rect 11296 7704 11345 7732 rect 11296 7692 11302 7704 -rect 13446 7692 13452 7744 -rect 13504 7732 13510 7744 -rect 14642 7732 14648 7744 -rect 13504 7704 14648 7732 -rect 13504 7692 13510 7704 -rect 14642 7692 14648 7704 -rect 14700 7692 14706 7744 -rect 15010 7732 15016 7744 -rect 14971 7704 15016 7732 -rect 15010 7692 15016 7704 -rect 15068 7692 15074 7744 +rect 11333 7701 11345 7704 +rect 11379 7732 11391 7735 +rect 12084 7732 12112 7840 +rect 12713 7837 12725 7871 +rect 12759 7837 12771 7871 +rect 12713 7831 12771 7837 +rect 12897 7871 12955 7877 +rect 12897 7837 12909 7871 +rect 12943 7868 12955 7871 +rect 13188 7868 13216 7908 +rect 14366 7896 14372 7908 +rect 14424 7936 14430 7948 +rect 15197 7939 15255 7945 +rect 15197 7936 15209 7939 +rect 14424 7908 15209 7936 +rect 14424 7896 14430 7908 +rect 15197 7905 15209 7908 +rect 15243 7905 15255 7939 +rect 16574 7936 16580 7948 +rect 15197 7899 15255 7905 +rect 15304 7908 16580 7936 +rect 12943 7840 13216 7868 +rect 13909 7871 13967 7877 +rect 12943 7837 12955 7840 +rect 12897 7831 12955 7837 +rect 13909 7837 13921 7871 +rect 13955 7837 13967 7871 +rect 13909 7831 13967 7837 +rect 12158 7760 12164 7812 +rect 12216 7800 12222 7812 +rect 12728 7800 12756 7831 +rect 13924 7800 13952 7831 +rect 13998 7828 14004 7880 +rect 14056 7868 14062 7880 +rect 14461 7871 14519 7877 +rect 14461 7868 14473 7871 +rect 14056 7840 14473 7868 +rect 14056 7828 14062 7840 +rect 14461 7837 14473 7840 +rect 14507 7837 14519 7871 +rect 14642 7868 14648 7880 +rect 14603 7840 14648 7868 +rect 14461 7831 14519 7837 +rect 14642 7828 14648 7840 +rect 14700 7828 14706 7880 +rect 14826 7828 14832 7880 +rect 14884 7868 14890 7880 +rect 15304 7877 15332 7908 +rect 16574 7896 16580 7908 +rect 16632 7896 16638 7948 +rect 16758 7896 16764 7948 +rect 16816 7936 16822 7948 +rect 17497 7939 17555 7945 +rect 17497 7936 17509 7939 +rect 16816 7908 17509 7936 +rect 16816 7896 16822 7908 +rect 17497 7905 17509 7908 +rect 17543 7905 17555 7939 +rect 17497 7899 17555 7905 +rect 14921 7871 14979 7877 +rect 14921 7868 14933 7871 +rect 14884 7840 14933 7868 +rect 14884 7828 14890 7840 +rect 14921 7837 14933 7840 +rect 14967 7837 14979 7871 +rect 14921 7831 14979 7837 +rect 15289 7871 15347 7877 +rect 15289 7837 15301 7871 +rect 15335 7837 15347 7871 +rect 17862 7868 17868 7880 +rect 17775 7840 17868 7868 +rect 15289 7831 15347 7837 +rect 14734 7800 14740 7812 +rect 12216 7772 14740 7800 +rect 12216 7760 12222 7772 +rect 14734 7760 14740 7772 +rect 14792 7760 14798 7812 +rect 11379 7704 12112 7732 +rect 11379 7701 11391 7704 +rect 11333 7695 11391 7701 +rect 12250 7692 12256 7744 +rect 12308 7732 12314 7744 +rect 12529 7735 12587 7741 +rect 12529 7732 12541 7735 +rect 12308 7704 12541 7732 +rect 12308 7692 12314 7704 +rect 12529 7701 12541 7704 +rect 12575 7701 12587 7735 +rect 14936 7732 14964 7831 +rect 17862 7828 17868 7840 +rect 17920 7828 17926 7880 +rect 16298 7760 16304 7812 +rect 16356 7800 16362 7812 +rect 16356 7772 16514 7800 +rect 16356 7760 16362 7772 +rect 17880 7732 17908 7828 +rect 14936 7704 17908 7732 +rect 12529 7695 12587 7701 rect 0 7642 18860 7664 rect 0 7590 4660 7642 rect 4712 7590 4724 7642 @@ -3567,451 +3812,501 @@ rect 17240 7590 17252 7642 rect 17304 7590 17316 7642 rect 17368 7590 18860 7642 rect 0 7568 18860 7590 -rect 2130 7488 2136 7540 -rect 2188 7488 2194 7540 -rect 2225 7531 2283 7537 -rect 2225 7497 2237 7531 -rect 2271 7528 2283 7531 -rect 2406 7528 2412 7540 -rect 2271 7500 2412 7528 -rect 2271 7497 2283 7500 -rect 2225 7491 2283 7497 -rect 2406 7488 2412 7500 -rect 2464 7488 2470 7540 -rect 4709 7531 4767 7537 -rect 4709 7497 4721 7531 -rect 4755 7528 4767 7531 -rect 5442 7528 5448 7540 -rect 4755 7500 5448 7528 -rect 4755 7497 4767 7500 -rect 4709 7491 4767 7497 -rect 5442 7488 5448 7500 -rect 5500 7488 5506 7540 -rect 7190 7488 7196 7540 -rect 7248 7528 7254 7540 -rect 8941 7531 8999 7537 -rect 8941 7528 8953 7531 -rect 7248 7500 8953 7528 -rect 7248 7488 7254 7500 -rect 8941 7497 8953 7500 -rect 8987 7528 8999 7531 -rect 9122 7528 9128 7540 -rect 8987 7500 9128 7528 -rect 8987 7497 8999 7500 -rect 8941 7491 8999 7497 -rect 9122 7488 9128 7500 -rect 9180 7488 9186 7540 -rect 10686 7528 10692 7540 -rect 10647 7500 10692 7528 -rect 10686 7488 10692 7500 -rect 10744 7488 10750 7540 -rect 11238 7488 11244 7540 -rect 11296 7528 11302 7540 -rect 11333 7531 11391 7537 -rect 11333 7528 11345 7531 -rect 11296 7500 11345 7528 -rect 11296 7488 11302 7500 -rect 11333 7497 11345 7500 -rect 11379 7497 11391 7531 -rect 11698 7528 11704 7540 -rect 11659 7500 11704 7528 -rect 11333 7491 11391 7497 -rect 11698 7488 11704 7500 -rect 11756 7488 11762 7540 -rect 13722 7528 13728 7540 -rect 13683 7500 13728 7528 -rect 13722 7488 13728 7500 -rect 13780 7488 13786 7540 -rect 13909 7531 13967 7537 -rect 13909 7497 13921 7531 -rect 13955 7497 13967 7531 -rect 13909 7491 13967 7497 +rect 1302 7488 1308 7540 +rect 1360 7528 1366 7540 +rect 2133 7531 2191 7537 +rect 2133 7528 2145 7531 +rect 1360 7500 2145 7528 +rect 1360 7488 1366 7500 +rect 2133 7497 2145 7500 +rect 2179 7497 2191 7531 +rect 5537 7531 5595 7537 +rect 2133 7491 2191 7497 +rect 3988 7500 5396 7528 rect 1946 7460 1952 7472 -rect 1794 7432 1952 7460 +rect 1907 7432 1952 7460 rect 1946 7420 1952 7432 rect 2004 7420 2010 7472 -rect 2148 7460 2176 7488 -rect 2593 7463 2651 7469 -rect 2593 7460 2605 7463 -rect 2148 7432 2605 7460 -rect 2593 7429 2605 7432 -rect 2639 7429 2651 7463 -rect 2593 7423 2651 7429 -rect 2777 7463 2835 7469 -rect 2777 7429 2789 7463 -rect 2823 7460 2835 7463 -rect 2866 7460 2872 7472 -rect 2823 7432 2872 7460 -rect 2823 7429 2835 7432 -rect 2777 7423 2835 7429 -rect 2038 7352 2044 7404 -rect 2096 7392 2102 7404 -rect 2133 7395 2191 7401 -rect 2133 7392 2145 7395 -rect 2096 7364 2145 7392 -rect 2096 7352 2102 7364 -rect 2133 7361 2145 7364 -rect 2179 7361 2191 7395 -rect 2133 7355 2191 7361 -rect 2317 7395 2375 7401 -rect 2317 7361 2329 7395 -rect 2363 7392 2375 7395 -rect 2498 7392 2504 7404 -rect 2363 7364 2504 7392 -rect 2363 7361 2375 7364 -rect 2317 7355 2375 7361 -rect 2498 7352 2504 7364 -rect 2556 7352 2562 7404 -rect 2608 7392 2636 7423 -rect 2866 7420 2872 7432 -rect 2924 7420 2930 7472 -rect 4356 7432 5028 7460 -rect 3421 7395 3479 7401 -rect 2608 7364 3004 7392 -rect 290 7324 296 7336 -rect 251 7296 296 7324 -rect 290 7284 296 7296 -rect 348 7284 354 7336 -rect 566 7324 572 7336 -rect 527 7296 572 7324 -rect 566 7284 572 7296 -rect 624 7284 630 7336 -rect 2976 7333 3004 7364 -rect 3421 7361 3433 7395 -rect 3467 7392 3479 7395 -rect 3510 7392 3516 7404 -rect 3467 7364 3516 7392 -rect 3467 7361 3479 7364 -rect 3421 7355 3479 7361 -rect 3510 7352 3516 7364 -rect 3568 7352 3574 7404 +rect 3786 7420 3792 7472 +rect 3844 7460 3850 7472 +rect 3881 7463 3939 7469 +rect 3881 7460 3893 7463 +rect 3844 7432 3893 7460 +rect 3844 7420 3850 7432 +rect 3881 7429 3893 7432 +rect 3927 7429 3939 7463 +rect 3881 7423 3939 7429 +rect 2038 7392 2044 7404 +rect 1999 7364 2044 7392 +rect 2038 7352 2044 7364 +rect 2096 7352 2102 7404 +rect 2130 7352 2136 7404 +rect 2188 7392 2194 7404 +rect 2188 7364 2233 7392 +rect 2188 7352 2194 7364 +rect 2314 7352 2320 7404 +rect 2372 7392 2378 7404 +rect 2372 7364 2417 7392 +rect 2372 7352 2378 7364 +rect 2498 7352 2504 7404 +rect 2556 7392 2562 7404 +rect 2685 7395 2743 7401 +rect 2685 7392 2697 7395 +rect 2556 7364 2697 7392 +rect 2556 7352 2562 7364 +rect 2685 7361 2697 7364 +rect 2731 7361 2743 7395 +rect 3988 7392 4016 7500 rect 4062 7392 4068 7404 -rect 4023 7364 4068 7392 +rect 3975 7364 4068 7392 +rect 2685 7355 2743 7361 rect 4062 7352 4068 7364 rect 4120 7352 4126 7404 -rect 4246 7392 4252 7404 -rect 4207 7364 4252 7392 -rect 4246 7352 4252 7364 -rect 4304 7352 4310 7404 -rect 4356 7401 4384 7432 -rect 5000 7404 5028 7432 -rect 5258 7420 5264 7472 -rect 5316 7460 5322 7472 -rect 7208 7460 7236 7488 -rect 5316 7432 7236 7460 -rect 5316 7420 5322 7432 -rect 8386 7420 8392 7472 -rect 8444 7460 8450 7472 -rect 10597 7463 10655 7469 -rect 10597 7460 10609 7463 -rect 8444 7432 10609 7460 -rect 8444 7420 8450 7432 -rect 10597 7429 10609 7432 -rect 10643 7429 10655 7463 -rect 13924 7460 13952 7491 -rect 13998 7460 14004 7472 -rect 10597 7423 10655 7429 -rect 10796 7432 11652 7460 -rect 4341 7395 4399 7401 -rect 4341 7361 4353 7395 -rect 4387 7361 4399 7395 -rect 4341 7355 4399 7361 +rect 4157 7395 4215 7401 +rect 4157 7361 4169 7395 +rect 4203 7361 4215 7395 +rect 4157 7355 4215 7361 +rect 4249 7395 4307 7401 +rect 4249 7361 4261 7395 +rect 4295 7392 4307 7395 +rect 4338 7392 4344 7404 +rect 4295 7364 4344 7392 +rect 4295 7361 4307 7364 +rect 4249 7355 4307 7361 +rect 2590 7324 2596 7336 +rect 2551 7296 2596 7324 +rect 2590 7284 2596 7296 +rect 2648 7284 2654 7336 +rect 3878 7284 3884 7336 +rect 3936 7324 3942 7336 +rect 4172 7324 4200 7355 +rect 4338 7352 4344 7364 +rect 4396 7352 4402 7404 rect 4433 7395 4491 7401 rect 4433 7361 4445 7395 -rect 4479 7392 4491 7395 +rect 4479 7361 4491 7395 rect 4890 7392 4896 7404 -rect 4479 7364 4896 7392 -rect 4479 7361 4491 7364 +rect 4851 7364 4896 7392 rect 4433 7355 4491 7361 +rect 4448 7324 4476 7355 rect 4890 7352 4896 7364 rect 4948 7352 4954 7404 -rect 4982 7352 4988 7404 -rect 5040 7352 5046 7404 -rect 5077 7395 5135 7401 -rect 5077 7361 5089 7395 -rect 5123 7361 5135 7395 -rect 5350 7392 5356 7404 -rect 5311 7364 5356 7392 -rect 5077 7355 5135 7361 -rect 2961 7327 3019 7333 -rect 2961 7293 2973 7327 -rect 3007 7324 3019 7327 -rect 5092 7324 5120 7355 -rect 5350 7352 5356 7364 -rect 5408 7352 5414 7404 -rect 5445 7395 5503 7401 -rect 5445 7361 5457 7395 -rect 5491 7392 5503 7395 -rect 5813 7395 5871 7401 -rect 5491 7364 5764 7392 -rect 5491 7361 5503 7364 -rect 5445 7355 5503 7361 -rect 5626 7324 5632 7336 -rect 3007 7296 5632 7324 -rect 3007 7293 3019 7296 -rect 2961 7287 3019 7293 -rect 5626 7284 5632 7296 -rect 5684 7284 5690 7336 -rect 5736 7333 5764 7364 -rect 5813 7361 5825 7395 -rect 5859 7392 5871 7395 -rect 6914 7392 6920 7404 -rect 5859 7364 6920 7392 -rect 5859 7361 5871 7364 -rect 5813 7355 5871 7361 -rect 6914 7352 6920 7364 -rect 6972 7352 6978 7404 -rect 7098 7352 7104 7404 -rect 7156 7392 7162 7404 -rect 7745 7395 7803 7401 -rect 7745 7392 7757 7395 -rect 7156 7364 7757 7392 -rect 7156 7352 7162 7364 -rect 7745 7361 7757 7364 -rect 7791 7361 7803 7395 -rect 7745 7355 7803 7361 -rect 7837 7395 7895 7401 -rect 7837 7361 7849 7395 -rect 7883 7392 7895 7395 -rect 8662 7392 8668 7404 -rect 7883 7364 8668 7392 -rect 7883 7361 7895 7364 -rect 7837 7355 7895 7361 -rect 5721 7327 5779 7333 -rect 5721 7293 5733 7327 -rect 5767 7324 5779 7327 -rect 5767 7296 5856 7324 -rect 5767 7293 5779 7296 -rect 5721 7287 5779 7293 -rect 5828 7268 5856 7296 -rect 5902 7284 5908 7336 -rect 5960 7324 5966 7336 -rect 6181 7327 6239 7333 -rect 6181 7324 6193 7327 -rect 5960 7296 6193 7324 -rect 5960 7284 5966 7296 -rect 6181 7293 6193 7296 -rect 6227 7293 6239 7327 -rect 6181 7287 6239 7293 -rect 6638 7284 6644 7336 -rect 6696 7324 6702 7336 -rect 7852 7324 7880 7355 -rect 8662 7352 8668 7364 -rect 8720 7352 8726 7404 -rect 8846 7392 8852 7404 -rect 8807 7364 8852 7392 -rect 8846 7352 8852 7364 -rect 8904 7352 8910 7404 -rect 9858 7352 9864 7404 -rect 9916 7392 9922 7404 -rect 10229 7395 10287 7401 -rect 10229 7392 10241 7395 -rect 9916 7364 10241 7392 -rect 9916 7352 9922 7364 -rect 10229 7361 10241 7364 -rect 10275 7361 10287 7395 -rect 10229 7355 10287 7361 +rect 5056 7401 5114 7407 +rect 5368 7404 5396 7500 +rect 5537 7497 5549 7531 +rect 5583 7528 5595 7531 +rect 5626 7528 5632 7540 +rect 5583 7500 5632 7528 +rect 5583 7497 5595 7500 +rect 5537 7491 5595 7497 +rect 5626 7488 5632 7500 +rect 5684 7488 5690 7540 +rect 7282 7488 7288 7540 +rect 7340 7528 7346 7540 +rect 7469 7531 7527 7537 +rect 7469 7528 7481 7531 +rect 7340 7500 7481 7528 +rect 7340 7488 7346 7500 +rect 7469 7497 7481 7500 +rect 7515 7497 7527 7531 +rect 8478 7528 8484 7540 +rect 8439 7500 8484 7528 +rect 7469 7491 7527 7497 +rect 8478 7488 8484 7500 +rect 8536 7488 8542 7540 +rect 8846 7488 8852 7540 +rect 8904 7488 8910 7540 +rect 8938 7488 8944 7540 +rect 8996 7528 9002 7540 +rect 11238 7528 11244 7540 +rect 8996 7500 11244 7528 +rect 8996 7488 9002 7500 +rect 11238 7488 11244 7500 +rect 11296 7488 11302 7540 +rect 12066 7488 12072 7540 +rect 12124 7528 12130 7540 +rect 17037 7531 17095 7537 +rect 17037 7528 17049 7531 +rect 12124 7500 12664 7528 +rect 12124 7488 12130 7500 +rect 7377 7463 7435 7469 +rect 7377 7460 7389 7463 +rect 5920 7432 7389 7460 +rect 5920 7404 5948 7432 +rect 7377 7429 7389 7432 +rect 7423 7429 7435 7463 +rect 7650 7460 7656 7472 +rect 7377 7423 7435 7429 +rect 7484 7432 7656 7460 +rect 5056 7398 5068 7401 +rect 5000 7370 5068 7398 +rect 3936 7296 4200 7324 +rect 4264 7296 4476 7324 +rect 3936 7284 3942 7296 +rect 4157 7259 4215 7265 +rect 4157 7225 4169 7259 +rect 4203 7256 4215 7259 +rect 4264 7256 4292 7296 +rect 4798 7284 4804 7336 +rect 4856 7324 4862 7336 +rect 5000 7324 5028 7370 +rect 5056 7367 5068 7370 +rect 5102 7367 5114 7401 +rect 5056 7361 5114 7367 +rect 5166 7352 5172 7404 +rect 5224 7395 5230 7404 +rect 5350 7401 5356 7404 +rect 5307 7395 5356 7401 +rect 5224 7367 5266 7395 +rect 5224 7352 5230 7367 +rect 5307 7361 5319 7395 +rect 5353 7361 5356 7395 +rect 5307 7355 5356 7361 +rect 5350 7352 5356 7355 +rect 5408 7392 5414 7404 +rect 5718 7392 5724 7404 +rect 5408 7364 5724 7392 +rect 5408 7352 5414 7364 +rect 5718 7352 5724 7364 +rect 5776 7352 5782 7404 +rect 5902 7392 5908 7404 +rect 5863 7364 5908 7392 +rect 5902 7352 5908 7364 +rect 5960 7352 5966 7404 +rect 6089 7395 6147 7401 +rect 6089 7361 6101 7395 +rect 6135 7361 6147 7395 +rect 6917 7395 6975 7401 +rect 6917 7392 6929 7395 +rect 6089 7355 6147 7361 +rect 6748 7364 6929 7392 +rect 4856 7296 5028 7324 +rect 4856 7284 4862 7296 +rect 5534 7284 5540 7336 +rect 5592 7324 5598 7336 +rect 5994 7324 6000 7336 +rect 5592 7296 6000 7324 +rect 5592 7284 5598 7296 +rect 5994 7284 6000 7296 +rect 6052 7324 6058 7336 +rect 6104 7324 6132 7355 +rect 6052 7296 6132 7324 +rect 6457 7327 6515 7333 +rect 6052 7284 6058 7296 +rect 6457 7293 6469 7327 +rect 6503 7324 6515 7327 +rect 6546 7324 6552 7336 +rect 6503 7296 6552 7324 +rect 6503 7293 6515 7296 +rect 6457 7287 6515 7293 +rect 6546 7284 6552 7296 +rect 6604 7284 6610 7336 +rect 4203 7228 4292 7256 +rect 6089 7259 6147 7265 +rect 4203 7225 4215 7228 +rect 4157 7219 4215 7225 +rect 6089 7225 6101 7259 +rect 6135 7256 6147 7259 +rect 6748 7256 6776 7364 +rect 6917 7361 6929 7364 +rect 6963 7392 6975 7395 +rect 7484 7392 7512 7432 +rect 7650 7420 7656 7432 +rect 7708 7460 7714 7472 +rect 8110 7460 8116 7472 +rect 7708 7432 8116 7460 +rect 7708 7420 7714 7432 +rect 8110 7420 8116 7432 +rect 8168 7420 8174 7472 +rect 8864 7460 8892 7488 +rect 11333 7463 11391 7469 +rect 11333 7460 11345 7463 +rect 8864 7432 11345 7460 +rect 11333 7429 11345 7432 +rect 11379 7429 11391 7463 +rect 12529 7463 12587 7469 +rect 12529 7460 12541 7463 +rect 11333 7423 11391 7429 +rect 12360 7432 12541 7460 +rect 6963 7364 7512 7392 +rect 8021 7395 8079 7401 +rect 6963 7361 6975 7364 +rect 6917 7355 6975 7361 +rect 8021 7361 8033 7395 +rect 8067 7392 8079 7395 +rect 8849 7395 8907 7401 +rect 8067 7364 8800 7392 +rect 8067 7361 8079 7364 +rect 8021 7355 8079 7361 +rect 6825 7327 6883 7333 +rect 6825 7293 6837 7327 +rect 6871 7293 6883 7327 +rect 6825 7287 6883 7293 +rect 6135 7228 6776 7256 +rect 6840 7256 6868 7287 +rect 7006 7284 7012 7336 +rect 7064 7324 7070 7336 +rect 7374 7324 7380 7336 +rect 7064 7296 7380 7324 +rect 7064 7284 7070 7296 +rect 7374 7284 7380 7296 +rect 7432 7284 7438 7336 +rect 8113 7327 8171 7333 +rect 8113 7293 8125 7327 +rect 8159 7324 8171 7327 +rect 8202 7324 8208 7336 +rect 8159 7296 8208 7324 +rect 8159 7293 8171 7296 +rect 8113 7287 8171 7293 +rect 8202 7284 8208 7296 +rect 8260 7284 8266 7336 +rect 8297 7327 8355 7333 +rect 8297 7293 8309 7327 +rect 8343 7293 8355 7327 +rect 8297 7287 8355 7293 +rect 7653 7259 7711 7265 +rect 7653 7256 7665 7259 +rect 6840 7228 7665 7256 +rect 6135 7225 6147 7228 +rect 6089 7219 6147 7225 +rect 7653 7225 7665 7228 +rect 7699 7225 7711 7259 +rect 8312 7256 8340 7287 +rect 7653 7219 7711 7225 +rect 8128 7228 8340 7256 +rect 8772 7256 8800 7364 +rect 8849 7361 8861 7395 +rect 8895 7392 8907 7395 +rect 9122 7392 9128 7404 +rect 8895 7364 9128 7392 +rect 8895 7361 8907 7364 +rect 8849 7355 8907 7361 +rect 9122 7352 9128 7364 +rect 9180 7352 9186 7404 rect 10413 7395 10471 7401 rect 10413 7361 10425 7395 rect 10459 7392 10471 7395 -rect 10502 7392 10508 7404 -rect 10459 7364 10508 7392 +rect 10873 7395 10931 7401 +rect 10873 7392 10885 7395 +rect 10459 7364 10885 7392 rect 10459 7361 10471 7364 rect 10413 7355 10471 7361 -rect 10502 7352 10508 7364 -rect 10560 7352 10566 7404 -rect 6696 7296 7880 7324 -rect 6696 7284 6702 7296 -rect 8018 7284 8024 7336 -rect 8076 7324 8082 7336 -rect 8076 7296 8294 7324 -rect 8076 7284 8082 7296 -rect 2041 7259 2099 7265 -rect 2041 7225 2053 7259 -rect 2087 7256 2099 7259 -rect 2087 7228 2774 7256 -rect 2087 7225 2099 7228 -rect 2041 7219 2099 7225 -rect 2746 7188 2774 7228 -rect 5810 7216 5816 7268 -rect 5868 7216 5874 7268 -rect 8266 7256 8294 7296 +rect 10873 7361 10885 7364 +rect 10919 7361 10931 7395 +rect 11054 7392 11060 7404 +rect 11015 7364 11060 7392 +rect 10873 7355 10931 7361 +rect 11054 7352 11060 7364 +rect 11112 7392 11118 7404 +rect 11698 7392 11704 7404 +rect 11112 7364 11704 7392 +rect 11112 7352 11118 7364 +rect 11698 7352 11704 7364 +rect 11756 7352 11762 7404 +rect 12158 7392 12164 7404 +rect 11808 7364 12164 7392 +rect 8938 7324 8944 7336 +rect 8899 7296 8944 7324 +rect 8938 7284 8944 7296 +rect 8996 7284 9002 7336 rect 9030 7284 9036 7336 rect 9088 7324 9094 7336 -rect 10321 7327 10379 7333 -rect 9088 7296 9133 7324 +rect 10318 7324 10324 7336 +rect 9088 7296 10324 7324 rect 9088 7284 9094 7296 -rect 10321 7293 10333 7327 -rect 10367 7324 10379 7327 -rect 10796 7324 10824 7432 -rect 10870 7352 10876 7404 -rect 10928 7392 10934 7404 -rect 11149 7395 11207 7401 -rect 10928 7364 10973 7392 -rect 10928 7352 10934 7364 -rect 11149 7361 11161 7395 -rect 11195 7392 11207 7395 -rect 11330 7392 11336 7404 -rect 11195 7364 11336 7392 -rect 11195 7361 11207 7364 -rect 11149 7355 11207 7361 -rect 11330 7352 11336 7364 -rect 11388 7352 11394 7404 -rect 11624 7401 11652 7432 -rect 11425 7395 11483 7401 -rect 11425 7361 11437 7395 -rect 11471 7361 11483 7395 -rect 11425 7355 11483 7361 -rect 11609 7395 11667 7401 -rect 11609 7361 11621 7395 -rect 11655 7392 11667 7395 -rect 11790 7392 11796 7404 -rect 11655 7364 11796 7392 -rect 11655 7361 11667 7364 -rect 11609 7355 11667 7361 -rect 10367 7296 10824 7324 -rect 10367 7293 10379 7296 -rect 10321 7287 10379 7293 -rect 11054 7284 11060 7336 -rect 11112 7324 11118 7336 -rect 11440 7324 11468 7355 -rect 11790 7352 11796 7364 -rect 11848 7352 11854 7404 -rect 11885 7395 11943 7401 -rect 11885 7361 11897 7395 -rect 11931 7392 11943 7395 -rect 12250 7392 12256 7404 -rect 11931 7364 12256 7392 -rect 11931 7361 11943 7364 -rect 11885 7355 11943 7361 -rect 12250 7352 12256 7364 -rect 12308 7352 12314 7404 -rect 13446 7392 13452 7404 -rect 13407 7364 13452 7392 -rect 13446 7352 13452 7364 -rect 13504 7352 13510 7404 -rect 13630 7392 13636 7404 -rect 13591 7364 13636 7392 -rect 13630 7352 13636 7364 -rect 13688 7352 13694 7404 -rect 13722 7386 13728 7438 -rect 13780 7386 13786 7438 -rect 13924 7432 14004 7460 -rect 13998 7420 14004 7432 -rect 14056 7420 14062 7472 -rect 14277 7463 14335 7469 -rect 14277 7429 14289 7463 -rect 14323 7460 14335 7463 -rect 14366 7460 14372 7472 -rect 14323 7432 14372 7460 -rect 14323 7429 14335 7432 -rect 14277 7423 14335 7429 -rect 14366 7420 14372 7432 -rect 14424 7420 14430 7472 -rect 14550 7469 14556 7472 -rect 14507 7463 14556 7469 -rect 14507 7429 14519 7463 -rect 14553 7429 14556 7463 -rect 14507 7423 14556 7429 -rect 14550 7420 14556 7423 -rect 14608 7420 14614 7472 -rect 15102 7420 15108 7472 -rect 15160 7420 15166 7472 -rect 13817 7395 13875 7401 -rect 13723 7383 13735 7386 -rect 13769 7383 13781 7386 -rect 13723 7377 13781 7383 -rect 13817 7361 13829 7395 -rect 13863 7390 13875 7395 -rect 13906 7390 13912 7404 -rect 13863 7362 13912 7390 -rect 13863 7361 13875 7362 -rect 13817 7355 13875 7361 -rect 13906 7352 13912 7362 -rect 13964 7352 13970 7404 -rect 14093 7395 14151 7401 -rect 14093 7361 14105 7395 -rect 14139 7392 14151 7395 -rect 14642 7392 14648 7404 -rect 14139 7364 14648 7392 -rect 14139 7361 14151 7364 -rect 14093 7355 14151 7361 -rect 14642 7352 14648 7364 -rect 14700 7352 14706 7404 -rect 12158 7324 12164 7336 -rect 11112 7296 12164 7324 -rect 11112 7284 11118 7296 -rect 12158 7284 12164 7296 -rect 12216 7284 12222 7336 -rect 15010 7284 15016 7336 -rect 15068 7324 15074 7336 -rect 15933 7327 15991 7333 -rect 15933 7324 15945 7327 -rect 15068 7296 15945 7324 -rect 15068 7284 15074 7296 -rect 15933 7293 15945 7296 -rect 15979 7293 15991 7327 -rect 16298 7324 16304 7336 -rect 16259 7296 16304 7324 -rect 15933 7287 15991 7293 -rect 16298 7284 16304 7296 -rect 16356 7284 16362 7336 -rect 10042 7256 10048 7268 -rect 8266 7228 10048 7256 -rect 10042 7216 10048 7228 -rect 10100 7216 10106 7268 -rect 10137 7259 10195 7265 -rect 10137 7225 10149 7259 -rect 10183 7256 10195 7259 -rect 10226 7256 10232 7268 -rect 10183 7228 10232 7256 -rect 10183 7225 10195 7228 -rect 10137 7219 10195 7225 -rect 10226 7216 10232 7228 -rect 10284 7256 10290 7268 -rect 10284 7228 14688 7256 -rect 10284 7216 10290 7228 -rect 2958 7188 2964 7200 -rect 2746 7160 2964 7188 -rect 2958 7148 2964 7160 -rect 3016 7148 3022 7200 -rect 5534 7188 5540 7200 -rect 5495 7160 5540 7188 -rect 5534 7148 5540 7160 -rect 5592 7148 5598 7200 +rect 10318 7284 10324 7296 +rect 10376 7284 10382 7336 +rect 10505 7327 10563 7333 +rect 10505 7293 10517 7327 +rect 10551 7293 10563 7327 +rect 10505 7287 10563 7293 +rect 10689 7327 10747 7333 +rect 10689 7293 10701 7327 +rect 10735 7324 10747 7327 +rect 11422 7324 11428 7336 +rect 10735 7296 11428 7324 +rect 10735 7293 10747 7296 +rect 10689 7287 10747 7293 +rect 10045 7259 10103 7265 +rect 10045 7256 10057 7259 +rect 8772 7228 10057 7256 +rect 2958 7148 2964 7200 +rect 3016 7188 3022 7200 +rect 3053 7191 3111 7197 +rect 3053 7188 3065 7191 +rect 3016 7160 3065 7188 +rect 3016 7148 3022 7160 +rect 3053 7157 3065 7160 +rect 3099 7157 3111 7191 +rect 4246 7188 4252 7200 +rect 4207 7160 4252 7188 +rect 3053 7151 3111 7157 +rect 4246 7148 4252 7160 +rect 4304 7148 4310 7200 +rect 7101 7191 7159 7197 +rect 7101 7157 7113 7191 +rect 7147 7188 7159 7191 rect 7374 7188 7380 7200 -rect 7335 7160 7380 7188 +rect 7147 7160 7380 7188 +rect 7147 7157 7159 7160 +rect 7101 7151 7159 7157 rect 7374 7148 7380 7160 rect 7432 7148 7438 7200 -rect 8294 7188 8300 7200 -rect 8255 7160 8300 7188 -rect 8294 7148 8300 7160 -rect 8352 7148 8358 7200 -rect 8478 7188 8484 7200 -rect 8439 7160 8484 7188 -rect 8478 7148 8484 7160 -rect 8536 7148 8542 7200 -rect 10962 7188 10968 7200 -rect 10923 7160 10968 7188 -rect 10962 7148 10968 7160 -rect 11020 7148 11026 7200 -rect 13722 7148 13728 7200 -rect 13780 7188 13786 7200 -rect 13998 7188 14004 7200 -rect 13780 7160 14004 7188 -rect 13780 7148 13786 7160 -rect 13998 7148 14004 7160 -rect 14056 7188 14062 7200 -rect 14366 7188 14372 7200 -rect 14056 7160 14372 7188 -rect 14056 7148 14062 7160 -rect 14366 7148 14372 7160 -rect 14424 7148 14430 7200 -rect 14660 7188 14688 7228 -rect 18506 7188 18512 7200 -rect 14660 7160 18512 7188 -rect 18506 7148 18512 7160 -rect 18564 7148 18570 7200 +rect 7558 7148 7564 7200 +rect 7616 7188 7622 7200 +rect 8128 7188 8156 7228 +rect 10045 7225 10057 7228 +rect 10091 7225 10103 7259 +rect 10520 7256 10548 7287 +rect 11422 7284 11428 7296 +rect 11480 7284 11486 7336 +rect 10778 7256 10784 7268 +rect 10520 7228 10784 7256 +rect 10045 7219 10103 7225 +rect 10778 7216 10784 7228 +rect 10836 7256 10842 7268 +rect 11808 7256 11836 7364 +rect 12158 7352 12164 7364 +rect 12216 7352 12222 7404 +rect 12360 7401 12388 7432 +rect 12529 7429 12541 7432 +rect 12575 7429 12587 7463 +rect 12529 7423 12587 7429 +rect 12636 7401 12664 7500 +rect 13924 7500 17049 7528 +rect 12345 7395 12403 7401 +rect 12345 7361 12357 7395 +rect 12391 7361 12403 7395 +rect 12345 7355 12403 7361 +rect 12437 7395 12495 7401 +rect 12437 7361 12449 7395 +rect 12483 7361 12495 7395 +rect 12437 7355 12495 7361 +rect 12621 7395 12679 7401 +rect 12621 7361 12633 7395 +rect 12667 7392 12679 7395 +rect 12894 7392 12900 7404 +rect 12667 7364 12900 7392 +rect 12667 7361 12679 7364 +rect 12621 7355 12679 7361 +rect 12069 7327 12127 7333 +rect 12069 7293 12081 7327 +rect 12115 7324 12127 7327 +rect 12250 7324 12256 7336 +rect 12115 7296 12256 7324 +rect 12115 7293 12127 7296 +rect 12069 7287 12127 7293 +rect 12250 7284 12256 7296 +rect 12308 7284 12314 7336 +rect 12452 7324 12480 7355 +rect 12894 7352 12900 7364 +rect 12952 7352 12958 7404 +rect 13541 7395 13599 7401 +rect 13541 7361 13553 7395 +rect 13587 7361 13599 7395 +rect 13541 7355 13599 7361 +rect 13725 7395 13783 7401 +rect 13725 7361 13737 7395 +rect 13771 7392 13783 7395 +rect 13817 7395 13875 7401 +rect 13817 7392 13829 7395 +rect 13771 7364 13829 7392 +rect 13771 7361 13783 7364 +rect 13725 7355 13783 7361 +rect 13817 7361 13829 7364 +rect 13863 7361 13875 7395 +rect 13924 7392 13952 7500 +rect 17037 7497 17049 7500 +rect 17083 7497 17095 7531 +rect 17037 7491 17095 7497 +rect 14182 7460 14188 7472 +rect 14143 7432 14188 7460 +rect 14182 7420 14188 7432 +rect 14240 7420 14246 7472 +rect 14366 7460 14372 7472 +rect 14292 7432 14372 7460 +rect 13998 7392 14004 7404 +rect 14056 7401 14062 7404 +rect 14292 7401 14320 7432 +rect 14366 7420 14372 7432 +rect 14424 7420 14430 7472 +rect 14056 7395 14078 7401 +rect 13924 7364 14004 7392 +rect 13817 7355 13875 7361 +rect 12802 7324 12808 7336 +rect 12452 7296 12808 7324 +rect 12802 7284 12808 7296 +rect 12860 7284 12866 7336 +rect 10836 7228 11836 7256 +rect 13556 7256 13584 7355 +rect 13998 7352 14004 7364 +rect 14066 7392 14078 7395 +rect 14277 7395 14335 7401 +rect 14066 7364 14149 7392 +rect 14066 7361 14078 7364 +rect 14056 7355 14078 7361 +rect 14277 7361 14289 7395 +rect 14323 7361 14335 7395 +rect 14458 7392 14464 7404 +rect 14419 7364 14464 7392 +rect 14277 7355 14335 7361 +rect 14056 7352 14062 7355 +rect 14458 7352 14464 7364 +rect 14516 7352 14522 7404 +rect 13633 7327 13691 7333 +rect 13633 7293 13645 7327 +rect 13679 7324 13691 7327 +rect 14829 7327 14887 7333 +rect 14829 7324 14841 7327 +rect 13679 7296 14841 7324 +rect 13679 7293 13691 7296 +rect 13633 7287 13691 7293 +rect 14829 7293 14841 7296 +rect 14875 7293 14887 7327 +rect 15856 7324 15884 7446 +rect 16255 7395 16313 7401 +rect 16255 7361 16267 7395 +rect 16301 7392 16313 7395 +rect 16942 7392 16948 7404 +rect 16301 7364 16948 7392 +rect 16301 7361 16313 7364 +rect 16255 7355 16313 7361 +rect 16942 7352 16948 7364 +rect 17000 7352 17006 7404 +rect 15856 7296 16344 7324 +rect 14829 7287 14887 7293 +rect 14090 7256 14096 7268 +rect 13556 7228 14096 7256 +rect 10836 7216 10842 7228 +rect 14090 7216 14096 7228 +rect 14148 7216 14154 7268 +rect 16316 7200 16344 7296 +rect 9030 7188 9036 7200 +rect 7616 7160 9036 7188 +rect 7616 7148 7622 7160 +rect 9030 7148 9036 7160 +rect 9088 7148 9094 7200 +rect 11422 7188 11428 7200 +rect 11383 7160 11428 7188 +rect 11422 7148 11428 7160 +rect 11480 7148 11486 7200 +rect 12158 7188 12164 7200 +rect 12119 7160 12164 7188 +rect 12158 7148 12164 7160 +rect 12216 7148 12222 7200 +rect 12250 7148 12256 7200 +rect 12308 7188 12314 7200 +rect 12308 7160 12353 7188 +rect 12308 7148 12314 7160 +rect 16298 7148 16304 7200 +rect 16356 7188 16362 7200 +rect 16393 7191 16451 7197 +rect 16393 7188 16405 7191 +rect 16356 7160 16405 7188 +rect 16356 7148 16362 7160 +rect 16393 7157 16405 7160 +rect 16439 7157 16451 7191 +rect 16393 7151 16451 7157 rect 0 7098 18860 7120 rect 0 7046 3110 7098 rect 3162 7046 3174 7098 @@ -4040,445 +4335,378 @@ rect 15690 7046 15702 7098 rect 15754 7046 15766 7098 rect 15818 7046 18860 7098 rect 0 7024 18860 7046 -rect 1946 6944 1952 6996 -rect 2004 6984 2010 6996 -rect 2133 6987 2191 6993 -rect 2133 6984 2145 6987 -rect 2004 6956 2145 6984 -rect 2004 6944 2010 6956 -rect 2133 6953 2145 6956 -rect 2179 6953 2191 6987 -rect 6914 6984 6920 6996 -rect 6875 6956 6920 6984 -rect 2133 6947 2191 6953 -rect 6914 6944 6920 6956 -rect 6972 6944 6978 6996 -rect 7466 6944 7472 6996 -rect 7524 6984 7530 6996 -rect 10042 6984 10048 6996 -rect 7524 6956 10048 6984 -rect 7524 6944 7530 6956 -rect 10042 6944 10048 6956 -rect 10100 6984 10106 6996 -rect 10962 6984 10968 6996 -rect 10100 6956 10968 6984 -rect 10100 6944 10106 6956 -rect 10962 6944 10968 6956 -rect 11020 6944 11026 6996 -rect 15102 6944 15108 6996 -rect 15160 6984 15166 6996 -rect 15838 6984 15844 6996 -rect 15160 6956 15844 6984 -rect 15160 6944 15166 6956 -rect 15838 6944 15844 6956 -rect 15896 6984 15902 6996 -rect 15933 6987 15991 6993 -rect 15933 6984 15945 6987 -rect 15896 6956 15945 6984 -rect 15896 6944 15902 6956 -rect 15933 6953 15945 6956 -rect 15979 6953 15991 6987 -rect 16114 6984 16120 6996 -rect 16075 6956 16120 6984 -rect 15933 6947 15991 6953 -rect 16114 6944 16120 6956 -rect 16172 6944 16178 6996 -rect 17607 6987 17665 6993 -rect 17607 6953 17619 6987 -rect 17653 6984 17665 6987 -rect 18325 6987 18383 6993 -rect 18325 6984 18337 6987 -rect 17653 6956 18337 6984 -rect 17653 6953 17665 6956 -rect 17607 6947 17665 6953 -rect 18325 6953 18337 6956 -rect 18371 6953 18383 6987 -rect 18325 6947 18383 6953 -rect 2314 6876 2320 6928 -rect 2372 6916 2378 6928 -rect 3973 6919 4031 6925 -rect 3973 6916 3985 6919 -rect 2372 6888 3985 6916 -rect 2372 6876 2378 6888 -rect 3973 6885 3985 6888 -rect 4019 6885 4031 6919 -rect 3973 6879 4031 6885 -rect 4246 6876 4252 6928 -rect 4304 6916 4310 6928 -rect 4304 6888 4660 6916 -rect 4304 6876 4310 6888 -rect 3421 6851 3479 6857 -rect 3421 6848 3433 6851 -rect 2700 6820 3433 6848 -rect 2700 6789 2728 6820 -rect 3421 6817 3433 6820 -rect 3467 6848 3479 6851 -rect 4062 6848 4068 6860 -rect 3467 6820 4068 6848 -rect 3467 6817 3479 6820 -rect 3421 6811 3479 6817 -rect 4062 6808 4068 6820 -rect 4120 6848 4126 6860 -rect 4632 6857 4660 6888 -rect 5258 6876 5264 6928 -rect 5316 6916 5322 6928 -rect 5810 6916 5816 6928 -rect 5316 6888 5816 6916 -rect 5316 6876 5322 6888 -rect 5810 6876 5816 6888 -rect 5868 6916 5874 6928 -rect 8110 6916 8116 6928 -rect 5868 6888 8116 6916 -rect 5868 6876 5874 6888 -rect 4617 6851 4675 6857 -rect 4120 6820 4292 6848 -rect 4120 6808 4126 6820 -rect 2685 6783 2743 6789 -rect 2685 6749 2697 6783 -rect 2731 6749 2743 6783 +rect 3878 6944 3884 6996 +rect 3936 6944 3942 6996 +rect 6365 6987 6423 6993 +rect 6365 6953 6377 6987 +rect 6411 6984 6423 6987 +rect 6546 6984 6552 6996 +rect 6411 6956 6552 6984 +rect 6411 6953 6423 6956 +rect 6365 6947 6423 6953 +rect 6546 6944 6552 6956 +rect 6604 6944 6610 6996 +rect 7374 6944 7380 6996 +rect 7432 6984 7438 6996 +rect 8033 6987 8091 6993 +rect 8033 6984 8045 6987 +rect 7432 6956 8045 6984 +rect 7432 6944 7438 6956 +rect 8033 6953 8045 6956 +rect 8079 6953 8091 6987 +rect 8033 6947 8091 6953 +rect 8202 6944 8208 6996 +rect 8260 6984 8266 6996 +rect 10778 6984 10784 6996 +rect 8260 6956 10784 6984 +rect 8260 6944 8266 6956 +rect 10778 6944 10784 6956 +rect 10836 6944 10842 6996 +rect 12250 6944 12256 6996 +rect 12308 6984 12314 6996 +rect 12345 6987 12403 6993 +rect 12345 6984 12357 6987 +rect 12308 6956 12357 6984 +rect 12308 6944 12314 6956 +rect 12345 6953 12357 6956 +rect 12391 6953 12403 6987 +rect 14090 6984 14096 6996 +rect 14051 6956 14096 6984 +rect 12345 6947 12403 6953 +rect 14090 6944 14096 6956 +rect 14148 6944 14154 6996 +rect 3896 6916 3924 6944 +rect 4430 6916 4436 6928 +rect 3896 6888 4436 6916 +rect 4430 6876 4436 6888 +rect 4488 6916 4494 6928 +rect 5166 6916 5172 6928 +rect 4488 6888 5172 6916 +rect 4488 6876 4494 6888 +rect 2225 6851 2283 6857 +rect 2225 6817 2237 6851 +rect 2271 6848 2283 6851 +rect 2498 6848 2504 6860 +rect 2271 6820 2504 6848 +rect 2271 6817 2283 6820 +rect 2225 6811 2283 6817 +rect 2498 6808 2504 6820 +rect 2556 6808 2562 6860 +rect 3329 6851 3387 6857 +rect 3329 6817 3341 6851 +rect 3375 6848 3387 6851 +rect 3694 6848 3700 6860 +rect 3375 6820 3700 6848 +rect 3375 6817 3387 6820 +rect 3329 6811 3387 6817 +rect 3694 6808 3700 6820 +rect 3752 6808 3758 6860 +rect 3881 6851 3939 6857 +rect 3881 6817 3893 6851 +rect 3927 6848 3939 6851 +rect 3970 6848 3976 6860 +rect 3927 6820 3976 6848 +rect 3927 6817 3939 6820 +rect 3881 6811 3939 6817 +rect 3970 6808 3976 6820 +rect 4028 6808 4034 6860 +rect 4890 6848 4896 6860 +rect 4172 6820 4896 6848 +rect 937 6783 995 6789 +rect 937 6749 949 6783 +rect 983 6749 995 6783 +rect 937 6743 995 6749 +rect 1949 6783 2007 6789 +rect 1949 6749 1961 6783 +rect 1995 6780 2007 6783 +rect 2406 6780 2412 6792 +rect 1995 6752 2412 6780 +rect 1995 6749 2007 6752 +rect 1949 6743 2007 6749 +rect 566 6604 572 6656 +rect 624 6644 630 6656 +rect 753 6647 811 6653 +rect 753 6644 765 6647 +rect 624 6616 765 6644 +rect 624 6604 630 6616 +rect 753 6613 765 6616 +rect 799 6613 811 6647 +rect 952 6644 980 6743 +rect 2406 6740 2412 6752 +rect 2464 6740 2470 6792 +rect 2593 6783 2651 6789 +rect 2593 6749 2605 6783 +rect 2639 6780 2651 6783 rect 2866 6780 2872 6792 -rect 2827 6752 2872 6780 -rect 2685 6743 2743 6749 +rect 2639 6752 2872 6780 +rect 2639 6749 2651 6752 +rect 2593 6743 2651 6749 rect 2866 6740 2872 6752 rect 2924 6740 2930 6792 -rect 2958 6740 2964 6792 -rect 3016 6780 3022 6792 -rect 4264 6789 4292 6820 -rect 4617 6817 4629 6851 -rect 4663 6848 4675 6851 -rect 5350 6848 5356 6860 -rect 4663 6820 5356 6848 -rect 4663 6817 4675 6820 -rect 4617 6811 4675 6817 -rect 5350 6808 5356 6820 -rect 5408 6808 5414 6860 -rect 3053 6783 3111 6789 -rect 3053 6780 3065 6783 -rect 3016 6752 3065 6780 -rect 3016 6740 3022 6752 -rect 3053 6749 3065 6752 -rect 3099 6780 3111 6783 -rect 3145 6783 3203 6789 -rect 3145 6780 3157 6783 -rect 3099 6752 3157 6780 -rect 3099 6749 3111 6752 -rect 3053 6743 3111 6749 -rect 3145 6749 3157 6752 -rect 3191 6749 3203 6783 -rect 3145 6743 3203 6749 -rect 3329 6783 3387 6789 -rect 3329 6749 3341 6783 -rect 3375 6749 3387 6783 -rect 3329 6743 3387 6749 -rect 4249 6783 4307 6789 -rect 4249 6749 4261 6783 -rect 4295 6749 4307 6783 -rect 4249 6743 4307 6749 -rect 4801 6783 4859 6789 -rect 4801 6749 4813 6783 -rect 4847 6780 4859 6783 -rect 4890 6780 4896 6792 -rect 4847 6752 4896 6780 -rect 4847 6749 4859 6752 -rect 4801 6743 4859 6749 -rect 566 6672 572 6724 -rect 624 6712 630 6724 -rect 624 6684 2544 6712 -rect 624 6672 630 6684 -rect 2516 6653 2544 6684 -rect 2774 6672 2780 6724 -rect 2832 6712 2838 6724 -rect 3344 6712 3372 6743 -rect 4890 6740 4896 6752 -rect 4948 6740 4954 6792 -rect 5074 6780 5080 6792 -rect 5035 6752 5080 6780 -rect 5074 6740 5080 6752 -rect 5132 6740 5138 6792 -rect 5626 6780 5632 6792 -rect 5587 6752 5632 6780 -rect 5626 6740 5632 6752 -rect 5684 6740 5690 6792 -rect 5718 6740 5724 6792 -rect 5776 6780 5782 6792 -rect 6472 6780 6500 6888 -rect 8110 6876 8116 6888 -rect 8168 6876 8174 6928 -rect 9030 6876 9036 6928 -rect 9088 6916 9094 6928 -rect 9088 6888 11468 6916 -rect 9088 6876 9094 6888 -rect 6549 6851 6607 6857 -rect 6549 6817 6561 6851 -rect 6595 6848 6607 6851 -rect 7374 6848 7380 6860 -rect 6595 6820 7380 6848 -rect 6595 6817 6607 6820 -rect 6549 6811 6607 6817 -rect 7374 6808 7380 6820 -rect 7432 6808 7438 6860 -rect 7466 6808 7472 6860 -rect 7524 6848 7530 6860 -rect 7561 6851 7619 6857 -rect 7561 6848 7573 6851 -rect 7524 6820 7573 6848 -rect 7524 6808 7530 6820 -rect 7561 6817 7573 6820 -rect 7607 6848 7619 6851 -rect 8018 6848 8024 6860 -rect 7607 6820 8024 6848 -rect 7607 6817 7619 6820 -rect 7561 6811 7619 6817 -rect 8018 6808 8024 6820 -rect 8076 6808 8082 6860 -rect 8205 6851 8263 6857 -rect 8205 6817 8217 6851 -rect 8251 6817 8263 6851 -rect 8205 6811 8263 6817 -rect 6641 6783 6699 6789 -rect 6641 6780 6653 6783 -rect 5776 6752 5821 6780 -rect 6472 6752 6653 6780 -rect 5776 6740 5782 6752 -rect 6641 6749 6653 6752 -rect 6687 6749 6699 6783 -rect 7650 6780 7656 6792 -rect 6641 6743 6699 6749 -rect 7208 6752 7656 6780 -rect 2832 6684 2877 6712 -rect 3068 6684 3372 6712 -rect 5905 6715 5963 6721 -rect 2832 6672 2838 6684 -rect 2501 6647 2559 6653 -rect 2501 6613 2513 6647 -rect 2547 6613 2559 6647 -rect 2501 6607 2559 6613 -rect 2590 6604 2596 6656 -rect 2648 6644 2654 6656 -rect 3068 6644 3096 6684 -rect 5905 6681 5917 6715 -rect 5951 6712 5963 6715 -rect 7208 6712 7236 6752 -rect 7650 6740 7656 6752 -rect 7708 6780 7714 6792 -rect 8113 6783 8171 6789 -rect 8113 6780 8125 6783 -rect 7708 6752 8125 6780 -rect 7708 6740 7714 6752 -rect 8113 6749 8125 6752 -rect 8159 6749 8171 6783 -rect 8220 6780 8248 6811 -rect 8386 6808 8392 6860 -rect 8444 6848 8450 6860 -rect 8481 6851 8539 6857 -rect 8481 6848 8493 6851 -rect 8444 6820 8493 6848 -rect 8444 6808 8450 6820 -rect 8481 6817 8493 6820 -rect 8527 6817 8539 6851 -rect 10502 6848 10508 6860 -rect 8481 6811 8539 6817 -rect 8588 6820 10508 6848 -rect 8588 6780 8616 6820 -rect 10502 6808 10508 6820 -rect 10560 6808 10566 6860 -rect 10686 6808 10692 6860 -rect 10744 6848 10750 6860 -rect 10870 6848 10876 6860 -rect 10744 6820 10876 6848 -rect 10744 6808 10750 6820 -rect 10870 6808 10876 6820 -rect 10928 6808 10934 6860 -rect 11440 6857 11468 6888 -rect 13740 6888 13952 6916 -rect 11425 6851 11483 6857 -rect 11425 6817 11437 6851 -rect 11471 6848 11483 6851 -rect 11701 6851 11759 6857 -rect 11701 6848 11713 6851 -rect 11471 6820 11713 6848 -rect 11471 6817 11483 6820 -rect 11425 6811 11483 6817 -rect 11701 6817 11713 6820 -rect 11747 6817 11759 6851 -rect 13740 6848 13768 6888 -rect 11701 6811 11759 6817 -rect 12406 6820 13768 6848 -rect 13817 6851 13875 6857 -rect 10226 6780 10232 6792 -rect 8220 6752 8616 6780 -rect 10187 6752 10232 6780 -rect 8113 6743 8171 6749 -rect 10226 6740 10232 6752 -rect 10284 6740 10290 6792 -rect 10410 6740 10416 6792 -rect 10468 6780 10474 6792 +rect 4172 6789 4200 6820 +rect 4890 6808 4896 6820 +rect 4948 6808 4954 6860 +rect 5000 6789 5028 6888 +rect 5166 6876 5172 6888 +rect 5224 6876 5230 6928 +rect 5905 6919 5963 6925 +rect 5905 6885 5917 6919 +rect 5951 6916 5963 6919 +rect 7006 6916 7012 6928 +rect 5951 6888 7012 6916 +rect 5951 6885 5963 6888 +rect 5905 6879 5963 6885 +rect 7006 6876 7012 6888 +rect 7064 6876 7070 6928 +rect 14274 6916 14280 6928 +rect 14108 6888 14280 6916 +rect 7282 6848 7288 6860 +rect 6380 6820 7288 6848 +rect 4157 6783 4215 6789 +rect 4157 6780 4169 6783 +rect 3068 6752 4169 6780 +rect 2501 6715 2559 6721 +rect 2501 6681 2513 6715 +rect 2547 6712 2559 6715 +rect 3068 6712 3096 6752 +rect 4157 6749 4169 6752 +rect 4203 6749 4215 6783 +rect 4157 6743 4215 6749 +rect 4617 6783 4675 6789 +rect 4617 6749 4629 6783 +rect 4663 6749 4675 6783 +rect 4617 6743 4675 6749 +rect 4985 6783 5043 6789 +rect 4985 6749 4997 6783 +rect 5031 6749 5043 6783 +rect 4985 6743 5043 6749 +rect 5261 6783 5319 6789 +rect 5261 6749 5273 6783 +rect 5307 6780 5319 6783 +rect 5350 6780 5356 6792 +rect 5307 6752 5356 6780 +rect 5307 6749 5319 6752 +rect 5261 6743 5319 6749 +rect 2547 6684 3096 6712 +rect 3145 6715 3203 6721 +rect 2547 6681 2559 6684 +rect 2501 6675 2559 6681 +rect 3145 6681 3157 6715 +rect 3191 6712 3203 6715 +rect 4338 6712 4344 6724 +rect 3191 6684 4344 6712 +rect 3191 6681 3203 6684 +rect 3145 6675 3203 6681 +rect 4338 6672 4344 6684 +rect 4396 6672 4402 6724 +rect 4522 6672 4528 6724 +rect 4580 6712 4586 6724 +rect 4632 6712 4660 6743 +rect 5350 6740 5356 6752 +rect 5408 6740 5414 6792 +rect 5994 6740 6000 6792 +rect 6052 6780 6058 6792 +rect 6380 6789 6408 6820 +rect 7282 6808 7288 6820 +rect 7340 6808 7346 6860 +rect 10873 6851 10931 6857 +rect 10873 6848 10885 6851 +rect 8588 6820 10885 6848 +rect 8588 6789 8616 6820 +rect 10873 6817 10885 6820 +rect 10919 6817 10931 6851 +rect 12802 6848 12808 6860 +rect 10873 6811 10931 6817 +rect 12544 6820 12808 6848 +rect 6273 6783 6331 6789 +rect 6273 6780 6285 6783 +rect 6052 6752 6285 6780 +rect 6052 6740 6058 6752 +rect 6273 6749 6285 6752 +rect 6319 6749 6331 6783 +rect 6273 6743 6331 6749 +rect 6365 6783 6423 6789 +rect 6365 6749 6377 6783 +rect 6411 6749 6423 6783 +rect 6365 6743 6423 6749 +rect 8297 6783 8355 6789 +rect 8297 6749 8309 6783 +rect 8343 6780 8355 6783 +rect 8573 6783 8631 6789 +rect 8573 6780 8585 6783 +rect 8343 6752 8585 6780 +rect 8343 6749 8355 6752 +rect 8297 6743 8355 6749 +rect 8573 6749 8585 6752 +rect 8619 6749 8631 6783 +rect 8573 6743 8631 6749 +rect 10318 6740 10324 6792 +rect 10376 6780 10382 6792 rect 10597 6783 10655 6789 rect 10597 6780 10609 6783 -rect 10468 6752 10609 6780 -rect 10468 6740 10474 6752 +rect 10376 6752 10609 6780 +rect 10376 6740 10382 6752 rect 10597 6749 10609 6752 -rect 10643 6780 10655 6783 -rect 11054 6780 11060 6792 -rect 10643 6752 11060 6780 -rect 10643 6749 10655 6752 +rect 10643 6749 10655 6783 +rect 10888 6780 10916 6811 +rect 11422 6780 11428 6792 +rect 10888 6752 11428 6780 rect 10597 6743 10655 6749 -rect 11054 6740 11060 6752 -rect 11112 6740 11118 6792 -rect 11790 6780 11796 6792 -rect 11751 6752 11796 6780 -rect 11790 6740 11796 6752 -rect 11848 6740 11854 6792 -rect 5951 6684 7236 6712 -rect 7285 6715 7343 6721 -rect 5951 6681 5963 6684 -rect 5905 6675 5963 6681 -rect 7285 6681 7297 6715 -rect 7331 6712 7343 6715 -rect 8478 6712 8484 6724 -rect 7331 6684 8484 6712 -rect 7331 6681 7343 6684 -rect 7285 6675 7343 6681 -rect 8478 6672 8484 6684 -rect 8536 6672 8542 6724 -rect 9674 6672 9680 6724 -rect 9732 6712 9738 6724 -rect 10321 6715 10379 6721 -rect 10321 6712 10333 6715 -rect 9732 6684 10333 6712 -rect 9732 6672 9738 6684 -rect 10321 6681 10333 6684 -rect 10367 6681 10379 6715 -rect 10321 6675 10379 6681 -rect 10505 6715 10563 6721 -rect 10505 6681 10517 6715 -rect 10551 6712 10563 6715 -rect 10778 6712 10784 6724 -rect 10551 6684 10784 6712 -rect 10551 6681 10563 6684 -rect 10505 6675 10563 6681 -rect 10778 6672 10784 6684 -rect 10836 6672 10842 6724 -rect 10962 6672 10968 6724 -rect 11020 6712 11026 6724 -rect 11333 6715 11391 6721 -rect 11333 6712 11345 6715 -rect 11020 6684 11345 6712 -rect 11020 6672 11026 6684 -rect 11333 6681 11345 6684 -rect 11379 6712 11391 6715 -rect 12406 6712 12434 6820 -rect 13817 6817 13829 6851 -rect 13863 6817 13875 6851 -rect 13924 6848 13952 6888 -rect 14458 6848 14464 6860 -rect 13924 6820 14464 6848 -rect 13817 6811 13875 6817 +rect 11422 6740 11428 6752 +rect 11480 6740 11486 6792 +rect 12544 6789 12572 6820 +rect 12802 6808 12808 6820 +rect 12860 6808 12866 6860 +rect 12529 6783 12587 6789 +rect 12529 6749 12541 6783 +rect 12575 6749 12587 6783 +rect 12529 6743 12587 6749 rect 12713 6783 12771 6789 rect 12713 6749 12725 6783 rect 12759 6780 12771 6783 -rect 12759 6752 13308 6780 +rect 12894 6780 12900 6792 +rect 12759 6752 12900 6780 rect 12759 6749 12771 6752 rect 12713 6743 12771 6749 -rect 11379 6684 12434 6712 -rect 11379 6681 11391 6684 -rect 11333 6675 11391 6681 -rect 2648 6616 3096 6644 -rect 5813 6647 5871 6653 -rect 2648 6604 2654 6616 -rect 5813 6613 5825 6647 -rect 5859 6644 5871 6647 -rect 6181 6647 6239 6653 -rect 6181 6644 6193 6647 -rect 5859 6616 6193 6644 -rect 5859 6613 5871 6616 -rect 5813 6607 5871 6613 -rect 6181 6613 6193 6616 -rect 6227 6613 6239 6647 -rect 6822 6644 6828 6656 -rect 6783 6616 6828 6644 -rect 6181 6607 6239 6613 -rect 6822 6604 6828 6616 -rect 6880 6604 6886 6656 -rect 7190 6604 7196 6656 -rect 7248 6644 7254 6656 -rect 7377 6647 7435 6653 -rect 7377 6644 7389 6647 -rect 7248 6616 7389 6644 -rect 7248 6604 7254 6616 -rect 7377 6613 7389 6616 -rect 7423 6613 7435 6647 -rect 7377 6607 7435 6613 -rect 7466 6604 7472 6656 -rect 7524 6644 7530 6656 -rect 7745 6647 7803 6653 -rect 7745 6644 7757 6647 -rect 7524 6616 7757 6644 -rect 7524 6604 7530 6616 -rect 7745 6613 7757 6616 -rect 7791 6613 7803 6647 -rect 10410 6644 10416 6656 -rect 10371 6616 10416 6644 -rect 7745 6607 7803 6613 -rect 10410 6604 10416 6616 -rect 10468 6604 10474 6656 -rect 10594 6604 10600 6656 -rect 10652 6644 10658 6656 -rect 10873 6647 10931 6653 -rect 10873 6644 10885 6647 -rect 10652 6616 10885 6644 -rect 10652 6604 10658 6616 -rect 10873 6613 10885 6616 -rect 10919 6613 10931 6647 -rect 11238 6644 11244 6656 -rect 11199 6616 11244 6644 -rect 10873 6607 10931 6613 -rect 11238 6604 11244 6616 -rect 11296 6604 11302 6656 -rect 12342 6604 12348 6656 -rect 12400 6644 12406 6656 -rect 13280 6653 13308 6752 -rect 13538 6740 13544 6792 -rect 13596 6780 13602 6792 -rect 13832 6780 13860 6811 -rect 14458 6808 14464 6820 -rect 14516 6848 14522 6860 -rect 14645 6851 14703 6857 -rect 14516 6820 14596 6848 -rect 14516 6808 14522 6820 -rect 14366 6780 14372 6792 -rect 13596 6752 13860 6780 -rect 14327 6752 14372 6780 -rect 13596 6740 13602 6752 -rect 14366 6740 14372 6752 -rect 14424 6740 14430 6792 -rect 14568 6780 14596 6820 -rect 14645 6817 14657 6851 -rect 14691 6848 14703 6851 +rect 4798 6712 4804 6724 +rect 4580 6684 4804 6712 +rect 4580 6672 4586 6684 +rect 4798 6672 4804 6684 +rect 4856 6712 4862 6724 +rect 5718 6712 5724 6724 +rect 4856 6684 5724 6712 +rect 4856 6672 4862 6684 +rect 5718 6672 5724 6684 +rect 5776 6672 5782 6724 +rect 6089 6715 6147 6721 +rect 6089 6681 6101 6715 +rect 6135 6712 6147 6715 +rect 6135 6684 6592 6712 +rect 6135 6681 6147 6684 +rect 6089 6675 6147 6681 +rect 1581 6647 1639 6653 +rect 1581 6644 1593 6647 +rect 952 6616 1593 6644 +rect 753 6607 811 6613 +rect 1581 6613 1593 6616 +rect 1627 6613 1639 6647 +rect 1581 6607 1639 6613 +rect 2041 6647 2099 6653 +rect 2041 6613 2053 6647 +rect 2087 6644 2099 6647 +rect 2685 6647 2743 6653 +rect 2685 6644 2697 6647 +rect 2087 6616 2697 6644 +rect 2087 6613 2099 6616 +rect 2041 6607 2099 6613 +rect 2685 6613 2697 6616 +rect 2731 6613 2743 6647 +rect 2685 6607 2743 6613 +rect 2958 6604 2964 6656 +rect 3016 6644 3022 6656 +rect 6564 6653 6592 6684 +rect 7006 6672 7012 6724 +rect 7064 6672 7070 6724 +rect 8846 6712 8852 6724 +rect 8807 6684 8852 6712 +rect 8846 6672 8852 6684 +rect 8904 6672 8910 6724 +rect 10686 6712 10692 6724 +rect 10074 6684 10692 6712 +rect 10686 6672 10692 6684 +rect 10744 6672 10750 6724 +rect 10962 6712 10968 6724 +rect 10796 6684 10968 6712 +rect 3053 6647 3111 6653 +rect 3053 6644 3065 6647 +rect 3016 6616 3065 6644 +rect 3016 6604 3022 6616 +rect 3053 6613 3065 6616 +rect 3099 6613 3111 6647 +rect 3053 6607 3111 6613 +rect 6549 6647 6607 6653 +rect 6549 6613 6561 6647 +rect 6595 6644 6607 6647 +rect 8754 6644 8760 6656 +rect 6595 6616 8760 6644 +rect 6595 6613 6607 6616 +rect 6549 6607 6607 6613 +rect 8754 6604 8760 6616 +rect 8812 6644 8818 6656 +rect 10796 6644 10824 6684 +rect 10962 6672 10968 6684 +rect 11020 6672 11026 6724 +rect 11140 6715 11198 6721 +rect 11140 6681 11152 6715 +rect 11186 6712 11198 6715 +rect 12728 6712 12756 6743 +rect 12894 6740 12900 6752 +rect 12952 6780 12958 6792 +rect 13538 6780 13544 6792 +rect 12952 6752 13544 6780 +rect 12952 6740 12958 6752 +rect 13538 6740 13544 6752 +rect 13596 6740 13602 6792 +rect 14108 6789 14136 6888 +rect 14274 6876 14280 6888 +rect 14332 6916 14338 6928 +rect 14332 6888 14504 6916 +rect 14332 6876 14338 6888 +rect 14366 6808 14372 6860 +rect 14424 6808 14430 6860 +rect 14476 6848 14504 6888 rect 15381 6851 15439 6857 rect 15381 6848 15393 6851 -rect 14691 6820 15393 6848 -rect 14691 6817 14703 6820 -rect 14645 6811 14703 6817 +rect 14476 6820 15393 6848 rect 15381 6817 15393 6820 rect 15427 6848 15439 6851 -rect 18322 6848 18328 6860 -rect 15427 6820 18328 6848 +rect 16942 6848 16948 6860 +rect 15427 6820 16948 6848 rect 15427 6817 15439 6820 rect 15381 6811 15439 6817 -rect 18322 6808 18328 6820 -rect 18380 6808 18386 6860 -rect 15105 6783 15163 6789 -rect 15105 6780 15117 6783 -rect 14568 6752 15117 6780 -rect 15105 6749 15117 6752 -rect 15151 6749 15163 6783 -rect 15105 6743 15163 6749 -rect 17862 6740 17868 6792 -rect 17920 6780 17926 6792 +rect 16942 6808 16948 6820 +rect 17000 6808 17006 6860 +rect 17862 6848 17868 6860 +rect 17823 6820 17868 6848 +rect 17862 6808 17868 6820 +rect 17920 6808 17926 6860 +rect 14093 6783 14151 6789 +rect 14093 6749 14105 6783 +rect 14139 6749 14151 6783 +rect 14093 6743 14151 6749 +rect 14185 6783 14243 6789 +rect 14185 6749 14197 6783 +rect 14231 6780 14243 6783 +rect 14384 6780 14412 6808 +rect 15749 6783 15807 6789 +rect 15749 6780 15761 6783 +rect 14231 6752 14412 6780 +rect 15396 6752 15761 6780 +rect 14231 6749 14243 6752 +rect 14185 6743 14243 6749 +rect 11186 6684 12756 6712 +rect 11186 6681 11198 6684 +rect 11140 6675 11198 6681 +rect 13998 6672 14004 6724 +rect 14056 6712 14062 6724 +rect 14369 6715 14427 6721 +rect 14369 6712 14381 6715 +rect 14056 6684 14381 6712 +rect 14056 6672 14062 6684 +rect 14369 6681 14381 6684 +rect 14415 6681 14427 6715 +rect 14369 6675 14427 6681 +rect 14461 6715 14519 6721 +rect 14461 6681 14473 6715 +rect 14507 6712 14519 6715 +rect 15396 6712 15424 6752 +rect 15749 6749 15761 6752 +rect 15795 6780 15807 6783 rect 18233 6783 18291 6789 -rect 17920 6752 17965 6780 -rect 17920 6740 17926 6752 +rect 15795 6752 16344 6780 +rect 15795 6749 15807 6752 +rect 15749 6743 15807 6749 +rect 16316 6724 16344 6752 rect 18233 6749 18245 6783 rect 18279 6780 18291 6783 rect 18506 6780 18512 6792 @@ -4487,40 +4715,63 @@ rect 18279 6749 18291 6752 rect 18233 6743 18291 6749 rect 18506 6740 18512 6752 rect 18564 6740 18570 6792 -rect 13633 6715 13691 6721 -rect 13633 6681 13645 6715 -rect 13679 6712 13691 6715 -rect 13679 6684 14780 6712 -rect 13679 6681 13691 6684 -rect 13633 6675 13691 6681 -rect 12529 6647 12587 6653 -rect 12529 6644 12541 6647 -rect 12400 6616 12541 6644 -rect 12400 6604 12406 6616 -rect 12529 6613 12541 6616 -rect 12575 6613 12587 6647 -rect 12529 6607 12587 6613 -rect 13265 6647 13323 6653 -rect 13265 6613 13277 6647 -rect 13311 6613 13323 6647 -rect 13722 6644 13728 6656 -rect 13683 6616 13728 6644 -rect 13265 6607 13323 6613 -rect 13722 6604 13728 6616 -rect 13780 6604 13786 6656 -rect 14752 6653 14780 6684 -rect 15838 6672 15844 6724 -rect 15896 6712 15902 6724 -rect 15896 6684 16422 6712 -rect 15896 6672 15902 6684 +rect 15838 6712 15844 6724 +rect 14507 6684 15424 6712 +rect 15799 6684 15844 6712 +rect 14507 6681 14519 6684 +rect 14461 6675 14519 6681 +rect 15838 6672 15844 6684 +rect 15896 6672 15902 6724 +rect 16298 6672 16304 6724 +rect 16356 6712 16362 6724 +rect 16356 6684 16422 6712 +rect 16356 6672 16362 6684 +rect 17494 6672 17500 6724 +rect 17552 6712 17558 6724 +rect 17589 6715 17647 6721 +rect 17589 6712 17601 6715 +rect 17552 6684 17601 6712 +rect 17552 6672 17558 6684 +rect 17589 6681 17601 6684 +rect 17635 6681 17647 6715 +rect 17589 6675 17647 6681 +rect 8812 6616 10824 6644 +rect 12253 6647 12311 6653 +rect 8812 6604 8818 6616 +rect 12253 6613 12265 6647 +rect 12299 6644 12311 6647 +rect 12802 6644 12808 6656 +rect 12299 6616 12808 6644 +rect 12299 6613 12311 6616 +rect 12253 6607 12311 6613 +rect 12802 6604 12808 6616 +rect 12860 6604 12866 6656 +rect 14550 6604 14556 6656 +rect 14608 6644 14614 6656 rect 14737 6647 14795 6653 -rect 14737 6613 14749 6647 +rect 14737 6644 14749 6647 +rect 14608 6616 14749 6644 +rect 14608 6604 14614 6616 +rect 14737 6613 14749 6616 rect 14783 6613 14795 6647 +rect 15102 6644 15108 6656 +rect 15063 6616 15108 6644 rect 14737 6607 14795 6613 -rect 15194 6604 15200 6656 -rect 15252 6644 15258 6656 -rect 15252 6616 15297 6644 -rect 15252 6604 15258 6616 +rect 15102 6604 15108 6616 +rect 15160 6604 15166 6656 +rect 15197 6647 15255 6653 +rect 15197 6613 15209 6647 +rect 15243 6644 15255 6647 +rect 15930 6644 15936 6656 +rect 15243 6616 15936 6644 +rect 15243 6613 15255 6616 +rect 15197 6607 15255 6613 +rect 15930 6604 15936 6616 +rect 15988 6604 15994 6656 +rect 18322 6644 18328 6656 +rect 18283 6616 18328 6644 +rect 18322 6604 18328 6616 +rect 18380 6604 18386 6656 rect 0 6554 18860 6576 rect 0 6502 4660 6554 rect 4712 6502 4724 6554 @@ -4549,499 +4800,419 @@ rect 17240 6502 17252 6554 rect 17304 6502 17316 6554 rect 17368 6502 18860 6554 rect 0 6480 18860 6502 -rect 1946 6440 1952 6452 -rect 1780 6412 1952 6440 -rect 1780 6358 1808 6412 -rect 1946 6400 1952 6412 -rect 2004 6440 2010 6452 -rect 2133 6443 2191 6449 -rect 2133 6440 2145 6443 -rect 2004 6412 2145 6440 -rect 2004 6400 2010 6412 -rect 2133 6409 2145 6412 -rect 2179 6440 2191 6443 -rect 2498 6440 2504 6452 -rect 2179 6412 2504 6440 -rect 2179 6409 2191 6412 -rect 2133 6403 2191 6409 -rect 2498 6400 2504 6412 -rect 2556 6400 2562 6452 -rect 2774 6400 2780 6452 -rect 2832 6440 2838 6452 -rect 2869 6443 2927 6449 -rect 2869 6440 2881 6443 -rect 2832 6412 2881 6440 -rect 2832 6400 2838 6412 -rect 2869 6409 2881 6412 -rect 2915 6409 2927 6443 -rect 2869 6403 2927 6409 -rect 2958 6400 2964 6452 -rect 3016 6440 3022 6452 -rect 3694 6440 3700 6452 -rect 3016 6412 3700 6440 -rect 3016 6400 3022 6412 -rect 3694 6400 3700 6412 -rect 3752 6400 3758 6452 -rect 5258 6440 5264 6452 -rect 5219 6412 5264 6440 -rect 5258 6400 5264 6412 -rect 5316 6400 5322 6452 -rect 5718 6400 5724 6452 -rect 5776 6400 5782 6452 -rect 5902 6440 5908 6452 -rect 5863 6412 5908 6440 -rect 5902 6400 5908 6412 -rect 5960 6400 5966 6452 -rect 6638 6440 6644 6452 -rect 6599 6412 6644 6440 -rect 6638 6400 6644 6412 -rect 6696 6400 6702 6452 -rect 6733 6443 6791 6449 -rect 6733 6409 6745 6443 -rect 6779 6440 6791 6443 -rect 7466 6440 7472 6452 -rect 6779 6412 7472 6440 -rect 6779 6409 6791 6412 -rect 6733 6403 6791 6409 -rect 7466 6400 7472 6412 -rect 7524 6400 7530 6452 -rect 10226 6440 10232 6452 -rect 7576 6412 10232 6440 -rect 3510 6372 3516 6384 -rect 3252 6344 3516 6372 -rect 2590 6304 2596 6316 -rect 2551 6276 2596 6304 -rect 2590 6264 2596 6276 -rect 2648 6264 2654 6316 -rect 3252 6313 3280 6344 -rect 3510 6332 3516 6344 -rect 3568 6372 3574 6384 -rect 5736 6372 5764 6400 -rect 5813 6375 5871 6381 -rect 5813 6372 5825 6375 -rect 3568 6344 4200 6372 -rect 5736 6344 5825 6372 -rect 3568 6332 3574 6344 -rect 2777 6307 2835 6313 -rect 2777 6304 2789 6307 -rect 2700 6276 2789 6304 +rect 2041 6443 2099 6449 +rect 2041 6409 2053 6443 +rect 2087 6440 2099 6443 +rect 2406 6440 2412 6452 +rect 2087 6412 2412 6440 +rect 2087 6409 2099 6412 +rect 2041 6403 2099 6409 +rect 2406 6400 2412 6412 +rect 2464 6400 2470 6452 +rect 2590 6440 2596 6452 +rect 2551 6412 2596 6440 +rect 2590 6400 2596 6412 +rect 2648 6400 2654 6452 +rect 3513 6443 3571 6449 +rect 3513 6409 3525 6443 +rect 3559 6440 3571 6443 +rect 4246 6440 4252 6452 +rect 3559 6412 4252 6440 +rect 3559 6409 3571 6412 +rect 3513 6403 3571 6409 +rect 4246 6400 4252 6412 +rect 4304 6400 4310 6452 +rect 7469 6443 7527 6449 +rect 7469 6409 7481 6443 +rect 7515 6440 7527 6443 +rect 8846 6440 8852 6452 +rect 7515 6412 8852 6440 +rect 7515 6409 7527 6412 +rect 7469 6403 7527 6409 +rect 8846 6400 8852 6412 +rect 8904 6400 8910 6452 +rect 12713 6443 12771 6449 +rect 12713 6409 12725 6443 +rect 12759 6440 12771 6443 +rect 13449 6443 13507 6449 +rect 13449 6440 13461 6443 +rect 12759 6412 13461 6440 +rect 12759 6409 12771 6412 +rect 12713 6403 12771 6409 +rect 13449 6409 13461 6412 +rect 13495 6409 13507 6443 +rect 13449 6403 13507 6409 +rect 13538 6400 13544 6452 +rect 13596 6440 13602 6452 +rect 13909 6443 13967 6449 +rect 13909 6440 13921 6443 +rect 13596 6412 13921 6440 +rect 13596 6400 13602 6412 +rect 13909 6409 13921 6412 +rect 13955 6409 13967 6443 +rect 13909 6403 13967 6409 +rect 14737 6443 14795 6449 +rect 14737 6409 14749 6443 +rect 14783 6440 14795 6443 +rect 15102 6440 15108 6452 +rect 14783 6412 15108 6440 +rect 14783 6409 14795 6412 +rect 14737 6403 14795 6409 +rect 15102 6400 15108 6412 +rect 15160 6400 15166 6452 +rect 16206 6440 16212 6452 +rect 15212 6412 16212 6440 +rect 566 6372 572 6384 +rect 527 6344 572 6372 +rect 566 6332 572 6344 +rect 624 6332 630 6384 +rect 2222 6372 2228 6384 +rect 1794 6344 2228 6372 +rect 2222 6332 2228 6344 +rect 2280 6332 2286 6384 +rect 3973 6375 4031 6381 +rect 3973 6341 3985 6375 +rect 4019 6372 4031 6375 +rect 4338 6372 4344 6384 +rect 4019 6344 4344 6372 +rect 4019 6341 4031 6344 +rect 3973 6335 4031 6341 +rect 4338 6332 4344 6344 +rect 4396 6332 4402 6384 +rect 5629 6375 5687 6381 +rect 5629 6341 5641 6375 +rect 5675 6372 5687 6375 +rect 12158 6372 12164 6384 +rect 5675 6344 7696 6372 +rect 5675 6341 5687 6344 +rect 5629 6335 5687 6341 +rect 7668 6316 7696 6344 +rect 7852 6344 12164 6372 +rect 2501 6307 2559 6313 +rect 2501 6273 2513 6307 +rect 2547 6273 2559 6307 +rect 2682 6304 2688 6316 +rect 2643 6276 2688 6304 +rect 2501 6267 2559 6273 rect 290 6236 296 6248 rect 251 6208 296 6236 rect 290 6196 296 6208 rect 348 6196 354 6248 -rect 566 6236 572 6248 -rect 527 6208 572 6236 -rect 566 6196 572 6208 -rect 624 6196 630 6248 -rect 2041 6239 2099 6245 -rect 2041 6205 2053 6239 -rect 2087 6236 2099 6239 -rect 2700 6236 2728 6276 -rect 2777 6273 2789 6276 -rect 2823 6273 2835 6307 -rect 2777 6267 2835 6273 -rect 3237 6307 3295 6313 -rect 3237 6273 3249 6307 -rect 3283 6273 3295 6307 -rect 3418 6304 3424 6316 -rect 3379 6276 3424 6304 -rect 3237 6267 3295 6273 -rect 3418 6264 3424 6276 -rect 3476 6264 3482 6316 -rect 4172 6313 4200 6344 -rect 5813 6341 5825 6344 -rect 5859 6341 5871 6375 -rect 6546 6372 6552 6384 -rect 5813 6335 5871 6341 -rect 6472 6344 6552 6372 -rect 3605 6307 3663 6313 -rect 3605 6273 3617 6307 -rect 3651 6273 3663 6307 -rect 3605 6267 3663 6273 +rect 2516 6236 2544 6267 +rect 2682 6264 2688 6276 +rect 2740 6264 2746 6316 +rect 2774 6264 2780 6316 +rect 2832 6304 2838 6316 +rect 3421 6307 3479 6313 +rect 3421 6304 3433 6307 +rect 2832 6276 3433 6304 +rect 2832 6264 2838 6276 +rect 3421 6273 3433 6276 +rect 3467 6273 3479 6307 +rect 3421 6267 3479 6273 +rect 4062 6264 4068 6316 +rect 4120 6304 4126 6316 rect 4157 6307 4215 6313 -rect 4157 6273 4169 6307 -rect 4203 6304 4215 6307 -rect 4203 6276 4936 6304 -rect 4203 6273 4215 6276 -rect 4157 6267 4215 6273 -rect 2958 6236 2964 6248 -rect 2087 6208 2964 6236 -rect 2087 6205 2099 6208 -rect 2041 6199 2099 6205 -rect 2958 6196 2964 6208 -rect 3016 6236 3022 6248 -rect 3053 6239 3111 6245 -rect 3053 6236 3065 6239 -rect 3016 6208 3065 6236 -rect 3016 6196 3022 6208 -rect 3053 6205 3065 6208 -rect 3099 6205 3111 6239 -rect 3053 6199 3111 6205 -rect 3145 6239 3203 6245 -rect 3145 6205 3157 6239 -rect 3191 6236 3203 6239 -rect 3436 6236 3464 6264 -rect 3191 6208 3464 6236 -rect 3191 6205 3203 6208 -rect 3145 6199 3203 6205 -rect 2777 6171 2835 6177 -rect 2777 6137 2789 6171 -rect 2823 6168 2835 6171 -rect 3620 6168 3648 6267 -rect 4908 6177 4936 6276 -rect 5626 6264 5632 6316 -rect 5684 6304 5690 6316 +rect 4157 6304 4169 6307 +rect 4120 6276 4169 6304 +rect 4120 6264 4126 6276 +rect 4157 6273 4169 6276 +rect 4203 6273 4215 6307 rect 5721 6307 5779 6313 rect 5721 6304 5733 6307 -rect 5684 6276 5733 6304 -rect 5684 6264 5690 6276 +rect 4157 6267 4215 6273 +rect 4356 6276 5733 6304 +rect 2866 6236 2872 6248 +rect 2516 6208 2872 6236 +rect 2866 6196 2872 6208 +rect 2924 6196 2930 6248 +rect 3694 6236 3700 6248 +rect 3655 6208 3700 6236 +rect 3694 6196 3700 6208 +rect 3752 6236 3758 6248 +rect 4356 6236 4384 6276 rect 5721 6273 5733 6276 -rect 5767 6273 5779 6307 -rect 5994 6304 6000 6316 -rect 5955 6276 6000 6304 +rect 5767 6304 5779 6307 +rect 5767 6276 5948 6304 +rect 5767 6273 5779 6276 rect 5721 6267 5779 6273 -rect 5166 6196 5172 6248 -rect 5224 6236 5230 6248 -rect 5353 6239 5411 6245 -rect 5353 6236 5365 6239 -rect 5224 6208 5365 6236 -rect 5224 6196 5230 6208 -rect 5353 6205 5365 6208 -rect 5399 6205 5411 6239 -rect 5353 6199 5411 6205 -rect 2823 6140 3648 6168 -rect 4893 6171 4951 6177 -rect 2823 6137 2835 6140 -rect 2777 6131 2835 6137 -rect 4893 6137 4905 6171 -rect 4939 6137 4951 6171 -rect 5368 6168 5396 6199 -rect 5442 6196 5448 6248 -rect 5500 6236 5506 6248 -rect 5736 6236 5764 6267 -rect 5994 6264 6000 6276 -rect 6052 6264 6058 6316 -rect 6472 6236 6500 6344 -rect 6546 6332 6552 6344 -rect 6604 6372 6610 6384 -rect 7576 6372 7604 6412 -rect 10226 6400 10232 6412 -rect 10284 6400 10290 6452 -rect 10321 6443 10379 6449 -rect 10321 6409 10333 6443 -rect 10367 6440 10379 6443 -rect 10594 6440 10600 6452 -rect 10367 6412 10600 6440 -rect 10367 6409 10379 6412 -rect 10321 6403 10379 6409 -rect 10594 6400 10600 6412 -rect 10652 6400 10658 6452 -rect 11882 6440 11888 6452 -rect 11843 6412 11888 6440 -rect 11882 6400 11888 6412 -rect 11940 6400 11946 6452 -rect 13814 6440 13820 6452 -rect 12084 6412 13820 6440 -rect 6604 6344 7604 6372 -rect 9493 6375 9551 6381 -rect 6604 6332 6610 6344 -rect 9493 6341 9505 6375 -rect 9539 6372 9551 6375 -rect 9766 6372 9772 6384 -rect 9539 6344 9772 6372 -rect 9539 6341 9551 6344 -rect 9493 6335 9551 6341 -rect 9766 6332 9772 6344 -rect 9824 6332 9830 6384 -rect 10410 6332 10416 6384 -rect 10468 6372 10474 6384 -rect 11425 6375 11483 6381 -rect 11425 6372 11437 6375 -rect 10468 6344 11437 6372 -rect 10468 6332 10474 6344 -rect 11425 6341 11437 6344 -rect 11471 6341 11483 6375 -rect 11425 6335 11483 6341 -rect 9030 6304 9036 6316 -rect 6564 6276 9036 6304 -rect 6564 6245 6592 6276 -rect 9030 6264 9036 6276 -rect 9088 6264 9094 6316 -rect 9674 6304 9680 6316 -rect 9635 6276 9680 6304 -rect 9674 6264 9680 6276 -rect 9732 6264 9738 6316 -rect 9861 6307 9919 6313 -rect 9861 6273 9873 6307 -rect 9907 6304 9919 6307 -rect 10594 6304 10600 6316 -rect 9907 6276 10600 6304 -rect 9907 6273 9919 6276 -rect 9861 6267 9919 6273 -rect 5500 6208 5545 6236 -rect 5736 6208 6500 6236 -rect 6549 6239 6607 6245 -rect 5500 6196 5506 6208 -rect 6549 6205 6561 6239 -rect 6595 6205 6607 6239 -rect 7374 6236 7380 6248 -rect 6549 6199 6607 6205 -rect 6656 6208 7380 6236 -rect 6656 6168 6684 6208 -rect 7374 6196 7380 6208 -rect 7432 6196 7438 6248 -rect 7650 6196 7656 6248 -rect 7708 6236 7714 6248 -rect 9876 6236 9904 6267 -rect 10594 6264 10600 6276 -rect 10652 6264 10658 6316 -rect 10686 6264 10692 6316 -rect 10744 6304 10750 6316 -rect 12084 6313 12112 6412 -rect 13814 6400 13820 6412 -rect 13872 6400 13878 6452 -rect 14366 6400 14372 6452 -rect 14424 6440 14430 6452 -rect 14553 6443 14611 6449 -rect 14553 6440 14565 6443 -rect 14424 6412 14565 6440 -rect 14424 6400 14430 6412 -rect 14553 6409 14565 6412 -rect 14599 6409 14611 6443 -rect 14553 6403 14611 6409 -rect 15194 6400 15200 6452 -rect 15252 6440 15258 6452 -rect 15381 6443 15439 6449 -rect 15381 6440 15393 6443 -rect 15252 6412 15393 6440 -rect 15252 6400 15258 6412 -rect 15381 6409 15393 6412 -rect 15427 6409 15439 6443 -rect 15381 6403 15439 6409 -rect 16853 6443 16911 6449 -rect 16853 6409 16865 6443 -rect 16899 6409 16911 6443 -rect 16853 6403 16911 6409 -rect 17221 6443 17279 6449 -rect 17221 6409 17233 6443 -rect 17267 6440 17279 6443 -rect 17681 6443 17739 6449 -rect 17681 6440 17693 6443 -rect 17267 6412 17693 6440 -rect 17267 6409 17279 6412 -rect 17221 6403 17279 6409 -rect 17681 6409 17693 6412 -rect 17727 6409 17739 6443 -rect 18046 6440 18052 6452 -rect 18007 6412 18052 6440 -rect 17681 6403 17739 6409 -rect 12342 6372 12348 6384 -rect 12303 6344 12348 6372 -rect 12342 6332 12348 6344 -rect 12400 6332 12406 6384 -rect 13722 6332 13728 6384 -rect 13780 6372 13786 6384 -rect 14001 6375 14059 6381 -rect 14001 6372 14013 6375 -rect 13780 6344 14013 6372 -rect 13780 6332 13786 6344 -rect 14001 6341 14013 6344 -rect 14047 6372 14059 6375 -rect 14047 6344 15792 6372 -rect 14047 6341 14059 6344 -rect 14001 6335 14059 6341 -rect 12069 6307 12127 6313 -rect 12069 6304 12081 6307 -rect 10744 6276 12081 6304 -rect 10744 6264 10750 6276 -rect 12069 6273 12081 6276 -rect 12115 6273 12127 6307 -rect 12069 6267 12127 6273 -rect 10134 6236 10140 6248 -rect 7708 6208 9904 6236 -rect 10095 6208 10140 6236 -rect 7708 6196 7714 6208 -rect 10134 6196 10140 6208 -rect 10192 6196 10198 6248 -rect 10229 6239 10287 6245 -rect 10229 6205 10241 6239 -rect 10275 6205 10287 6239 -rect 10965 6239 11023 6245 -rect 10965 6236 10977 6239 -rect 10229 6199 10287 6205 -rect 10336 6208 10977 6236 -rect 7098 6168 7104 6180 -rect 5368 6140 6684 6168 -rect 7059 6140 7104 6168 -rect 4893 6131 4951 6137 -rect 7098 6128 7104 6140 -rect 7156 6128 7162 6180 -rect 8110 6128 8116 6180 -rect 8168 6168 8174 6180 -rect 9858 6168 9864 6180 -rect 8168 6140 9260 6168 -rect 9819 6140 9864 6168 -rect 8168 6128 8174 6140 -rect 2866 6060 2872 6112 -rect 2924 6100 2930 6112 -rect 3881 6103 3939 6109 -rect 3881 6100 3893 6103 -rect 2924 6072 3893 6100 -rect 2924 6060 2930 6072 -rect 3881 6069 3893 6072 -rect 3927 6100 3939 6103 -rect 4065 6103 4123 6109 -rect 4065 6100 4077 6103 -rect 3927 6072 4077 6100 -rect 3927 6069 3939 6072 -rect 3881 6063 3939 6069 -rect 4065 6069 4077 6072 -rect 4111 6069 4123 6103 -rect 4065 6063 4123 6069 -rect 8205 6103 8263 6109 -rect 8205 6069 8217 6103 -rect 8251 6100 8263 6103 -rect 9122 6100 9128 6112 -rect 8251 6072 9128 6100 -rect 8251 6069 8263 6072 -rect 8205 6063 8263 6069 -rect 9122 6060 9128 6072 -rect 9180 6060 9186 6112 -rect 9232 6100 9260 6140 -rect 9858 6128 9864 6140 -rect 9916 6128 9922 6180 -rect 10042 6128 10048 6180 -rect 10100 6168 10106 6180 -rect 10244 6168 10272 6199 -rect 10100 6140 10272 6168 -rect 10100 6128 10106 6140 -rect 10336 6100 10364 6208 -rect 10965 6205 10977 6208 -rect 11011 6205 11023 6239 -rect 10965 6199 11023 6205 -rect 11057 6239 11115 6245 -rect 11057 6205 11069 6239 -rect 11103 6205 11115 6239 -rect 11057 6199 11115 6205 -rect 10689 6171 10747 6177 -rect 10689 6137 10701 6171 -rect 10735 6168 10747 6171 -rect 11072 6168 11100 6199 -rect 11882 6196 11888 6248 -rect 11940 6236 11946 6248 -rect 13464 6236 13492 6290 -rect 11940 6208 13492 6236 -rect 13817 6239 13875 6245 -rect 11940 6196 11946 6208 -rect 13817 6205 13829 6239 -rect 13863 6236 13875 6239 -rect 14016 6236 14044 6335 -rect 14568 6313 14596 6344 -rect 15764 6313 15792 6344 -rect 14277 6307 14335 6313 -rect 14277 6273 14289 6307 -rect 14323 6273 14335 6307 -rect 14277 6267 14335 6273 -rect 14553 6307 14611 6313 -rect 14553 6273 14565 6307 -rect 14599 6273 14611 6307 -rect 14553 6267 14611 6273 +rect 3752 6208 4384 6236 +rect 4433 6239 4491 6245 +rect 3752 6196 3758 6208 +rect 4433 6205 4445 6239 +rect 4479 6236 4491 6239 +rect 4522 6236 4528 6248 +rect 4479 6208 4528 6236 +rect 4479 6205 4491 6208 +rect 4433 6199 4491 6205 +rect 4522 6196 4528 6208 +rect 4580 6196 4586 6248 +rect 5813 6239 5871 6245 +rect 5813 6205 5825 6239 +rect 5859 6205 5871 6239 +rect 5813 6199 5871 6205 +rect 2498 6128 2504 6180 +rect 2556 6168 2562 6180 +rect 5261 6171 5319 6177 +rect 5261 6168 5273 6171 +rect 2556 6140 5273 6168 +rect 2556 6128 2562 6140 +rect 5261 6137 5273 6140 +rect 5307 6168 5319 6171 +rect 5442 6168 5448 6180 +rect 5307 6140 5448 6168 +rect 5307 6137 5319 6140 +rect 5261 6131 5319 6137 +rect 5442 6128 5448 6140 +rect 5500 6128 5506 6180 +rect 5626 6128 5632 6180 +rect 5684 6168 5690 6180 +rect 5828 6168 5856 6199 +rect 5684 6140 5856 6168 +rect 5920 6168 5948 6276 +rect 5994 6264 6000 6316 +rect 6052 6304 6058 6316 +rect 6457 6307 6515 6313 +rect 6457 6304 6469 6307 +rect 6052 6276 6469 6304 +rect 6052 6264 6058 6276 +rect 6457 6273 6469 6276 +rect 6503 6273 6515 6307 +rect 7650 6304 7656 6316 +rect 7611 6276 7656 6304 +rect 6457 6267 6515 6273 +rect 7650 6264 7656 6276 +rect 7708 6264 7714 6316 +rect 7852 6313 7880 6344 +rect 12158 6332 12164 6344 +rect 12216 6332 12222 6384 +rect 15212 6372 15240 6412 +rect 16206 6400 16212 6412 +rect 16264 6400 16270 6452 +rect 16942 6440 16948 6452 +rect 16903 6412 16948 6440 +rect 16942 6400 16948 6412 +rect 17000 6400 17006 6452 +rect 14752 6344 15240 6372 +rect 7837 6307 7895 6313 +rect 7837 6273 7849 6307 +rect 7883 6273 7895 6307 +rect 8018 6304 8024 6316 +rect 7979 6276 8024 6304 +rect 7837 6267 7895 6273 +rect 8018 6264 8024 6276 +rect 8076 6264 8082 6316 +rect 8573 6307 8631 6313 +rect 8573 6273 8585 6307 +rect 8619 6273 8631 6307 +rect 8573 6267 8631 6273 +rect 11885 6307 11943 6313 +rect 11885 6273 11897 6307 +rect 11931 6304 11943 6307 +rect 13814 6304 13820 6316 +rect 11931 6276 12388 6304 +rect 13775 6276 13820 6304 +rect 11931 6273 11943 6276 +rect 11885 6267 11943 6273 +rect 6546 6236 6552 6248 +rect 6507 6208 6552 6236 +rect 6546 6196 6552 6208 +rect 6604 6196 6610 6248 +rect 6641 6239 6699 6245 +rect 6641 6205 6653 6239 +rect 6687 6236 6699 6239 +rect 7558 6236 7564 6248 +rect 6687 6208 7564 6236 +rect 6687 6205 6699 6208 +rect 6641 6199 6699 6205 +rect 6656 6168 6684 6199 +rect 7558 6196 7564 6208 +rect 7616 6196 7622 6248 +rect 7929 6239 7987 6245 +rect 7929 6205 7941 6239 +rect 7975 6236 7987 6239 +rect 8386 6236 8392 6248 +rect 7975 6208 8392 6236 +rect 7975 6205 7987 6208 +rect 7929 6199 7987 6205 +rect 8386 6196 8392 6208 +rect 8444 6196 8450 6248 +rect 8588 6168 8616 6267 +rect 8938 6168 8944 6180 +rect 5920 6140 6684 6168 +rect 8312 6140 8616 6168 +rect 8680 6140 8944 6168 +rect 5684 6128 5690 6140 +rect 8312 6112 8340 6140 +rect 8680 6112 8708 6140 +rect 8938 6128 8944 6140 +rect 8996 6128 9002 6180 +rect 12360 6177 12388 6276 +rect 13814 6264 13820 6276 +rect 13872 6264 13878 6316 +rect 14642 6264 14648 6316 +rect 14700 6304 14706 6316 +rect 14752 6313 14780 6344 rect 14737 6307 14795 6313 -rect 14737 6273 14749 6307 +rect 14737 6304 14749 6307 +rect 14700 6276 14749 6304 +rect 14700 6264 14706 6276 +rect 14737 6273 14749 6276 rect 14783 6273 14795 6307 rect 14737 6267 14795 6273 -rect 15289 6307 15347 6313 -rect 15289 6273 15301 6307 -rect 15335 6273 15347 6307 -rect 15289 6267 15347 6273 -rect 15749 6307 15807 6313 -rect 15749 6273 15761 6307 -rect 15795 6273 15807 6307 -rect 15749 6267 15807 6273 -rect 16669 6307 16727 6313 -rect 16669 6273 16681 6307 -rect 16715 6304 16727 6307 -rect 16868 6304 16896 6403 -rect 18046 6400 18052 6412 -rect 18104 6400 18110 6452 -rect 16715 6276 16896 6304 -rect 16715 6273 16727 6276 -rect 16669 6267 16727 6273 -rect 13863 6208 14044 6236 -rect 13863 6205 13875 6208 -rect 13817 6199 13875 6205 -rect 10735 6140 11100 6168 -rect 10735 6137 10747 6140 -rect 10689 6131 10747 6137 -rect 13538 6128 13544 6180 -rect 13596 6168 13602 6180 -rect 14185 6171 14243 6177 -rect 14185 6168 14197 6171 -rect 13596 6140 14197 6168 -rect 13596 6128 13602 6140 -rect 14185 6137 14197 6140 -rect 14231 6137 14243 6171 -rect 14292 6168 14320 6267 -rect 14366 6196 14372 6248 -rect 14424 6236 14430 6248 -rect 14752 6236 14780 6267 -rect 14424 6208 14780 6236 -rect 15304 6236 15332 6267 -rect 15657 6239 15715 6245 -rect 15657 6236 15669 6239 -rect 15304 6208 15669 6236 -rect 14424 6196 14430 6208 -rect 15304 6168 15332 6208 -rect 15657 6205 15669 6208 -rect 15703 6236 15715 6239 -rect 17310 6236 17316 6248 -rect 15703 6208 17316 6236 -rect 15703 6205 15715 6208 -rect 15657 6199 15715 6205 -rect 17310 6196 17316 6208 -rect 17368 6196 17374 6248 -rect 17494 6236 17500 6248 -rect 17455 6208 17500 6236 -rect 17494 6196 17500 6208 -rect 17552 6196 17558 6248 -rect 18138 6236 18144 6248 -rect 18099 6208 18144 6236 -rect 18138 6196 18144 6208 -rect 18196 6196 18202 6248 -rect 18322 6236 18328 6248 -rect 18283 6208 18328 6236 -rect 18322 6196 18328 6208 -rect 18380 6196 18386 6248 -rect 17512 6168 17540 6196 -rect 14292 6140 15332 6168 -rect 15396 6140 17540 6168 -rect 14185 6131 14243 6137 -rect 9232 6072 10364 6100 -rect 10410 6060 10416 6112 -rect 10468 6100 10474 6112 -rect 10781 6103 10839 6109 -rect 10781 6100 10793 6103 -rect 10468 6072 10793 6100 -rect 10468 6060 10474 6072 -rect 10781 6069 10793 6072 -rect 10827 6069 10839 6103 -rect 14090 6100 14096 6112 -rect 14051 6072 14096 6100 -rect 10781 6063 10839 6069 -rect 14090 6060 14096 6072 -rect 14148 6060 14154 6112 -rect 14200 6100 14228 6131 -rect 15396 6100 15424 6140 -rect 14200 6072 15424 6100 -rect 16390 6060 16396 6112 -rect 16448 6100 16454 6112 -rect 16485 6103 16543 6109 -rect 16485 6100 16497 6103 -rect 16448 6072 16497 6100 -rect 16448 6060 16454 6072 -rect 16485 6069 16497 6072 -rect 16531 6069 16543 6103 -rect 16485 6063 16543 6069 +rect 16298 6264 16304 6316 +rect 16356 6264 16362 6316 +rect 16482 6264 16488 6316 +rect 16540 6304 16546 6316 +rect 16853 6307 16911 6313 +rect 16853 6304 16865 6307 +rect 16540 6276 16865 6304 +rect 16540 6264 16546 6276 +rect 16853 6273 16865 6276 +rect 16899 6273 16911 6307 +rect 16853 6267 16911 6273 +rect 17313 6307 17371 6313 +rect 17313 6273 17325 6307 +rect 17359 6273 17371 6307 +rect 17313 6267 17371 6273 +rect 17681 6307 17739 6313 +rect 17681 6273 17693 6307 +rect 17727 6273 17739 6307 +rect 17681 6267 17739 6273 +rect 12802 6236 12808 6248 +rect 12763 6208 12808 6236 +rect 12802 6196 12808 6208 +rect 12860 6196 12866 6248 +rect 12989 6239 13047 6245 +rect 12989 6205 13001 6239 +rect 13035 6205 13047 6239 +rect 12989 6199 13047 6205 +rect 14093 6239 14151 6245 +rect 14093 6205 14105 6239 +rect 14139 6236 14151 6239 +rect 14366 6236 14372 6248 +rect 14139 6208 14372 6236 +rect 14139 6205 14151 6208 +rect 14093 6199 14151 6205 +rect 12345 6171 12403 6177 +rect 12345 6137 12357 6171 +rect 12391 6137 12403 6171 +rect 13004 6168 13032 6199 +rect 14366 6196 14372 6208 +rect 14424 6196 14430 6248 +rect 14458 6196 14464 6248 +rect 14516 6236 14522 6248 +rect 14921 6239 14979 6245 +rect 14921 6236 14933 6239 +rect 14516 6208 14933 6236 +rect 14516 6196 14522 6208 +rect 14921 6205 14933 6208 +rect 14967 6205 14979 6239 +rect 14921 6199 14979 6205 +rect 15197 6239 15255 6245 +rect 15197 6205 15209 6239 +rect 15243 6236 15255 6239 +rect 16574 6236 16580 6248 +rect 15243 6208 16580 6236 +rect 15243 6205 15255 6208 +rect 15197 6199 15255 6205 +rect 16574 6196 16580 6208 +rect 16632 6196 16638 6248 +rect 16669 6239 16727 6245 +rect 16669 6205 16681 6239 +rect 16715 6236 16727 6239 +rect 17328 6236 17356 6267 +rect 17402 6236 17408 6248 +rect 16715 6208 17408 6236 +rect 16715 6205 16727 6208 +rect 16669 6199 16727 6205 +rect 17402 6196 17408 6208 +rect 17460 6196 17466 6248 +rect 13004 6140 14320 6168 +rect 12345 6131 12403 6137 +rect 14292 6112 14320 6140 +rect 16206 6128 16212 6180 +rect 16264 6168 16270 6180 +rect 17696 6168 17724 6267 +rect 16264 6140 17724 6168 +rect 16264 6128 16270 6140 +rect 2222 6100 2228 6112 +rect 2183 6072 2228 6100 +rect 2222 6060 2228 6072 +rect 2280 6060 2286 6112 +rect 2958 6060 2964 6112 +rect 3016 6100 3022 6112 +rect 3053 6103 3111 6109 +rect 3053 6100 3065 6103 +rect 3016 6072 3065 6100 +rect 3016 6060 3022 6072 +rect 3053 6069 3065 6072 +rect 3099 6069 3111 6103 +rect 3053 6063 3111 6069 +rect 4341 6103 4399 6109 +rect 4341 6069 4353 6103 +rect 4387 6100 4399 6103 +rect 4430 6100 4436 6112 +rect 4387 6072 4436 6100 +rect 4387 6069 4399 6072 +rect 4341 6063 4399 6069 +rect 4430 6060 4436 6072 +rect 4488 6060 4494 6112 +rect 5534 6060 5540 6112 +rect 5592 6100 5598 6112 +rect 6089 6103 6147 6109 +rect 6089 6100 6101 6103 +rect 5592 6072 6101 6100 +rect 5592 6060 5598 6072 +rect 6089 6069 6101 6072 +rect 6135 6069 6147 6103 +rect 8294 6100 8300 6112 +rect 8207 6072 8300 6100 +rect 6089 6063 6147 6069 +rect 8294 6060 8300 6072 +rect 8352 6060 8358 6112 +rect 8481 6103 8539 6109 +rect 8481 6069 8493 6103 +rect 8527 6100 8539 6103 +rect 8570 6100 8576 6112 +rect 8527 6072 8576 6100 +rect 8527 6069 8539 6072 +rect 8481 6063 8539 6069 +rect 8570 6060 8576 6072 +rect 8628 6060 8634 6112 +rect 8662 6060 8668 6112 +rect 8720 6100 8726 6112 +rect 9030 6100 9036 6112 +rect 8720 6072 8765 6100 +rect 8991 6072 9036 6100 +rect 8720 6060 8726 6072 +rect 9030 6060 9036 6072 +rect 9088 6060 9094 6112 +rect 9217 6103 9275 6109 +rect 9217 6069 9229 6103 +rect 9263 6100 9275 6103 +rect 10686 6100 10692 6112 +rect 9263 6072 10692 6100 +rect 9263 6069 9275 6072 +rect 9217 6063 9275 6069 +rect 10686 6060 10692 6072 +rect 10744 6060 10750 6112 +rect 11698 6100 11704 6112 +rect 11659 6072 11704 6100 +rect 11698 6060 11704 6072 +rect 11756 6060 11762 6112 +rect 14274 6060 14280 6112 +rect 14332 6100 14338 6112 +rect 14734 6100 14740 6112 +rect 14332 6072 14740 6100 +rect 14332 6060 14338 6072 +rect 14734 6060 14740 6072 +rect 14792 6100 14798 6112 +rect 15838 6100 15844 6112 +rect 14792 6072 15844 6100 +rect 14792 6060 14798 6072 +rect 15838 6060 15844 6072 +rect 15896 6060 15902 6112 rect 0 6010 18860 6032 rect 0 5958 3110 6010 rect 3162 5958 3174 6010 @@ -5070,300 +5241,386 @@ rect 15690 5958 15702 6010 rect 15754 5958 15766 6010 rect 15818 5958 18860 6010 rect 0 5936 18860 5958 -rect 566 5856 572 5908 -rect 624 5896 630 5908 -rect 2409 5899 2467 5905 -rect 2409 5896 2421 5899 -rect 624 5868 2421 5896 -rect 624 5856 630 5868 -rect 2409 5865 2421 5868 -rect 2455 5865 2467 5899 -rect 2409 5859 2467 5865 -rect 3513 5899 3571 5905 -rect 3513 5865 3525 5899 -rect 3559 5896 3571 5899 -rect 3881 5899 3939 5905 -rect 3881 5896 3893 5899 -rect 3559 5868 3893 5896 -rect 3559 5865 3571 5868 -rect 3513 5859 3571 5865 -rect 3881 5865 3893 5868 -rect 3927 5865 3939 5899 -rect 3881 5859 3939 5865 -rect 4328 5899 4386 5905 -rect 4328 5865 4340 5899 -rect 4374 5896 4386 5899 -rect 5534 5896 5540 5908 -rect 4374 5868 5540 5896 -rect 4374 5865 4386 5868 -rect 4328 5859 4386 5865 -rect 5534 5856 5540 5868 -rect 5592 5856 5598 5908 -rect 5813 5899 5871 5905 -rect 5813 5865 5825 5899 -rect 5859 5896 5871 5899 -rect 5994 5896 6000 5908 -rect 5859 5868 6000 5896 -rect 5859 5865 5871 5868 -rect 5813 5859 5871 5865 -rect 5994 5856 6000 5868 -rect 6052 5896 6058 5908 -rect 6052 5868 7236 5896 -rect 6052 5856 6058 5868 -rect 3418 5828 3424 5840 -rect 3379 5800 3424 5828 -rect 3418 5788 3424 5800 -rect 3476 5788 3482 5840 -rect 3620 5800 4108 5828 +rect 2866 5856 2872 5908 +rect 2924 5896 2930 5908 +rect 3099 5899 3157 5905 +rect 3099 5896 3111 5899 +rect 2924 5868 3111 5896 +rect 2924 5856 2930 5868 +rect 3099 5865 3111 5868 +rect 3145 5865 3157 5899 +rect 3099 5859 3157 5865 +rect 4430 5856 4436 5908 +rect 4488 5896 4494 5908 +rect 6546 5896 6552 5908 +rect 4488 5868 6224 5896 +rect 6507 5868 6552 5896 +rect 4488 5856 4494 5868 rect 290 5720 296 5772 rect 348 5760 354 5772 -rect 3620 5760 3648 5800 -rect 348 5732 3648 5760 +rect 4157 5763 4215 5769 +rect 4157 5760 4169 5763 +rect 348 5732 4169 5760 rect 348 5720 354 5732 -rect 952 5701 980 5732 -rect 3694 5720 3700 5772 -rect 3752 5760 3758 5772 -rect 4080 5769 4108 5800 -rect 4065 5763 4123 5769 -rect 3752 5732 3797 5760 -rect 3752 5720 3758 5732 -rect 4065 5729 4077 5763 -rect 4111 5760 4123 5763 +rect 1044 5701 1072 5732 +rect 4157 5729 4169 5732 +rect 4203 5760 4215 5763 rect 6086 5760 6092 5772 -rect 4111 5732 6092 5760 -rect 4111 5729 4123 5732 -rect 4065 5723 4123 5729 +rect 4203 5732 6092 5760 +rect 4203 5729 4215 5732 +rect 4157 5723 4215 5729 rect 6086 5720 6092 5732 rect 6144 5720 6150 5772 -rect 6457 5763 6515 5769 -rect 6457 5729 6469 5763 -rect 6503 5760 6515 5763 -rect 6822 5760 6828 5772 -rect 6503 5732 6828 5760 -rect 6503 5729 6515 5732 -rect 6457 5723 6515 5729 -rect 6822 5720 6828 5732 -rect 6880 5720 6886 5772 -rect 7208 5760 7236 5868 -rect 7650 5856 7656 5908 -rect 7708 5896 7714 5908 -rect 7883 5899 7941 5905 -rect 7883 5896 7895 5899 -rect 7708 5868 7895 5896 -rect 7708 5856 7714 5868 -rect 7883 5865 7895 5868 -rect 7929 5865 7941 5899 -rect 7883 5859 7941 5865 -rect 8205 5899 8263 5905 -rect 8205 5865 8217 5899 -rect 8251 5896 8263 5899 -rect 8846 5896 8852 5908 -rect 8251 5868 8852 5896 -rect 8251 5865 8263 5868 -rect 8205 5859 8263 5865 -rect 8846 5856 8852 5868 -rect 8904 5856 8910 5908 -rect 8941 5899 8999 5905 -rect 8941 5865 8953 5899 -rect 8987 5896 8999 5899 -rect 9674 5896 9680 5908 -rect 8987 5868 9680 5896 -rect 8987 5865 8999 5868 -rect 8941 5859 8999 5865 -rect 9674 5856 9680 5868 -rect 9732 5896 9738 5908 -rect 9732 5868 10824 5896 -rect 9732 5856 9738 5868 -rect 10042 5760 10048 5772 -rect 7208 5732 10048 5760 -rect 937 5695 995 5701 -rect 937 5661 949 5695 -rect 983 5661 995 5695 -rect 937 5655 995 5661 -rect 2593 5695 2651 5701 -rect 2593 5661 2605 5695 -rect 2639 5692 2651 5695 -rect 2774 5692 2780 5704 -rect 2639 5664 2780 5692 -rect 2639 5661 2651 5664 -rect 2593 5655 2651 5661 -rect 2774 5652 2780 5664 -rect 2832 5652 2838 5704 -rect 2869 5695 2927 5701 -rect 2869 5661 2881 5695 -rect 2915 5692 2927 5695 -rect 2958 5692 2964 5704 -rect 2915 5664 2964 5692 -rect 2915 5661 2927 5664 -rect 2869 5655 2927 5661 -rect 2958 5652 2964 5664 -rect 3016 5692 3022 5704 -rect 3053 5695 3111 5701 -rect 3053 5692 3065 5695 -rect 3016 5664 3065 5692 -rect 3016 5652 3022 5664 -rect 3053 5661 3065 5664 -rect 3099 5661 3111 5695 -rect 3970 5692 3976 5704 -rect 3931 5664 3976 5692 -rect 3053 5655 3111 5661 -rect 3970 5652 3976 5664 -rect 4028 5652 4034 5704 -rect 5442 5652 5448 5704 -rect 5500 5652 5506 5704 -rect 8220 5701 8248 5732 -rect 10042 5720 10048 5732 -rect 10100 5720 10106 5772 -rect 10410 5760 10416 5772 -rect 10371 5732 10416 5760 -rect 10410 5720 10416 5732 -rect 10468 5720 10474 5772 -rect 10686 5760 10692 5772 -rect 10647 5732 10692 5760 -rect 10686 5720 10692 5732 -rect 10744 5720 10750 5772 -rect 8205 5695 8263 5701 -rect 8205 5661 8217 5695 -rect 8251 5661 8263 5695 -rect 10796 5692 10824 5868 -rect 11238 5856 11244 5908 -rect 11296 5896 11302 5908 -rect 11425 5899 11483 5905 -rect 11425 5896 11437 5899 -rect 11296 5868 11437 5896 -rect 11296 5856 11302 5868 -rect 11425 5865 11437 5868 -rect 11471 5865 11483 5899 -rect 15838 5896 15844 5908 -rect 15799 5868 15844 5896 -rect 11425 5859 11483 5865 -rect 15838 5856 15844 5868 -rect 15896 5856 15902 5908 -rect 17310 5856 17316 5908 -rect 17368 5896 17374 5908 -rect 17819 5899 17877 5905 -rect 17819 5896 17831 5899 -rect 17368 5868 17831 5896 -rect 17368 5856 17374 5868 -rect 17819 5865 17831 5868 -rect 17865 5896 17877 5899 -rect 17865 5868 18092 5896 -rect 17865 5865 17877 5868 -rect 17819 5859 17877 5865 +rect 6196 5769 6224 5868 +rect 6546 5856 6552 5868 +rect 6604 5856 6610 5908 +rect 8294 5896 8300 5908 +rect 8255 5868 8300 5896 +rect 8294 5856 8300 5868 +rect 8352 5856 8358 5908 +rect 10597 5899 10655 5905 +rect 10597 5865 10609 5899 +rect 10643 5896 10655 5899 +rect 15378 5896 15384 5908 +rect 10643 5868 15384 5896 +rect 10643 5865 10655 5868 +rect 10597 5859 10655 5865 +rect 6270 5788 6276 5840 +rect 6328 5828 6334 5840 +rect 6328 5800 6960 5828 +rect 6328 5788 6334 5800 +rect 6932 5769 6960 5800 +rect 6181 5763 6239 5769 +rect 6181 5729 6193 5763 +rect 6227 5760 6239 5763 +rect 6917 5763 6975 5769 +rect 6227 5732 6408 5760 +rect 6227 5729 6239 5732 +rect 6181 5723 6239 5729 +rect 1029 5695 1087 5701 +rect 1029 5661 1041 5695 +rect 1075 5661 1087 5695 +rect 1029 5655 1087 5661 +rect 1121 5695 1179 5701 +rect 1121 5661 1133 5695 +rect 1167 5692 1179 5695 +rect 1305 5695 1363 5701 +rect 1305 5692 1317 5695 +rect 1167 5664 1317 5692 +rect 1167 5661 1179 5664 +rect 1121 5655 1179 5661 +rect 1305 5661 1317 5664 +rect 1351 5661 1363 5695 +rect 1673 5695 1731 5701 +rect 1673 5692 1685 5695 +rect 1305 5655 1363 5661 +rect 1412 5664 1685 5692 +rect 1210 5584 1216 5636 +rect 1268 5624 1274 5636 +rect 1412 5624 1440 5664 +rect 1673 5661 1685 5664 +rect 1719 5661 1731 5695 +rect 1673 5655 1731 5661 +rect 5718 5652 5724 5704 +rect 5776 5692 5782 5704 +rect 6273 5695 6331 5701 +rect 6273 5692 6285 5695 +rect 5776 5664 6285 5692 +rect 5776 5652 5782 5664 +rect 6273 5661 6285 5664 +rect 6319 5661 6331 5695 +rect 6380 5692 6408 5732 +rect 6917 5729 6929 5763 +rect 6963 5729 6975 5763 +rect 6917 5723 6975 5729 +rect 7173 5695 7231 5701 +rect 7173 5692 7185 5695 +rect 6380 5664 7185 5692 +rect 6273 5655 6331 5661 +rect 7173 5661 7185 5664 +rect 7219 5692 7231 5695 +rect 8018 5692 8024 5704 +rect 7219 5664 8024 5692 +rect 7219 5661 7231 5664 +rect 7173 5655 7231 5661 +rect 4430 5624 4436 5636 +rect 1268 5596 1440 5624 +rect 1268 5584 1274 5596 +rect 2222 5516 2228 5568 +rect 2280 5556 2286 5568 +rect 2700 5556 2728 5610 +rect 4391 5596 4436 5624 +rect 4430 5584 4436 5596 +rect 4488 5584 4494 5636 +rect 6288 5624 6316 5655 +rect 8018 5652 8024 5664 +rect 8076 5692 8082 5704 +rect 8662 5692 8668 5704 +rect 8076 5664 8668 5692 +rect 8076 5652 8082 5664 +rect 8662 5652 8668 5664 +rect 8720 5652 8726 5704 +rect 10413 5695 10471 5701 +rect 10413 5661 10425 5695 +rect 10459 5692 10471 5695 +rect 10612 5692 10640 5859 +rect 15378 5856 15384 5868 +rect 15436 5856 15442 5908 +rect 16025 5899 16083 5905 +rect 16025 5865 16037 5899 +rect 16071 5896 16083 5899 +rect 16942 5896 16948 5908 +rect 16071 5868 16948 5896 +rect 16071 5865 16083 5868 +rect 16025 5859 16083 5865 +rect 16942 5856 16948 5868 +rect 17000 5856 17006 5908 +rect 14458 5828 14464 5840 +rect 13280 5800 14464 5828 rect 11149 5763 11207 5769 rect 11149 5729 11161 5763 rect 11195 5760 11207 5763 -rect 11238 5760 11244 5772 -rect 11195 5732 11244 5760 +rect 11422 5760 11428 5772 +rect 11195 5732 11428 5760 rect 11195 5729 11207 5732 rect 11149 5723 11207 5729 -rect 11238 5720 11244 5732 -rect 11296 5720 11302 5772 -rect 14090 5720 14096 5772 -rect 14148 5760 14154 5772 -rect 14277 5763 14335 5769 -rect 14277 5760 14289 5763 -rect 14148 5732 14289 5760 -rect 14148 5720 14154 5732 -rect 14277 5729 14289 5732 -rect 14323 5729 14335 5763 -rect 14734 5760 14740 5772 -rect 14695 5732 14740 5760 -rect 14277 5723 14335 5729 -rect 14734 5720 14740 5732 -rect 14792 5720 14798 5772 -rect 16390 5760 16396 5772 -rect 16351 5732 16396 5760 -rect 16390 5720 16396 5732 -rect 16448 5720 16454 5772 -rect 11057 5695 11115 5701 -rect 11057 5692 11069 5695 -rect 10796 5664 11069 5692 -rect 8205 5655 8263 5661 -rect 11057 5661 11069 5664 -rect 11103 5661 11115 5695 -rect 14366 5692 14372 5704 -rect 14327 5664 14372 5692 -rect 11057 5655 11115 5661 -rect 14366 5652 14372 5664 -rect 14424 5652 14430 5704 +rect 11422 5720 11428 5732 +rect 11480 5760 11486 5772 +rect 13280 5760 13308 5800 +rect 14458 5788 14464 5800 +rect 14516 5788 14522 5840 +rect 16482 5828 16488 5840 +rect 15764 5800 16488 5828 +rect 11480 5732 13308 5760 +rect 13541 5763 13599 5769 +rect 11480 5720 11486 5732 +rect 13541 5729 13553 5763 +rect 13587 5760 13599 5763 +rect 13909 5763 13967 5769 +rect 13909 5760 13921 5763 +rect 13587 5732 13921 5760 +rect 13587 5729 13599 5732 +rect 13541 5723 13599 5729 +rect 13909 5729 13921 5732 +rect 13955 5729 13967 5763 +rect 14274 5760 14280 5772 +rect 14235 5732 14280 5760 +rect 13909 5723 13967 5729 +rect 14274 5720 14280 5732 +rect 14332 5720 14338 5772 +rect 15764 5769 15792 5800 +rect 16482 5788 16488 5800 +rect 16540 5788 16546 5840 +rect 15749 5763 15807 5769 +rect 15749 5760 15761 5763 +rect 14384 5732 15761 5760 +rect 10459 5664 10640 5692 +rect 13449 5695 13507 5701 +rect 10459 5661 10471 5664 +rect 10413 5655 10471 5661 +rect 13449 5661 13461 5695 +rect 13495 5692 13507 5695 +rect 14384 5692 14412 5732 +rect 15749 5729 15761 5732 +rect 15795 5729 15807 5763 +rect 15749 5723 15807 5729 +rect 15838 5720 15844 5772 +rect 15896 5760 15902 5772 +rect 15933 5763 15991 5769 +rect 15933 5760 15945 5763 +rect 15896 5732 15945 5760 +rect 15896 5720 15902 5732 +rect 15933 5729 15945 5732 +rect 15979 5729 15991 5763 +rect 15933 5723 15991 5729 +rect 16117 5763 16175 5769 +rect 16117 5729 16129 5763 +rect 16163 5760 16175 5763 +rect 17494 5760 17500 5772 +rect 16163 5732 17500 5760 +rect 16163 5729 16175 5732 +rect 16117 5723 16175 5729 +rect 17494 5720 17500 5732 +rect 17552 5720 17558 5772 +rect 17589 5763 17647 5769 +rect 17589 5729 17601 5763 +rect 17635 5760 17647 5763 +rect 18322 5760 18328 5772 +rect 17635 5732 18328 5760 +rect 17635 5729 17647 5732 +rect 17589 5723 17647 5729 +rect 18322 5720 18328 5732 +rect 18380 5720 18386 5772 +rect 14550 5692 14556 5704 +rect 13495 5664 14412 5692 +rect 14511 5664 14556 5692 +rect 13495 5661 13507 5664 +rect 13449 5655 13507 5661 +rect 8202 5624 8208 5636 +rect 3329 5559 3387 5565 +rect 3329 5556 3341 5559 +rect 2280 5528 3341 5556 +rect 2280 5516 2286 5528 +rect 3329 5525 3341 5528 +rect 3375 5556 3387 5559 +rect 3510 5556 3516 5568 +rect 3375 5528 3516 5556 +rect 3375 5525 3387 5528 +rect 3329 5519 3387 5525 +rect 3510 5516 3516 5528 +rect 3568 5556 3574 5568 +rect 3973 5559 4031 5565 +rect 3973 5556 3985 5559 +rect 3568 5528 3985 5556 +rect 3568 5516 3574 5528 +rect 3973 5525 3985 5528 +rect 4019 5556 4031 5559 +rect 4908 5556 4936 5610 +rect 6288 5596 8208 5624 +rect 8202 5584 8208 5596 +rect 8260 5584 8266 5636 +rect 11425 5627 11483 5633 +rect 11425 5593 11437 5627 +rect 11471 5624 11483 5627 +rect 11698 5624 11704 5636 +rect 11471 5596 11704 5624 +rect 11471 5593 11483 5596 +rect 11425 5587 11483 5593 +rect 11698 5584 11704 5596 +rect 11756 5584 11762 5636 +rect 12360 5568 12388 5610 +rect 5902 5556 5908 5568 +rect 4019 5528 4936 5556 +rect 5863 5528 5908 5556 +rect 4019 5525 4031 5528 +rect 3973 5519 4031 5525 +rect 5902 5516 5908 5528 +rect 5960 5516 5966 5568 +rect 9125 5559 9183 5565 +rect 9125 5525 9137 5559 +rect 9171 5556 9183 5559 +rect 9306 5556 9312 5568 +rect 9171 5528 9312 5556 +rect 9171 5525 9183 5528 +rect 9125 5519 9183 5525 +rect 9306 5516 9312 5528 +rect 9364 5516 9370 5568 +rect 10686 5516 10692 5568 +rect 10744 5556 10750 5568 +rect 11057 5559 11115 5565 +rect 11057 5556 11069 5559 +rect 10744 5528 11069 5556 +rect 10744 5516 10750 5528 +rect 11057 5525 11069 5528 +rect 11103 5556 11115 5559 +rect 12342 5556 12348 5568 +rect 11103 5528 12348 5556 +rect 11103 5525 11115 5528 +rect 11057 5519 11115 5525 +rect 12342 5516 12348 5528 +rect 12400 5516 12406 5568 +rect 12802 5516 12808 5568 +rect 12860 5556 12866 5568 +rect 12897 5559 12955 5565 +rect 12897 5556 12909 5559 +rect 12860 5528 12909 5556 +rect 12860 5516 12866 5528 +rect 12897 5525 12909 5528 +rect 12943 5556 12955 5559 +rect 13464 5556 13492 5655 +rect 14550 5652 14556 5664 +rect 14608 5652 14614 5704 +rect 15197 5695 15255 5701 +rect 15197 5692 15209 5695 +rect 14844 5664 15209 5692 +rect 13909 5627 13967 5633 +rect 13909 5593 13921 5627 +rect 13955 5624 13967 5627 +rect 14461 5627 14519 5633 +rect 14461 5624 14473 5627 +rect 13955 5596 14473 5624 +rect 13955 5593 13967 5596 +rect 13909 5587 13967 5593 +rect 14461 5593 14473 5596 +rect 14507 5624 14519 5627 +rect 14642 5624 14648 5636 +rect 14507 5596 14648 5624 +rect 14507 5593 14519 5596 +rect 14461 5587 14519 5593 +rect 14642 5584 14648 5596 +rect 14700 5584 14706 5636 +rect 13814 5556 13820 5568 +rect 12943 5528 13492 5556 +rect 13775 5528 13820 5556 +rect 12943 5525 12955 5528 +rect 12897 5519 12955 5525 +rect 13814 5516 13820 5528 +rect 13872 5516 13878 5568 +rect 14093 5559 14151 5565 +rect 14093 5525 14105 5559 +rect 14139 5556 14151 5559 +rect 14366 5556 14372 5568 +rect 14139 5528 14372 5556 +rect 14139 5525 14151 5528 +rect 14093 5519 14151 5525 +rect 14366 5516 14372 5528 +rect 14424 5516 14430 5568 +rect 14844 5556 14872 5664 +rect 15197 5661 15209 5664 +rect 15243 5661 15255 5695 +rect 15197 5655 15255 5661 rect 16025 5695 16083 5701 rect 16025 5661 16037 5695 rect 16071 5692 16083 5695 -rect 16298 5692 16304 5704 -rect 16071 5664 16304 5692 +rect 16206 5692 16212 5704 +rect 16071 5664 16212 5692 rect 16071 5661 16083 5664 rect 16025 5655 16083 5661 -rect 566 5624 572 5636 -rect 527 5596 572 5624 -rect 566 5584 572 5596 -rect 624 5584 630 5636 -rect 7006 5584 7012 5636 -rect 7064 5584 7070 5636 -rect 11882 5624 11888 5636 -rect 9982 5596 11888 5624 -rect 11882 5584 11888 5596 -rect 11940 5584 11946 5636 -rect 13814 5584 13820 5636 -rect 13872 5624 13878 5636 -rect 15010 5624 15016 5636 -rect 13872 5596 15016 5624 -rect 13872 5584 13878 5596 -rect 15010 5584 15016 5596 -rect 15068 5624 15074 5636 -rect 16040 5624 16068 5655 -rect 16298 5652 16304 5664 -rect 16356 5652 16362 5704 -rect 18064 5701 18092 5868 -rect 18138 5856 18144 5908 -rect 18196 5896 18202 5908 -rect 18233 5899 18291 5905 -rect 18233 5896 18245 5899 -rect 18196 5868 18245 5896 -rect 18196 5856 18202 5868 -rect 18233 5865 18245 5868 -rect 18279 5865 18291 5899 -rect 18233 5859 18291 5865 -rect 18049 5695 18107 5701 -rect 18049 5661 18061 5695 -rect 18095 5661 18107 5695 -rect 18049 5655 18107 5661 -rect 15068 5596 16068 5624 -rect 15068 5584 15074 5596 -rect 2777 5559 2835 5565 -rect 2777 5525 2789 5559 -rect 2823 5556 2835 5559 -rect 2866 5556 2872 5568 -rect 2823 5528 2872 5556 -rect 2823 5525 2835 5528 -rect 2777 5519 2835 5525 -rect 2866 5516 2872 5528 -rect 2924 5516 2930 5568 -rect 3694 5556 3700 5568 -rect 3655 5528 3700 5556 -rect 3694 5516 3700 5528 -rect 3752 5516 3758 5568 -rect 8110 5516 8116 5568 -rect 8168 5556 8174 5568 -rect 8757 5559 8815 5565 -rect 8757 5556 8769 5559 -rect 8168 5528 8769 5556 -rect 8168 5516 8174 5528 -rect 8757 5525 8769 5528 -rect 8803 5525 8815 5559 -rect 8757 5519 8815 5525 -rect 9766 5516 9772 5568 -rect 9824 5556 9830 5568 -rect 15378 5556 15384 5568 -rect 9824 5528 15384 5556 -rect 9824 5516 9830 5528 -rect 15378 5516 15384 5528 -rect 15436 5516 15442 5568 -rect 15838 5516 15844 5568 -rect 15896 5556 15902 5568 -rect 16776 5556 16804 5610 -rect 15896 5528 16804 5556 -rect 15896 5516 15902 5528 +rect 16206 5652 16212 5664 +rect 16264 5652 16270 5704 +rect 17862 5652 17868 5704 +rect 17920 5692 17926 5704 +rect 18233 5695 18291 5701 +rect 17920 5664 17965 5692 +rect 17920 5652 17926 5664 +rect 18233 5661 18245 5695 +rect 18279 5692 18291 5695 +rect 18506 5692 18512 5704 +rect 18279 5664 18512 5692 +rect 18279 5661 18291 5664 +rect 18233 5655 18291 5661 +rect 18506 5652 18512 5664 +rect 18564 5652 18570 5704 +rect 16114 5584 16120 5636 +rect 16172 5624 16178 5636 +rect 16172 5596 16422 5624 +rect 16172 5584 16178 5596 +rect 14921 5559 14979 5565 +rect 14921 5556 14933 5559 +rect 14844 5528 14933 5556 +rect 14921 5525 14933 5528 +rect 14967 5525 14979 5559 +rect 14921 5519 14979 5525 +rect 15010 5516 15016 5568 +rect 15068 5556 15074 5568 +rect 15473 5559 15531 5565 +rect 15068 5528 15113 5556 +rect 15068 5516 15074 5528 +rect 15473 5525 15485 5559 +rect 15519 5556 15531 5559 +rect 16132 5556 16160 5584 +rect 15519 5528 16160 5556 +rect 15519 5525 15531 5528 +rect 15473 5519 15531 5525 +rect 18046 5516 18052 5568 +rect 18104 5556 18110 5568 +rect 18325 5559 18383 5565 +rect 18325 5556 18337 5559 +rect 18104 5528 18337 5556 +rect 18104 5516 18110 5528 +rect 18325 5525 18337 5528 +rect 18371 5525 18383 5559 +rect 18325 5519 18383 5525 rect 0 5466 18860 5488 rect 0 5414 4660 5466 rect 4712 5414 4724 5466 @@ -5392,275 +5649,405 @@ rect 17240 5414 17252 5466 rect 17304 5414 17316 5466 rect 17368 5414 18860 5466 rect 0 5392 18860 5414 -rect 2498 5352 2504 5364 -rect 1872 5324 2504 5352 -rect 1872 5270 1900 5324 -rect 2498 5312 2504 5324 -rect 2556 5312 2562 5364 -rect 2869 5355 2927 5361 -rect 2869 5321 2881 5355 -rect 2915 5352 2927 5355 -rect 3970 5352 3976 5364 -rect 2915 5324 3976 5352 -rect 2915 5321 2927 5324 -rect 2869 5315 2927 5321 -rect 3970 5312 3976 5324 -rect 4028 5312 4034 5364 -rect 9766 5352 9772 5364 -rect 6656 5324 9168 5352 -rect 9727 5324 9772 5352 -rect 6656 5293 6684 5324 -rect 9140 5296 9168 5324 -rect 9766 5312 9772 5324 -rect 9824 5312 9830 5364 -rect 9950 5312 9956 5364 -rect 10008 5352 10014 5364 -rect 10413 5355 10471 5361 -rect 10413 5352 10425 5355 -rect 10008 5324 10425 5352 -rect 10008 5312 10014 5324 -rect 10413 5321 10425 5324 -rect 10459 5352 10471 5355 +rect 1210 5352 1216 5364 +rect 1171 5324 1216 5352 +rect 1210 5312 1216 5324 +rect 1268 5312 1274 5364 +rect 2317 5355 2375 5361 +rect 2317 5321 2329 5355 +rect 2363 5352 2375 5355 +rect 2774 5352 2780 5364 +rect 2363 5324 2780 5352 +rect 2363 5321 2375 5324 +rect 2317 5315 2375 5321 +rect 2774 5312 2780 5324 +rect 2832 5312 2838 5364 +rect 2958 5352 2964 5364 +rect 2919 5324 2964 5352 +rect 2958 5312 2964 5324 +rect 3016 5312 3022 5364 +rect 4430 5312 4436 5364 +rect 4488 5352 4494 5364 +rect 4525 5355 4583 5361 +rect 4525 5352 4537 5355 +rect 4488 5324 4537 5352 +rect 4488 5312 4494 5324 +rect 4525 5321 4537 5324 +rect 4571 5321 4583 5355 +rect 4525 5315 4583 5321 +rect 4893 5355 4951 5361 +rect 4893 5321 4905 5355 +rect 4939 5321 4951 5355 +rect 4893 5315 4951 5321 +rect 5353 5355 5411 5361 +rect 5353 5321 5365 5355 +rect 5399 5352 5411 5355 +rect 5534 5352 5540 5364 +rect 5399 5324 5540 5352 +rect 5399 5321 5411 5324 +rect 5353 5315 5411 5321 +rect 2498 5244 2504 5296 +rect 2556 5284 2562 5296 +rect 2556 5256 3096 5284 +rect 2556 5244 2562 5256 +rect 1029 5219 1087 5225 +rect 1029 5185 1041 5219 +rect 1075 5185 1087 5219 +rect 1029 5179 1087 5185 +rect 1949 5219 2007 5225 +rect 1949 5185 1961 5219 +rect 1995 5216 2007 5219 +rect 2866 5216 2872 5228 +rect 1995 5188 2872 5216 +rect 1995 5185 2007 5188 +rect 1949 5179 2007 5185 +rect 1044 5080 1072 5179 +rect 2866 5176 2872 5188 +rect 2924 5176 2930 5228 +rect 2041 5151 2099 5157 +rect 2041 5117 2053 5151 +rect 2087 5148 2099 5151 +rect 2682 5148 2688 5160 +rect 2087 5120 2688 5148 +rect 2087 5117 2099 5120 +rect 2041 5111 2099 5117 +rect 2682 5108 2688 5120 +rect 2740 5108 2746 5160 +rect 3068 5157 3096 5256 +rect 3513 5219 3571 5225 +rect 3513 5185 3525 5219 +rect 3559 5216 3571 5219 +rect 3602 5216 3608 5228 +rect 3559 5188 3608 5216 +rect 3559 5185 3571 5188 +rect 3513 5179 3571 5185 +rect 3602 5176 3608 5188 +rect 3660 5176 3666 5228 +rect 4709 5219 4767 5225 +rect 4709 5185 4721 5219 +rect 4755 5216 4767 5219 +rect 4908 5216 4936 5315 +rect 5534 5312 5540 5324 +rect 5592 5312 5598 5364 +rect 6086 5312 6092 5364 +rect 6144 5352 6150 5364 +rect 6825 5355 6883 5361 +rect 6825 5352 6837 5355 +rect 6144 5324 6837 5352 +rect 6144 5312 6150 5324 +rect 6825 5321 6837 5324 +rect 6871 5352 6883 5355 +rect 7466 5352 7472 5364 +rect 6871 5324 7472 5352 +rect 6871 5321 6883 5324 +rect 6825 5315 6883 5321 +rect 7466 5312 7472 5324 +rect 7524 5352 7530 5364 +rect 8478 5352 8484 5364 +rect 7524 5324 8484 5352 +rect 7524 5312 7530 5324 +rect 8478 5312 8484 5324 +rect 8536 5312 8542 5364 +rect 9214 5312 9220 5364 +rect 9272 5352 9278 5364 rect 11330 5352 11336 5364 -rect 10459 5324 11336 5352 -rect 10459 5321 10471 5324 -rect 10413 5315 10471 5321 +rect 9272 5324 11336 5352 +rect 9272 5312 9278 5324 rect 11330 5312 11336 5324 rect 11388 5312 11394 5364 -rect 11606 5312 11612 5364 -rect 11664 5352 11670 5364 +rect 11514 5312 11520 5364 +rect 11572 5352 11578 5364 rect 12069 5355 12127 5361 rect 12069 5352 12081 5355 -rect 11664 5324 12081 5352 -rect 11664 5312 11670 5324 +rect 11572 5324 12081 5352 +rect 11572 5312 11578 5324 rect 12069 5321 12081 5324 rect 12115 5321 12127 5355 -rect 18138 5352 18144 5364 rect 12069 5315 12127 5321 -rect 13556 5324 18144 5352 -rect 6641 5287 6699 5293 -rect 6641 5253 6653 5287 -rect 6687 5253 6699 5287 -rect 6641 5247 6699 5253 -rect 7006 5244 7012 5296 -rect 7064 5284 7070 5296 -rect 8110 5284 8116 5296 -rect 7064 5256 8116 5284 -rect 7064 5244 7070 5256 -rect 8110 5244 8116 5256 -rect 8168 5284 8174 5296 -rect 8168 5256 8326 5284 -rect 8168 5244 8174 5256 -rect 9122 5244 9128 5296 -rect 9180 5284 9186 5296 -rect 10321 5287 10379 5293 -rect 10321 5284 10333 5287 -rect 9180 5256 10333 5284 -rect 9180 5244 9186 5256 -rect 10321 5253 10333 5256 -rect 10367 5253 10379 5287 -rect 10321 5247 10379 5253 -rect 10689 5287 10747 5293 -rect 10689 5253 10701 5287 -rect 10735 5284 10747 5287 -rect 11238 5284 11244 5296 -rect 10735 5256 11244 5284 -rect 10735 5253 10747 5256 -rect 10689 5247 10747 5253 -rect 11238 5244 11244 5256 -rect 11296 5244 11302 5296 -rect 11882 5284 11888 5296 -rect 11843 5256 11888 5284 -rect 11882 5244 11888 5256 -rect 11940 5284 11946 5296 -rect 13556 5293 13584 5324 -rect 18138 5312 18144 5324 -rect 18196 5312 18202 5364 -rect 13541 5287 13599 5293 -rect 11940 5256 12374 5284 -rect 11940 5244 11946 5256 -rect 13541 5253 13553 5287 -rect 13587 5253 13599 5287 -rect 13541 5247 13599 5253 -rect 14274 5244 14280 5296 -rect 14332 5284 14338 5296 -rect 14829 5287 14887 5293 -rect 14829 5284 14841 5287 -rect 14332 5256 14841 5284 -rect 14332 5244 14338 5256 -rect 14829 5253 14841 5256 -rect 14875 5284 14887 5287 -rect 15286 5284 15292 5296 -rect 14875 5256 15292 5284 -rect 14875 5253 14887 5256 -rect 14829 5247 14887 5253 -rect 15286 5244 15292 5256 -rect 15344 5284 15350 5296 -rect 15654 5284 15660 5296 -rect 15344 5256 15660 5284 -rect 15344 5244 15350 5256 -rect 15654 5244 15660 5256 -rect 15712 5244 15718 5296 -rect 15838 5244 15844 5296 -rect 15896 5244 15902 5296 -rect 477 5219 535 5225 -rect 477 5185 489 5219 -rect 523 5216 535 5219 -rect 566 5216 572 5228 -rect 523 5188 572 5216 -rect 523 5185 535 5188 -rect 477 5179 535 5185 -rect 566 5176 572 5188 -rect 624 5176 630 5228 -rect 2271 5219 2329 5225 -rect 2271 5185 2283 5219 -rect 2317 5216 2329 5219 -rect 2590 5216 2596 5228 -rect 2317 5188 2596 5216 -rect 2317 5185 2329 5188 -rect 2271 5179 2329 5185 -rect 2590 5176 2596 5188 -rect 2648 5216 2654 5228 -rect 2777 5219 2835 5225 -rect 2777 5216 2789 5219 -rect 2648 5188 2789 5216 -rect 2648 5176 2654 5188 -rect 2777 5185 2789 5188 -rect 2823 5185 2835 5219 -rect 2777 5179 2835 5185 -rect 5994 5176 6000 5228 -rect 6052 5216 6058 5228 -rect 6457 5219 6515 5225 -rect 6457 5216 6469 5219 -rect 6052 5188 6469 5216 -rect 6052 5176 6058 5188 -rect 6457 5185 6469 5188 -rect 6503 5216 6515 5219 -rect 7558 5216 7564 5228 -rect 6503 5188 7564 5216 -rect 6503 5185 6515 5188 -rect 6457 5179 6515 5185 -rect 7558 5176 7564 5188 -rect 7616 5176 7622 5228 -rect 10594 5216 10600 5228 -rect 10555 5188 10600 5216 -rect 10594 5176 10600 5188 -rect 10652 5176 10658 5228 -rect 10781 5219 10839 5225 -rect 10781 5185 10793 5219 -rect 10827 5185 10839 5219 -rect 14918 5216 14924 5228 -rect 14879 5188 14924 5216 -rect 10781 5179 10839 5185 -rect 845 5151 903 5157 -rect 845 5117 857 5151 -rect 891 5148 903 5151 -rect 3694 5148 3700 5160 -rect 891 5120 3700 5148 -rect 891 5117 903 5120 -rect 845 5111 903 5117 -rect 3694 5108 3700 5120 -rect 3752 5108 3758 5160 -rect 7837 5151 7895 5157 -rect 7837 5117 7849 5151 -rect 7883 5148 7895 5151 -rect 8478 5148 8484 5160 -rect 7883 5120 8484 5148 -rect 7883 5117 7895 5120 -rect 7837 5111 7895 5117 -rect 8478 5108 8484 5120 -rect 8536 5108 8542 5160 -rect 10042 5108 10048 5160 -rect 10100 5148 10106 5160 -rect 10502 5148 10508 5160 -rect 10100 5120 10508 5148 -rect 10100 5108 10106 5120 -rect 10502 5108 10508 5120 -rect 10560 5148 10566 5160 -rect 10796 5148 10824 5179 -rect 14918 5176 14924 5188 -rect 14976 5176 14982 5228 -rect 18233 5219 18291 5225 -rect 18233 5185 18245 5219 -rect 18279 5216 18291 5219 -rect 18506 5216 18512 5228 -rect 18279 5188 18512 5216 -rect 18279 5185 18291 5188 -rect 18233 5179 18291 5185 -rect 18506 5176 18512 5188 -rect 18564 5176 18570 5228 -rect 13814 5148 13820 5160 -rect 10560 5120 10824 5148 -rect 13775 5120 13820 5148 -rect 10560 5108 10566 5120 -rect 13814 5108 13820 5120 -rect 13872 5108 13878 5160 -rect 15197 5151 15255 5157 -rect 15197 5117 15209 5151 -rect 15243 5148 15255 5151 -rect 15243 5120 18368 5148 -rect 15243 5117 15255 5120 -rect 15197 5111 15255 5117 -rect 18340 5089 18368 5120 -rect 18325 5083 18383 5089 -rect 18325 5049 18337 5083 -rect 18371 5049 18383 5083 -rect 18325 5043 18383 5049 -rect 2498 4972 2504 5024 -rect 2556 5012 2562 5024 -rect 3605 5015 3663 5021 -rect 3605 5012 3617 5015 -rect 2556 4984 3617 5012 -rect 2556 4972 2562 4984 -rect 3605 4981 3617 4984 -rect 3651 5012 3663 5015 -rect 3881 5015 3939 5021 -rect 3881 5012 3893 5015 -rect 3651 4984 3893 5012 -rect 3651 4981 3663 4984 -rect 3605 4975 3663 4981 -rect 3881 4981 3893 4984 -rect 3927 4981 3939 5015 -rect 3881 4975 3939 4981 -rect 4430 4972 4436 5024 -rect 4488 5012 4494 5024 -rect 5442 5012 5448 5024 -rect 4488 4984 5448 5012 -rect 4488 4972 4494 4984 -rect 5442 4972 5448 4984 -rect 5500 5012 5506 5024 -rect 5905 5015 5963 5021 -rect 5905 5012 5917 5015 -rect 5500 4984 5917 5012 -rect 5500 4972 5506 4984 -rect 5905 4981 5917 4984 -rect 5951 5012 5963 5015 -rect 7006 5012 7012 5024 -rect 5951 4984 7012 5012 -rect 5951 4981 5963 4984 -rect 5905 4975 5963 4981 -rect 7006 4972 7012 4984 -rect 7064 5012 7070 5024 -rect 7377 5015 7435 5021 -rect 7377 5012 7389 5015 -rect 7064 4984 7389 5012 -rect 7064 4972 7070 4984 -rect 7377 4981 7389 4984 -rect 7423 4981 7435 5015 -rect 7377 4975 7435 4981 -rect 9030 4972 9036 5024 -rect 9088 5012 9094 5024 -rect 9309 5015 9367 5021 -rect 9309 5012 9321 5015 -rect 9088 4984 9321 5012 -rect 9088 4972 9094 4984 -rect 9309 4981 9321 4984 -rect 9355 4981 9367 5015 -rect 16666 5012 16672 5024 -rect 16627 4984 16672 5012 -rect 9309 4975 9367 4981 -rect 16666 4972 16672 4984 -rect 16724 4972 16730 5024 +rect 12526 5312 12532 5364 +rect 12584 5352 12590 5364 +rect 13446 5352 13452 5364 +rect 12584 5324 13452 5352 +rect 12584 5312 12590 5324 +rect 5994 5244 6000 5296 +rect 6052 5284 6058 5296 +rect 6181 5287 6239 5293 +rect 6181 5284 6193 5287 +rect 6052 5256 6193 5284 +rect 6052 5244 6058 5256 +rect 6181 5253 6193 5256 +rect 6227 5253 6239 5287 +rect 6181 5247 6239 5253 +rect 6917 5287 6975 5293 +rect 6917 5253 6929 5287 +rect 6963 5284 6975 5287 +rect 9306 5284 9312 5296 +rect 6963 5256 9312 5284 +rect 6963 5253 6975 5256 +rect 6917 5247 6975 5253 +rect 9306 5244 9312 5256 +rect 9364 5284 9370 5296 +rect 11241 5287 11299 5293 +rect 11241 5284 11253 5287 +rect 9364 5256 11253 5284 +rect 9364 5244 9370 5256 +rect 11241 5253 11253 5256 +rect 11287 5253 11299 5287 +rect 13096 5270 13124 5324 +rect 13446 5312 13452 5324 +rect 13504 5312 13510 5364 +rect 17954 5352 17960 5364 +rect 14568 5324 17960 5352 +rect 11241 5247 11299 5253 +rect 13262 5244 13268 5296 +rect 13320 5284 13326 5296 +rect 13320 5256 13860 5284 +rect 13320 5244 13326 5256 +rect 4755 5188 4936 5216 +rect 5261 5219 5319 5225 +rect 4755 5185 4767 5188 +rect 4709 5179 4767 5185 +rect 5261 5185 5273 5219 +rect 5307 5216 5319 5219 +rect 5902 5216 5908 5228 +rect 5307 5188 5908 5216 +rect 5307 5185 5319 5188 +rect 5261 5179 5319 5185 +rect 3053 5151 3111 5157 +rect 3053 5117 3065 5151 +rect 3099 5117 3111 5151 +rect 3053 5111 3111 5117 +rect 3421 5151 3479 5157 +rect 3421 5117 3433 5151 +rect 3467 5148 3479 5151 +rect 3878 5148 3884 5160 +rect 3467 5120 3884 5148 +rect 3467 5117 3479 5120 +rect 3421 5111 3479 5117 +rect 3878 5108 3884 5120 +rect 3936 5108 3942 5160 +rect 2501 5083 2559 5089 +rect 2501 5080 2513 5083 +rect 1044 5052 2513 5080 +rect 2501 5049 2513 5052 +rect 2547 5049 2559 5083 +rect 2700 5080 2728 5108 +rect 5276 5080 5304 5179 +rect 5902 5176 5908 5188 +rect 5960 5176 5966 5228 +rect 8481 5219 8539 5225 +rect 8481 5185 8493 5219 +rect 8527 5216 8539 5219 +rect 8846 5216 8852 5228 +rect 8527 5188 8852 5216 +rect 8527 5185 8539 5188 +rect 8481 5179 8539 5185 +rect 8846 5176 8852 5188 +rect 8904 5176 8910 5228 +rect 9030 5176 9036 5228 +rect 9088 5216 9094 5228 +rect 9125 5219 9183 5225 +rect 9125 5216 9137 5219 +rect 9088 5188 9137 5216 +rect 9088 5176 9094 5188 +rect 9125 5185 9137 5188 +rect 9171 5185 9183 5219 +rect 9125 5179 9183 5185 +rect 9217 5219 9275 5225 +rect 9217 5185 9229 5219 +rect 9263 5185 9275 5219 +rect 9217 5179 9275 5185 +rect 9401 5219 9459 5225 +rect 9401 5185 9413 5219 +rect 9447 5216 9459 5219 +rect 9447 5188 9720 5216 +rect 9447 5185 9459 5188 +rect 9401 5179 9459 5185 +rect 5442 5148 5448 5160 +rect 5403 5120 5448 5148 +rect 5442 5108 5448 5120 +rect 5500 5108 5506 5160 +rect 8202 5108 8208 5160 +rect 8260 5148 8266 5160 +rect 8389 5151 8447 5157 +rect 8389 5148 8401 5151 +rect 8260 5120 8401 5148 +rect 8260 5108 8266 5120 +rect 8389 5117 8401 5120 +rect 8435 5117 8447 5151 +rect 8389 5111 8447 5117 +rect 8570 5108 8576 5160 +rect 8628 5148 8634 5160 +rect 9232 5148 9260 5179 +rect 8628 5120 9260 5148 +rect 8628 5108 8634 5120 +rect 2700 5052 5304 5080 +rect 8849 5083 8907 5089 +rect 2501 5043 2559 5049 +rect 8849 5049 8861 5083 +rect 8895 5080 8907 5083 +rect 9214 5080 9220 5092 +rect 8895 5052 9220 5080 +rect 8895 5049 8907 5052 +rect 8849 5043 8907 5049 +rect 9214 5040 9220 5052 +rect 9272 5040 9278 5092 +rect 9692 5089 9720 5188 +rect 10778 5176 10784 5228 +rect 10836 5225 10842 5228 +rect 10836 5216 10848 5225 +rect 11057 5219 11115 5225 +rect 10836 5188 10881 5216 +rect 10836 5179 10848 5188 +rect 11057 5185 11069 5219 +rect 11103 5216 11115 5219 +rect 11422 5216 11428 5228 +rect 11103 5188 11428 5216 +rect 11103 5185 11115 5188 +rect 11057 5179 11115 5185 +rect 10836 5176 10842 5179 +rect 11422 5176 11428 5188 +rect 11480 5176 11486 5228 +rect 11517 5219 11575 5225 +rect 11517 5185 11529 5219 +rect 11563 5185 11575 5219 +rect 11698 5216 11704 5228 +rect 11659 5188 11704 5216 +rect 11517 5179 11575 5185 +rect 11532 5148 11560 5179 +rect 11698 5176 11704 5188 +rect 11756 5176 11762 5228 +rect 13832 5225 13860 5256 +rect 13817 5219 13875 5225 +rect 13817 5185 13829 5219 +rect 13863 5185 13875 5219 +rect 14458 5216 14464 5228 +rect 14419 5188 14464 5216 +rect 13817 5179 13875 5185 +rect 14458 5176 14464 5188 +rect 14516 5176 14522 5228 +rect 13541 5151 13599 5157 +rect 11532 5120 11836 5148 +rect 9677 5083 9735 5089 +rect 9677 5049 9689 5083 +rect 9723 5049 9735 5083 +rect 9677 5043 9735 5049 +rect 11808 5024 11836 5120 +rect 13541 5117 13553 5151 +rect 13587 5148 13599 5151 +rect 14568 5148 14596 5324 +rect 17954 5312 17960 5324 +rect 18012 5312 18018 5364 +rect 16114 5284 16120 5296 +rect 15870 5256 16120 5284 +rect 16114 5244 16120 5256 +rect 16172 5244 16178 5296 +rect 16206 5244 16212 5296 +rect 16264 5293 16270 5296 +rect 16264 5287 16313 5293 +rect 16264 5253 16267 5287 +rect 16301 5253 16313 5287 +rect 16264 5247 16313 5253 +rect 16264 5244 16270 5247 +rect 16577 5219 16635 5225 +rect 16577 5185 16589 5219 +rect 16623 5185 16635 5219 +rect 16577 5179 16635 5185 +rect 17221 5219 17279 5225 +rect 17221 5185 17233 5219 +rect 17267 5216 17279 5219 +rect 17402 5216 17408 5228 +rect 17267 5188 17408 5216 +rect 17267 5185 17279 5188 +rect 17221 5179 17279 5185 +rect 13587 5120 14596 5148 +rect 14829 5151 14887 5157 +rect 13587 5117 13599 5120 +rect 13541 5111 13599 5117 +rect 14829 5117 14841 5151 +rect 14875 5148 14887 5151 +rect 15010 5148 15016 5160 +rect 14875 5120 15016 5148 +rect 14875 5117 14887 5120 +rect 14829 5111 14887 5117 +rect 15010 5108 15016 5120 +rect 15068 5108 15074 5160 +rect 15378 5108 15384 5160 +rect 15436 5148 15442 5160 +rect 16592 5148 16620 5179 +rect 17402 5176 17408 5188 +rect 17460 5176 17466 5228 +rect 15436 5120 16620 5148 +rect 15436 5108 15442 5120 +rect 16942 5108 16948 5160 +rect 17000 5148 17006 5160 +rect 17129 5151 17187 5157 +rect 17129 5148 17141 5151 +rect 17000 5120 17141 5148 +rect 17000 5108 17006 5120 +rect 17129 5117 17141 5120 +rect 17175 5117 17187 5151 +rect 17129 5111 17187 5117 +rect 16393 5083 16451 5089 +rect 16393 5080 16405 5083 +rect 15580 5052 16405 5080 +rect 3789 5015 3847 5021 +rect 3789 4981 3801 5015 +rect 3835 5012 3847 5015 +rect 4062 5012 4068 5024 +rect 3835 4984 4068 5012 +rect 3835 4981 3847 4984 +rect 3789 4975 3847 4981 +rect 4062 4972 4068 4984 +rect 4120 4972 4126 5024 +rect 8386 4972 8392 5024 +rect 8444 5012 8450 5024 +rect 9125 5015 9183 5021 +rect 9125 5012 9137 5015 +rect 8444 4984 9137 5012 +rect 8444 4972 8450 4984 +rect 9125 4981 9137 4984 +rect 9171 4981 9183 5015 +rect 11514 5012 11520 5024 +rect 11475 4984 11520 5012 +rect 9125 4975 9183 4981 +rect 11514 4972 11520 4984 +rect 11572 4972 11578 5024 +rect 11790 5012 11796 5024 +rect 11751 4984 11796 5012 +rect 11790 4972 11796 4984 +rect 11848 4972 11854 5024 +rect 14277 5015 14335 5021 +rect 14277 4981 14289 5015 +rect 14323 5012 14335 5015 +rect 14366 5012 14372 5024 +rect 14323 4984 14372 5012 +rect 14323 4981 14335 4984 +rect 14277 4975 14335 4981 +rect 14366 4972 14372 4984 +rect 14424 5012 14430 5024 +rect 15194 5012 15200 5024 +rect 14424 4984 15200 5012 +rect 14424 4972 14430 4984 +rect 15194 4972 15200 4984 +rect 15252 4972 15258 5024 +rect 15286 4972 15292 5024 +rect 15344 5012 15350 5024 +rect 15580 5012 15608 5052 +rect 16393 5049 16405 5052 +rect 16439 5049 16451 5083 +rect 16393 5043 16451 5049 +rect 16574 5040 16580 5092 +rect 16632 5080 16638 5092 +rect 16853 5083 16911 5089 +rect 16853 5080 16865 5083 +rect 16632 5052 16865 5080 +rect 16632 5040 16638 5052 +rect 16853 5049 16865 5052 +rect 16899 5049 16911 5083 +rect 16853 5043 16911 5049 +rect 15344 4984 15608 5012 +rect 15344 4972 15350 4984 rect 0 4922 18860 4944 rect 0 4870 3110 4922 rect 3162 4870 3174 4922 @@ -5689,261 +6076,350 @@ rect 15690 4870 15702 4922 rect 15754 4870 15766 4922 rect 15818 4870 18860 4922 rect 0 4848 18860 4870 -rect 13265 4811 13323 4817 -rect 13265 4777 13277 4811 -rect 13311 4808 13323 4811 -rect 14366 4808 14372 4820 -rect 13311 4780 14372 4808 -rect 13311 4777 13323 4780 -rect 13265 4771 13323 4777 -rect 14366 4768 14372 4780 -rect 14424 4768 14430 4820 -rect 14550 4768 14556 4820 -rect 14608 4808 14614 4820 -rect 14608 4780 17908 4808 -rect 14608 4768 14614 4780 -rect 3421 4743 3479 4749 -rect 3421 4709 3433 4743 -rect 3467 4709 3479 4743 -rect 3421 4703 3479 4709 -rect 10873 4743 10931 4749 -rect 10873 4709 10885 4743 -rect 10919 4740 10931 4743 -rect 11238 4740 11244 4752 -rect 10919 4712 11244 4740 -rect 10919 4709 10931 4712 -rect 10873 4703 10931 4709 -rect 2958 4672 2964 4684 -rect 2919 4644 2964 4672 -rect 2958 4632 2964 4644 -rect 3016 4632 3022 4684 -rect 3053 4607 3111 4613 -rect 3053 4573 3065 4607 -rect 3099 4573 3111 4607 -rect 3436 4604 3464 4703 -rect 11238 4700 11244 4712 -rect 11296 4700 11302 4752 -rect 15286 4700 15292 4752 -rect 15344 4740 15350 4752 -rect 15657 4743 15715 4749 -rect 15657 4740 15669 4743 -rect 15344 4712 15669 4740 -rect 15344 4700 15350 4712 -rect 15657 4709 15669 4712 -rect 15703 4709 15715 4743 -rect 15657 4703 15715 4709 -rect 3697 4675 3755 4681 -rect 3697 4641 3709 4675 -rect 3743 4672 3755 4675 -rect 5994 4672 6000 4684 -rect 3743 4644 6000 4672 -rect 3743 4641 3755 4644 -rect 3697 4635 3755 4641 -rect 5994 4632 6000 4644 -rect 6052 4632 6058 4684 -rect 7101 4675 7159 4681 -rect 7101 4641 7113 4675 -rect 7147 4672 7159 4675 -rect 8294 4672 8300 4684 -rect 7147 4644 8300 4672 -rect 7147 4641 7159 4644 -rect 7101 4635 7159 4641 -rect 8294 4632 8300 4644 -rect 8352 4632 8358 4684 -rect 8938 4672 8944 4684 -rect 8899 4644 8944 4672 -rect 8938 4632 8944 4644 -rect 8996 4632 9002 4684 -rect 9401 4675 9459 4681 -rect 9401 4641 9413 4675 -rect 9447 4672 9459 4675 -rect 10042 4672 10048 4684 -rect 9447 4644 10048 4672 -rect 9447 4641 9459 4644 -rect 9401 4635 9459 4641 -rect 10042 4632 10048 4644 -rect 10100 4632 10106 4684 +rect 5534 4768 5540 4820 +rect 5592 4808 5598 4820 +rect 8202 4808 8208 4820 +rect 5592 4780 7972 4808 +rect 8163 4780 8208 4808 +rect 5592 4768 5598 4780 +rect 5810 4740 5816 4752 +rect 4632 4712 5816 4740 +rect 3970 4632 3976 4684 +rect 4028 4672 4034 4684 +rect 4341 4675 4399 4681 +rect 4341 4672 4353 4675 +rect 4028 4644 4353 4672 +rect 4028 4632 4034 4644 +rect 4341 4641 4353 4644 +rect 4387 4672 4399 4675 +rect 4525 4675 4583 4681 +rect 4525 4672 4537 4675 +rect 4387 4644 4537 4672 +rect 4387 4641 4399 4644 +rect 4341 4635 4399 4641 +rect 4525 4641 4537 4644 +rect 4571 4641 4583 4675 +rect 4525 4635 4583 4641 +rect 845 4607 903 4613 +rect 845 4573 857 4607 +rect 891 4604 903 4607 +rect 1118 4604 1124 4616 +rect 891 4576 1124 4604 +rect 891 4573 903 4576 +rect 845 4567 903 4573 +rect 1118 4564 1124 4576 +rect 1176 4564 1182 4616 +rect 1302 4604 1308 4616 +rect 1263 4576 1308 4604 +rect 1302 4564 1308 4576 +rect 1360 4564 1366 4616 +rect 4062 4604 4068 4616 +rect 4023 4576 4068 4604 +rect 4062 4564 4068 4576 +rect 4120 4564 4126 4616 +rect 4157 4607 4215 4613 +rect 4157 4573 4169 4607 +rect 4203 4604 4215 4607 +rect 4632 4604 4660 4712 +rect 5810 4700 5816 4712 +rect 5868 4700 5874 4752 +rect 5905 4743 5963 4749 +rect 5905 4709 5917 4743 +rect 5951 4740 5963 4743 +rect 5951 4712 6224 4740 +rect 5951 4709 5963 4712 +rect 5905 4703 5963 4709 +rect 4801 4675 4859 4681 +rect 4801 4641 4813 4675 +rect 4847 4672 4859 4675 +rect 5626 4672 5632 4684 +rect 4847 4644 5488 4672 +rect 5587 4644 5632 4672 +rect 4847 4641 4859 4644 +rect 4801 4635 4859 4641 +rect 4203 4576 4660 4604 +rect 4709 4607 4767 4613 +rect 4203 4573 4215 4576 +rect 4157 4567 4215 4573 +rect 4709 4573 4721 4607 +rect 4755 4573 4767 4607 +rect 4709 4567 4767 4573 +rect 4893 4607 4951 4613 +rect 4893 4573 4905 4607 +rect 4939 4604 4951 4607 +rect 5460 4604 5488 4644 +rect 5626 4632 5632 4644 +rect 5684 4632 5690 4684 +rect 6086 4672 6092 4684 +rect 6047 4644 6092 4672 +rect 6086 4632 6092 4644 +rect 6144 4632 6150 4684 +rect 6196 4672 6224 4712 +rect 6365 4675 6423 4681 +rect 6365 4672 6377 4675 +rect 6196 4644 6377 4672 +rect 6365 4641 6377 4644 +rect 6411 4641 6423 4675 +rect 6365 4635 6423 4641 +rect 7944 4613 7972 4780 +rect 8202 4768 8208 4780 +rect 8260 4768 8266 4820 +rect 8846 4768 8852 4820 +rect 8904 4808 8910 4820 +rect 10643 4811 10701 4817 +rect 10643 4808 10655 4811 +rect 8904 4780 10655 4808 +rect 8904 4768 8910 4780 +rect 10643 4777 10655 4780 +rect 10689 4808 10701 4811 +rect 10689 4780 10824 4808 +rect 10689 4777 10701 4780 +rect 10643 4771 10701 4777 +rect 8849 4675 8907 4681 +rect 8849 4641 8861 4675 +rect 8895 4672 8907 4675 +rect 9122 4672 9128 4684 +rect 8895 4644 9128 4672 +rect 8895 4641 8907 4644 +rect 8849 4635 8907 4641 +rect 9122 4632 9128 4644 +rect 9180 4632 9186 4684 +rect 9214 4632 9220 4684 +rect 9272 4672 9278 4684 +rect 9272 4644 9317 4672 +rect 9272 4632 9278 4644 +rect 5537 4607 5595 4613 +rect 5537 4604 5549 4607 +rect 4939 4576 5120 4604 +rect 5460 4576 5549 4604 +rect 4939 4573 4951 4576 +rect 4893 4567 4951 4573 +rect 1581 4539 1639 4545 +rect 1581 4536 1593 4539 +rect 1044 4508 1593 4536 +rect 1044 4477 1072 4508 +rect 1581 4505 1593 4508 +rect 1627 4505 1639 4539 +rect 1581 4499 1639 4505 +rect 1854 4496 1860 4548 +rect 1912 4536 1918 4548 +rect 3237 4539 3295 4545 +rect 3237 4536 3249 4539 +rect 1912 4522 2070 4536 +rect 1912 4508 2084 4522 +rect 1912 4496 1918 4508 +rect 1029 4471 1087 4477 +rect 1029 4437 1041 4471 +rect 1075 4437 1087 4471 +rect 2056 4468 2084 4508 +rect 2884 4508 3249 4536 +rect 2884 4468 2912 4508 +rect 3237 4505 3249 4508 +rect 3283 4536 3295 4539 +rect 3510 4536 3516 4548 +rect 3283 4508 3516 4536 +rect 3283 4505 3295 4508 +rect 3237 4499 3295 4505 +rect 3510 4496 3516 4508 +rect 3568 4496 3574 4548 +rect 4724 4536 4752 4567 +rect 4982 4536 4988 4548 +rect 4724 4508 4988 4536 +rect 4982 4496 4988 4508 +rect 5040 4496 5046 4548 +rect 5092 4536 5120 4576 +rect 5537 4573 5549 4576 +rect 5583 4573 5595 4607 +rect 5537 4567 5595 4573 +rect 7929 4607 7987 4613 +rect 7929 4573 7941 4607 +rect 7975 4573 7987 4607 +rect 8202 4604 8208 4616 +rect 8163 4576 8208 4604 +rect 7929 4567 7987 4573 +rect 5442 4536 5448 4548 +rect 5092 4508 5448 4536 +rect 5442 4496 5448 4508 +rect 5500 4496 5506 4548 +rect 3050 4468 3056 4480 +rect 2056 4440 2912 4468 +rect 3011 4440 3056 4468 +rect 1029 4431 1087 4437 +rect 3050 4428 3056 4440 +rect 3108 4428 3114 4480 +rect 3694 4468 3700 4480 +rect 3655 4440 3700 4468 +rect 3694 4428 3700 4440 +rect 3752 4428 3758 4480 +rect 5552 4468 5580 4567 +rect 8202 4564 8208 4576 +rect 8260 4564 8266 4616 +rect 10796 4604 10824 4780 rect 11330 4672 11336 4684 rect 11291 4644 11336 4672 rect 11330 4632 11336 4644 rect 11388 4632 11394 4684 -rect 11422 4632 11428 4684 -rect 11480 4672 11486 4684 -rect 14734 4672 14740 4684 -rect 11480 4644 11525 4672 -rect 14695 4644 14740 4672 -rect 11480 4632 11486 4644 -rect 14734 4632 14740 4644 -rect 14792 4632 14798 4684 -rect 15010 4672 15016 4684 -rect 14971 4644 15016 4672 -rect 15010 4632 15016 4644 -rect 15068 4632 15074 4684 -rect 4065 4607 4123 4613 -rect 4065 4604 4077 4607 -rect 3436 4576 4077 4604 -rect 3053 4567 3111 4573 -rect 4065 4573 4077 4576 -rect 4111 4573 4123 4607 -rect 4065 4567 4123 4573 -rect 3068 4468 3096 4567 -rect 6178 4564 6184 4616 -rect 6236 4604 6242 4616 -rect 6273 4607 6331 4613 -rect 6273 4604 6285 4607 -rect 6236 4576 6285 4604 -rect 6236 4564 6242 4576 -rect 6273 4573 6285 4576 -rect 6319 4573 6331 4607 -rect 6273 4567 6331 4573 -rect 6365 4607 6423 4613 -rect 6365 4573 6377 4607 -rect 6411 4604 6423 4607 -rect 6546 4604 6552 4616 -rect 6411 4576 6552 4604 -rect 6411 4573 6423 4576 -rect 6365 4567 6423 4573 -rect 6546 4564 6552 4576 -rect 6604 4564 6610 4616 -rect 7006 4604 7012 4616 -rect 6967 4576 7012 4604 -rect 7006 4564 7012 4576 -rect 7064 4564 7070 4616 -rect 9030 4604 9036 4616 -rect 8991 4576 9036 4604 -rect 9030 4564 9036 4576 -rect 9088 4564 9094 4616 -rect 11348 4604 11376 4632 -rect 11701 4607 11759 4613 -rect 11701 4604 11713 4607 -rect 11348 4576 11713 4604 -rect 11701 4573 11713 4576 -rect 11747 4604 11759 4607 -rect 12250 4604 12256 4616 -rect 11747 4576 12256 4604 -rect 11747 4573 11759 4576 -rect 11701 4567 11759 4573 -rect 12250 4564 12256 4576 -rect 12308 4564 12314 4616 -rect 15105 4607 15163 4613 -rect 15105 4573 15117 4607 -rect 15151 4573 15163 4607 -rect 15105 4567 15163 4573 -rect 4430 4496 4436 4548 -rect 4488 4496 4494 4548 -rect 5491 4539 5549 4545 -rect 5491 4536 5503 4539 -rect 5184 4508 5503 4536 -rect 5184 4468 5212 4508 -rect 5491 4505 5503 4508 -rect 5537 4536 5549 4539 -rect 11241 4539 11299 4545 -rect 11241 4536 11253 4539 -rect 5537 4508 11253 4536 -rect 5537 4505 5549 4508 -rect 5491 4499 5549 4505 -rect 11241 4505 11253 4508 -rect 11287 4505 11299 4539 -rect 11241 4499 11299 4505 -rect 11330 4496 11336 4548 -rect 11388 4536 11394 4548 -rect 11946 4539 12004 4545 -rect 11946 4536 11958 4539 -rect 11388 4508 11958 4536 -rect 11388 4496 11394 4508 -rect 11946 4505 11958 4508 -rect 11992 4505 12004 4539 -rect 11946 4499 12004 4505 -rect 14274 4496 14280 4548 -rect 14332 4496 14338 4548 -rect 14642 4496 14648 4548 -rect 14700 4536 14706 4548 -rect 15120 4536 15148 4567 -rect 15216 4539 15274 4545 -rect 15216 4536 15228 4539 -rect 14700 4508 15148 4536 -rect 14700 4496 14706 4508 -rect 15212 4505 15228 4536 -rect 15262 4505 15274 4539 -rect 15378 4536 15384 4548 -rect 15339 4508 15384 4536 -rect 15212 4499 15274 4505 -rect 6086 4468 6092 4480 -rect 3068 4440 5212 4468 -rect 6047 4440 6092 4468 -rect 6086 4428 6092 4440 -rect 6144 4428 6150 4480 -rect 6730 4468 6736 4480 -rect 6691 4440 6736 4468 -rect 6730 4428 6736 4440 -rect 6788 4428 6794 4480 -rect 7377 4471 7435 4477 -rect 7377 4437 7389 4471 -rect 7423 4468 7435 4471 -rect 7650 4468 7656 4480 -rect 7423 4440 7656 4468 -rect 7423 4437 7435 4440 -rect 7377 4431 7435 4437 -rect 7650 4428 7656 4440 -rect 7708 4428 7714 4480 -rect 13081 4471 13139 4477 -rect 13081 4437 13093 4471 -rect 13127 4468 13139 4471 -rect 13170 4468 13176 4480 -rect 13127 4440 13176 4468 -rect 13127 4437 13139 4440 -rect 13081 4431 13139 4437 -rect 13170 4428 13176 4440 -rect 13228 4428 13234 4480 -rect 13722 4428 13728 4480 -rect 13780 4468 13786 4480 -rect 14292 4468 14320 4496 -rect 13780 4440 14320 4468 -rect 13780 4428 13786 4440 -rect 14458 4428 14464 4480 -rect 14516 4468 14522 4480 -rect 15105 4471 15163 4477 -rect 15105 4468 15117 4471 -rect 14516 4440 15117 4468 -rect 14516 4428 14522 4440 -rect 15105 4437 15117 4440 -rect 15151 4437 15163 4471 -rect 15212 4468 15240 4499 -rect 15378 4496 15384 4508 -rect 15436 4496 15442 4548 -rect 15672 4536 15700 4703 +rect 11514 4672 11520 4684 +rect 11475 4644 11520 4672 +rect 11514 4632 11520 4644 +rect 11572 4632 11578 4684 +rect 13078 4632 13084 4684 +rect 13136 4672 13142 4684 +rect 13449 4675 13507 4681 +rect 13449 4672 13461 4675 +rect 13136 4644 13461 4672 +rect 13136 4632 13142 4644 +rect 13449 4641 13461 4644 +rect 13495 4641 13507 4675 +rect 13449 4635 13507 4641 rect 16117 4675 16175 4681 rect 16117 4641 16129 4675 rect 16163 4672 16175 4675 -rect 16666 4672 16672 4684 -rect 16163 4644 16672 4672 +rect 16574 4672 16580 4684 +rect 16163 4644 16580 4672 rect 16163 4641 16175 4644 rect 16117 4635 16175 4641 -rect 16666 4632 16672 4644 -rect 16724 4632 16730 4684 -rect 17880 4681 17908 4780 -rect 17865 4675 17923 4681 -rect 17865 4641 17877 4675 -rect 17911 4641 17923 4675 -rect 17865 4635 17923 4641 +rect 16574 4632 16580 4644 +rect 16632 4632 16638 4684 +rect 17494 4672 17500 4684 +rect 17455 4644 17500 4672 +rect 17494 4632 17500 4644 +rect 17552 4632 17558 4684 +rect 11241 4607 11299 4613 +rect 11241 4604 11253 4607 +rect 10796 4576 11253 4604 +rect 11241 4573 11253 4576 +rect 11287 4573 11299 4607 +rect 11348 4604 11376 4632 +rect 13262 4604 13268 4616 +rect 11348 4576 13268 4604 +rect 11241 4567 11299 4573 +rect 13262 4564 13268 4576 +rect 13320 4564 13326 4616 +rect 15010 4564 15016 4616 +rect 15068 4604 15074 4616 +rect 15749 4607 15807 4613 +rect 15749 4604 15761 4607 +rect 15068 4576 15761 4604 +rect 15068 4564 15074 4576 +rect 15749 4573 15761 4576 +rect 15795 4604 15807 4607 rect 15838 4604 15844 4616 -rect 15799 4576 15844 4604 +rect 15795 4576 15844 4604 +rect 15795 4573 15807 4576 +rect 15749 4567 15807 4573 rect 15838 4564 15844 4576 rect 15896 4564 15902 4616 -rect 15746 4536 15752 4548 -rect 15659 4508 15752 4536 -rect 15746 4496 15752 4508 -rect 15804 4536 15810 4548 -rect 16574 4536 16580 4548 -rect 15804 4508 16580 4536 -rect 15804 4496 15810 4508 -rect 16574 4496 16580 4508 -rect 16632 4496 16638 4548 -rect 15930 4468 15936 4480 -rect 15212 4440 15936 4468 -rect 15105 4431 15163 4437 -rect 15930 4428 15936 4440 -rect 15988 4428 15994 4480 +rect 17512 4604 17540 4632 +rect 18141 4607 18199 4613 +rect 18141 4604 18153 4607 +rect 17512 4576 18153 4604 +rect 18141 4573 18153 4576 +rect 18187 4573 18199 4607 +rect 18141 4567 18199 4573 +rect 7006 4496 7012 4548 +rect 7064 4496 7070 4548 +rect 8113 4539 8171 4545 +rect 8113 4505 8125 4539 +rect 8159 4536 8171 4539 +rect 8938 4536 8944 4548 +rect 8159 4508 8944 4536 +rect 8159 4505 8171 4508 +rect 8113 4499 8171 4505 +rect 8938 4496 8944 4508 +rect 8996 4496 9002 4548 +rect 11885 4539 11943 4545 +rect 11885 4536 11897 4539 +rect 10258 4522 11897 4536 +rect 10244 4508 11897 4522 +rect 7374 4468 7380 4480 +rect 5552 4440 7380 4468 +rect 7374 4428 7380 4440 +rect 7432 4468 7438 4480 +rect 7837 4471 7895 4477 +rect 7837 4468 7849 4471 +rect 7432 4440 7849 4468 +rect 7432 4428 7438 4440 +rect 7837 4437 7849 4440 +rect 7883 4437 7895 4471 +rect 7837 4431 7895 4437 +rect 8757 4471 8815 4477 +rect 8757 4437 8769 4471 +rect 8803 4468 8815 4471 +rect 9122 4468 9128 4480 +rect 8803 4440 9128 4468 +rect 8803 4437 8815 4440 +rect 8757 4431 8815 4437 +rect 9122 4428 9128 4440 +rect 9180 4468 9186 4480 +rect 10244 4468 10272 4508 +rect 11885 4505 11897 4508 +rect 11931 4505 11943 4539 +rect 13722 4536 13728 4548 +rect 13683 4508 13728 4536 +rect 11885 4499 11943 4505 +rect 9180 4440 10272 4468 +rect 9180 4428 9186 4440 +rect 10778 4428 10784 4480 +rect 10836 4468 10842 4480 +rect 10873 4471 10931 4477 +rect 10873 4468 10885 4471 +rect 10836 4440 10885 4468 +rect 10836 4428 10842 4440 +rect 10873 4437 10885 4440 +rect 10919 4437 10931 4471 +rect 11900 4468 11928 4499 +rect 13722 4496 13728 4508 +rect 13780 4496 13786 4548 +rect 12434 4468 12440 4480 +rect 11900 4440 12440 4468 +rect 10873 4431 10931 4437 +rect 12434 4428 12440 4440 +rect 12492 4468 12498 4480 +rect 13357 4471 13415 4477 +rect 13357 4468 13369 4471 +rect 12492 4440 13369 4468 +rect 12492 4428 12498 4440 +rect 13357 4437 13369 4440 +rect 13403 4468 13415 4471 +rect 13446 4468 13452 4480 +rect 13403 4440 13452 4468 +rect 13403 4437 13415 4440 +rect 13357 4431 13415 4437 +rect 13446 4428 13452 4440 +rect 13504 4468 13510 4480 +rect 14936 4468 14964 4522 +rect 15378 4496 15384 4548 +rect 15436 4536 15442 4548 +rect 15473 4539 15531 4545 +rect 15473 4536 15485 4539 +rect 15436 4508 15485 4536 +rect 15436 4496 15442 4508 +rect 15473 4505 15485 4508 +rect 15519 4505 15531 4539 +rect 15473 4499 15531 4505 +rect 15194 4468 15200 4480 +rect 13504 4440 15200 4468 +rect 13504 4428 13510 4440 +rect 15194 4428 15200 4440 +rect 15252 4468 15258 4480 +rect 16114 4468 16120 4480 +rect 15252 4440 16120 4468 +rect 15252 4428 15258 4440 +rect 16114 4428 16120 4440 +rect 16172 4468 16178 4480 +rect 16500 4468 16528 4522 +rect 16172 4440 16528 4468 +rect 16172 4428 16178 4440 +rect 17770 4428 17776 4480 +rect 17828 4468 17834 4480 +rect 18233 4471 18291 4477 +rect 18233 4468 18245 4471 +rect 17828 4440 18245 4468 +rect 17828 4428 17834 4440 +rect 18233 4437 18245 4440 +rect 18279 4437 18291 4471 +rect 18233 4431 18291 4437 rect 0 4378 18860 4400 rect 0 4326 4660 4378 rect 4712 4326 4724 4378 @@ -5972,449 +6448,388 @@ rect 17240 4326 17252 4378 rect 17304 4326 17316 4378 rect 17368 4326 18860 4378 rect 0 4304 18860 4326 -rect 2317 4267 2375 4273 -rect 2317 4264 2329 4267 -rect 1688 4236 2329 4264 -rect 1688 4182 1716 4236 -rect 2317 4233 2329 4236 -rect 2363 4264 2375 4267 -rect 2498 4264 2504 4276 -rect 2363 4236 2504 4264 -rect 2363 4233 2375 4236 -rect 2317 4227 2375 4233 -rect 2498 4224 2504 4236 -rect 2556 4224 2562 4276 -rect 2958 4264 2964 4276 -rect 2919 4236 2964 4264 -rect 2958 4224 2964 4236 -rect 3016 4224 3022 4276 -rect 5534 4264 5540 4276 -rect 4908 4236 5540 4264 -rect 3421 4199 3479 4205 -rect 3421 4165 3433 4199 -rect 3467 4196 3479 4199 -rect 3467 4168 4844 4196 -rect 3467 4165 3479 4168 -rect 3421 4159 3479 4165 -rect 2590 4128 2596 4140 -rect 2551 4100 2596 4128 -rect 2590 4088 2596 4100 -rect 2648 4088 2654 4140 -rect 2685 4131 2743 4137 -rect 2685 4097 2697 4131 -rect 2731 4097 2743 4131 -rect 2685 4091 2743 4097 -rect 290 4060 296 4072 -rect 251 4032 296 4060 -rect 290 4020 296 4032 -rect 348 4020 354 4072 -rect 661 4063 719 4069 -rect 661 4029 673 4063 -rect 707 4060 719 4063 -rect 842 4060 848 4072 -rect 707 4032 848 4060 -rect 707 4029 719 4032 -rect 661 4023 719 4029 -rect 842 4020 848 4032 -rect 900 4020 906 4072 -rect 2133 4063 2191 4069 -rect 2133 4029 2145 4063 -rect 2179 4029 2191 4063 -rect 2700 4060 2728 4091 -rect 2774 4088 2780 4140 -rect 2832 4128 2838 4140 -rect 3973 4131 4031 4137 -rect 2832 4100 2877 4128 -rect 2832 4088 2838 4100 -rect 3973 4097 3985 4131 -rect 4019 4097 4031 4131 -rect 3973 4091 4031 4097 -rect 2133 4023 2191 4029 -rect 2608 4032 2728 4060 -rect 3513 4063 3571 4069 -rect 2148 3992 2176 4023 -rect 2608 3992 2636 4032 -rect 3513 4029 3525 4063 -rect 3559 4029 3571 4063 -rect 3513 4023 3571 4029 -rect 2682 3992 2688 4004 -rect 2148 3964 2688 3992 -rect 2682 3952 2688 3964 -rect 2740 3992 2746 4004 -rect 3528 3992 3556 4023 -rect 3602 4020 3608 4072 -rect 3660 4060 3666 4072 -rect 3660 4032 3705 4060 -rect 3660 4020 3666 4032 -rect 3881 3995 3939 4001 -rect 3881 3992 3893 3995 -rect 2740 3964 3372 3992 -rect 3528 3964 3893 3992 -rect 2740 3952 2746 3964 -rect 2314 3884 2320 3936 -rect 2372 3924 2378 3936 -rect 3053 3927 3111 3933 -rect 3053 3924 3065 3927 -rect 2372 3896 3065 3924 -rect 2372 3884 2378 3896 -rect 3053 3893 3065 3896 -rect 3099 3893 3111 3927 -rect 3344 3924 3372 3964 -rect 3881 3961 3893 3964 -rect 3927 3961 3939 3995 -rect 3881 3955 3939 3961 -rect 3988 3924 4016 4091 -rect 3344 3896 4016 3924 -rect 3053 3887 3111 3893 -rect 4338 3884 4344 3936 -rect 4396 3924 4402 3936 -rect 4617 3927 4675 3933 -rect 4617 3924 4629 3927 -rect 4396 3896 4629 3924 -rect 4396 3884 4402 3896 -rect 4617 3893 4629 3896 -rect 4663 3893 4675 3927 -rect 4816 3924 4844 4168 -rect 4908 4137 4936 4236 -rect 5534 4224 5540 4236 -rect 5592 4264 5598 4276 -rect 5994 4264 6000 4276 -rect 5592 4236 6000 4264 -rect 5592 4224 5598 4236 -rect 5994 4224 6000 4236 -rect 6052 4224 6058 4276 -rect 7650 4264 7656 4276 -rect 7611 4236 7656 4264 -rect 7650 4224 7656 4236 -rect 7708 4224 7714 4276 -rect 8573 4267 8631 4273 -rect 8573 4233 8585 4267 -rect 8619 4264 8631 4267 -rect 8938 4264 8944 4276 -rect 8619 4236 8944 4264 -rect 8619 4233 8631 4236 -rect 8573 4227 8631 4233 -rect 8938 4224 8944 4236 -rect 8996 4224 9002 4276 -rect 9125 4267 9183 4273 -rect 9125 4233 9137 4267 -rect 9171 4264 9183 4267 -rect 9677 4267 9735 4273 -rect 9677 4264 9689 4267 -rect 9171 4236 9689 4264 -rect 9171 4233 9183 4236 -rect 9125 4227 9183 4233 -rect 9677 4233 9689 4236 -rect 9723 4233 9735 4267 -rect 10042 4264 10048 4276 -rect 10003 4236 10048 4264 -rect 9677 4227 9735 4233 -rect 10042 4224 10048 4236 -rect 10100 4224 10106 4276 -rect 11241 4267 11299 4273 -rect 11241 4233 11253 4267 -rect 11287 4264 11299 4267 -rect 11422 4264 11428 4276 -rect 11287 4236 11428 4264 -rect 11287 4233 11299 4236 -rect 11241 4227 11299 4233 -rect 11422 4224 11428 4236 -rect 11480 4224 11486 4276 -rect 12158 4224 12164 4276 -rect 12216 4264 12222 4276 -rect 12713 4267 12771 4273 -rect 12713 4264 12725 4267 -rect 12216 4236 12725 4264 -rect 12216 4224 12222 4236 -rect 12713 4233 12725 4236 -rect 12759 4233 12771 4267 -rect 12713 4227 12771 4233 -rect 13541 4267 13599 4273 -rect 13541 4233 13553 4267 -rect 13587 4264 13599 4267 +rect 2961 4267 3019 4273 +rect 2961 4233 2973 4267 +rect 3007 4264 3019 4267 +rect 3694 4264 3700 4276 +rect 3007 4236 3700 4264 +rect 3007 4233 3019 4236 +rect 2961 4227 3019 4233 +rect 3694 4224 3700 4236 +rect 3752 4224 3758 4276 +rect 5626 4264 5632 4276 +rect 5587 4236 5632 4264 +rect 5626 4224 5632 4236 +rect 5684 4224 5690 4276 +rect 6089 4267 6147 4273 +rect 6089 4233 6101 4267 +rect 6135 4264 6147 4267 +rect 7006 4264 7012 4276 +rect 6135 4236 7012 4264 +rect 6135 4233 6147 4236 +rect 6089 4227 6147 4233 +rect 7006 4224 7012 4236 +rect 7064 4224 7070 4276 +rect 7377 4267 7435 4273 +rect 7377 4233 7389 4267 +rect 7423 4264 7435 4267 +rect 8202 4264 8208 4276 +rect 7423 4236 8208 4264 +rect 7423 4233 7435 4236 +rect 7377 4227 7435 4233 +rect 8202 4224 8208 4236 +rect 8260 4224 8266 4276 +rect 12437 4267 12495 4273 +rect 12437 4233 12449 4267 +rect 12483 4264 12495 4267 rect 13722 4264 13728 4276 -rect 13587 4236 13728 4264 -rect 13587 4233 13599 4236 -rect 13541 4227 13599 4233 +rect 12483 4236 13728 4264 +rect 12483 4233 12495 4236 +rect 12437 4227 12495 4233 rect 13722 4224 13728 4236 rect 13780 4224 13786 4276 -rect 14277 4267 14335 4273 -rect 14277 4233 14289 4267 -rect 14323 4264 14335 4267 -rect 14642 4264 14648 4276 -rect 14323 4236 14648 4264 -rect 14323 4233 14335 4236 -rect 14277 4227 14335 4233 -rect 14642 4224 14648 4236 -rect 14700 4224 14706 4276 -rect 14918 4224 14924 4276 -rect 14976 4264 14982 4276 -rect 15838 4264 15844 4276 -rect 14976 4236 15844 4264 -rect 14976 4224 14982 4236 -rect 15838 4224 15844 4236 -rect 15896 4224 15902 4276 -rect 5626 4156 5632 4208 -rect 5684 4156 5690 4208 -rect 14550 4196 14556 4208 -rect 9140 4168 10640 4196 -rect 4893 4131 4951 4137 -rect 4893 4097 4905 4131 -rect 4939 4097 4951 4131 -rect 4893 4091 4951 4097 -rect 6687 4131 6745 4137 -rect 6687 4097 6699 4131 -rect 6733 4128 6745 4131 -rect 7006 4128 7012 4140 -rect 6733 4100 7012 4128 -rect 6733 4097 6745 4100 -rect 6687 4091 6745 4097 -rect 7006 4088 7012 4100 -rect 7064 4128 7070 4140 -rect 8202 4128 8208 4140 -rect 7064 4100 8208 4128 -rect 7064 4088 7070 4100 -rect 8202 4088 8208 4100 +rect 17954 4264 17960 4276 +rect 17915 4236 17960 4264 +rect 17954 4224 17960 4236 +rect 18012 4224 18018 4276 +rect 1860 4208 1912 4214 +rect 3050 4196 3056 4208 +rect 2963 4168 3056 4196 +rect 3050 4156 3056 4168 +rect 3108 4196 3114 4208 +rect 3602 4196 3608 4208 +rect 3108 4168 3608 4196 +rect 3108 4156 3114 4168 +rect 3602 4156 3608 4168 +rect 3660 4196 3666 4208 +rect 4982 4196 4988 4208 +rect 3660 4168 4988 4196 +rect 3660 4156 3666 4168 +rect 4982 4156 4988 4168 +rect 5040 4196 5046 4208 +rect 11790 4196 11796 4208 +rect 5040 4168 5764 4196 +rect 5040 4156 5046 4168 +rect 1860 4150 1912 4156 +rect 477 4131 535 4137 +rect 477 4097 489 4131 +rect 523 4128 535 4131 +rect 2317 4131 2375 4137 +rect 523 4100 980 4128 +rect 523 4097 535 4100 +rect 477 4091 535 4097 +rect 842 4060 848 4072 +rect 803 4032 848 4060 +rect 842 4020 848 4032 +rect 900 4020 906 4072 +rect 952 4060 980 4100 +rect 2317 4097 2329 4131 +rect 2363 4128 2375 4131 +rect 3786 4128 3792 4140 +rect 2363 4100 3648 4128 +rect 3747 4100 3792 4128 +rect 2363 4097 2375 4100 +rect 2317 4091 2375 4097 +rect 1302 4060 1308 4072 +rect 952 4032 1308 4060 +rect 1302 4020 1308 4032 +rect 1360 4020 1366 4072 +rect 3237 4063 3295 4069 +rect 3237 4029 3249 4063 +rect 3283 4029 3295 4063 +rect 3620 4060 3648 4100 +rect 3786 4088 3792 4100 +rect 3844 4088 3850 4140 +rect 3878 4088 3884 4140 +rect 3936 4128 3942 4140 +rect 4525 4131 4583 4137 +rect 4525 4128 4537 4131 +rect 3936 4100 4537 4128 +rect 3936 4088 3942 4100 +rect 4525 4097 4537 4100 +rect 4571 4128 4583 4131 +rect 5534 4128 5540 4140 +rect 4571 4100 5540 4128 +rect 4571 4097 4583 4100 +rect 4525 4091 4583 4097 +rect 5534 4088 5540 4100 +rect 5592 4128 5598 4140 +rect 5736 4137 5764 4168 +rect 8680 4168 11796 4196 +rect 5629 4131 5687 4137 +rect 5629 4128 5641 4131 +rect 5592 4100 5641 4128 +rect 5592 4088 5598 4100 +rect 5629 4097 5641 4100 +rect 5675 4097 5687 4131 +rect 5629 4091 5687 4097 +rect 5721 4131 5779 4137 +rect 5721 4097 5733 4131 +rect 5767 4128 5779 4131 +rect 7285 4131 7343 4137 +rect 7285 4128 7297 4131 +rect 5767 4100 7297 4128 +rect 5767 4097 5779 4100 +rect 5721 4091 5779 4097 +rect 7285 4097 7297 4100 +rect 7331 4097 7343 4131 +rect 7285 4091 7343 4097 +rect 7374 4088 7380 4140 +rect 7432 4128 7438 4140 +rect 7469 4131 7527 4137 +rect 7469 4128 7481 4131 +rect 7432 4100 7481 4128 +rect 7432 4088 7438 4100 +rect 7469 4097 7481 4100 +rect 7515 4097 7527 4131 +rect 7469 4091 7527 4097 +rect 8202 4088 8208 4140 rect 8260 4128 8266 4140 -rect 8481 4131 8539 4137 -rect 8481 4128 8493 4131 -rect 8260 4100 8493 4128 +rect 8680 4128 8708 4168 +rect 11790 4156 11796 4168 +rect 11848 4196 11854 4208 +rect 12250 4196 12256 4208 +rect 11848 4168 12256 4196 +rect 11848 4156 11854 4168 +rect 12250 4156 12256 4168 +rect 12308 4156 12314 4208 +rect 13446 4156 13452 4208 +rect 13504 4156 13510 4208 +rect 13814 4156 13820 4208 +rect 13872 4196 13878 4208 +rect 13872 4168 14228 4196 +rect 13872 4156 13878 4168 +rect 8846 4128 8852 4140 +rect 8260 4100 8708 4128 +rect 8807 4100 8852 4128 rect 8260 4088 8266 4100 -rect 8481 4097 8493 4100 -rect 8527 4097 8539 4131 -rect 8481 4091 8539 4097 -rect 8665 4131 8723 4137 -rect 8665 4097 8677 4131 -rect 8711 4097 8723 4131 -rect 8665 4091 8723 4097 -rect 5261 4063 5319 4069 -rect 5261 4029 5273 4063 -rect 5307 4060 5319 4063 -rect 6086 4060 6092 4072 -rect 5307 4032 6092 4060 -rect 5307 4029 5319 4032 -rect 5261 4023 5319 4029 -rect 6086 4020 6092 4032 -rect 6144 4020 6150 4072 -rect 7745 4063 7803 4069 -rect 7745 4029 7757 4063 -rect 7791 4029 7803 4063 -rect 7745 4023 7803 4029 -rect 7929 4063 7987 4069 -rect 7929 4029 7941 4063 -rect 7975 4029 7987 4063 -rect 7929 4023 7987 4029 -rect 7760 3992 7788 4023 -rect 6012 3964 7788 3992 -rect 7944 3992 7972 4023 -rect 8294 4020 8300 4072 -rect 8352 4060 8358 4072 -rect 8680 4060 8708 4091 -rect 8754 4088 8760 4140 -rect 8812 4128 8818 4140 -rect 9140 4128 9168 4168 -rect 10137 4131 10195 4137 -rect 10137 4128 10149 4131 -rect 8812 4100 9168 4128 -rect 9232 4100 10149 4128 -rect 8812 4088 8818 4100 -rect 9232 4072 9260 4100 -rect 10137 4097 10149 4100 -rect 10183 4128 10195 4131 -rect 10612 4128 10640 4168 -rect 12406 4168 14556 4196 -rect 11149 4131 11207 4137 -rect 11149 4128 11161 4131 -rect 10183 4100 10456 4128 -rect 10612 4100 11161 4128 -rect 10183 4097 10195 4100 -rect 10137 4091 10195 4097 -rect 9214 4060 9220 4072 -rect 8352 4032 8708 4060 -rect 9175 4032 9220 4060 -rect 8352 4020 8358 4032 -rect 9214 4020 9220 4032 -rect 9272 4020 9278 4072 -rect 9401 4063 9459 4069 -rect 9401 4029 9413 4063 -rect 9447 4060 9459 4063 -rect 9858 4060 9864 4072 -rect 9447 4032 9864 4060 -rect 9447 4029 9459 4032 -rect 9401 4023 9459 4029 -rect 9858 4020 9864 4032 -rect 9916 4020 9922 4072 -rect 10226 4020 10232 4072 -rect 10284 4060 10290 4072 -rect 10284 4032 10329 4060 -rect 10284 4020 10290 4032 -rect 10244 3992 10272 4020 -rect 7944 3964 10272 3992 -rect 10428 3992 10456 4100 -rect 11149 4097 11161 4100 -rect 11195 4097 11207 4131 -rect 11330 4128 11336 4140 -rect 11291 4100 11336 4128 -rect 11149 4091 11207 4097 -rect 11164 4060 11192 4091 -rect 11330 4088 11336 4100 -rect 11388 4128 11394 4140 -rect 12406 4128 12434 4168 -rect 11388 4100 12434 4128 -rect 12897 4131 12955 4137 -rect 11388 4088 11394 4100 -rect 12897 4097 12909 4131 -rect 12943 4128 12955 4131 -rect 13170 4128 13176 4140 -rect 12943 4100 13176 4128 -rect 12943 4097 12955 4100 -rect 12897 4091 12955 4097 -rect 13170 4088 13176 4100 -rect 13228 4088 13234 4140 -rect 13372 4137 13400 4168 -rect 13740 4140 13768 4168 -rect 14550 4156 14556 4168 -rect 14608 4156 14614 4208 -rect 15746 4156 15752 4208 -rect 15804 4156 15810 4208 -rect 13357 4131 13415 4137 -rect 13357 4097 13369 4131 -rect 13403 4097 13415 4131 -rect 13357 4091 13415 4097 -rect 12621 4063 12679 4069 -rect 11164 4032 12434 4060 -rect 11330 3992 11336 4004 -rect 10428 3964 11336 3992 -rect 6012 3936 6040 3964 -rect 5994 3924 6000 3936 -rect 4816 3896 6000 3924 -rect 4617 3887 4675 3893 -rect 5994 3884 6000 3896 -rect 6052 3884 6058 3936 -rect 7282 3924 7288 3936 -rect 7243 3896 7288 3924 -rect 7282 3884 7288 3896 -rect 7340 3884 7346 3936 -rect 7760 3924 7788 3964 -rect 11330 3952 11336 3964 -rect 11388 3952 11394 4004 -rect 12406 3992 12434 4032 -rect 12621 4029 12633 4063 -rect 12667 4060 12679 4063 -rect 12986 4060 12992 4072 -rect 12667 4032 12992 4060 -rect 12667 4029 12679 4032 -rect 12621 4023 12679 4029 -rect 12986 4020 12992 4032 -rect 13044 4020 13050 4072 -rect 13081 4063 13139 4069 -rect 13081 4029 13093 4063 -rect 13127 4060 13139 4063 -rect 13372 4060 13400 4091 -rect 13722 4088 13728 4140 -rect 13780 4088 13786 4140 -rect 13817 4131 13875 4137 -rect 13817 4097 13829 4131 -rect 13863 4128 13875 4131 -rect 15102 4128 15108 4140 -rect 13863 4100 15108 4128 -rect 13863 4097 13875 4100 -rect 13817 4091 13875 4097 -rect 15102 4088 15108 4100 -rect 15160 4088 15166 4140 +rect 8846 4088 8852 4100 +rect 8904 4088 8910 4140 +rect 10597 4131 10655 4137 +rect 10597 4097 10609 4131 +rect 10643 4128 10655 4131 +rect 10778 4128 10784 4140 +rect 10643 4100 10784 4128 +rect 10643 4097 10655 4100 +rect 10597 4091 10655 4097 +rect 10778 4088 10784 4100 +rect 10836 4088 10842 4140 +rect 11238 4128 11244 4140 +rect 11199 4100 11244 4128 +rect 11238 4088 11244 4100 +rect 11296 4088 11302 4140 +rect 12345 4131 12403 4137 +rect 12345 4097 12357 4131 +rect 12391 4128 12403 4131 +rect 12434 4128 12440 4140 +rect 12391 4100 12440 4128 +rect 12391 4097 12403 4100 +rect 12345 4091 12403 4097 +rect 12434 4088 12440 4100 +rect 12492 4088 12498 4140 +rect 14200 4137 14228 4168 +rect 16114 4156 16120 4208 +rect 16172 4156 16178 4208 +rect 14185 4131 14243 4137 +rect 14185 4097 14197 4131 +rect 14231 4097 14243 4131 +rect 14185 4091 14243 4097 +rect 14461 4131 14519 4137 +rect 14461 4097 14473 4131 +rect 14507 4128 14519 4131 +rect 14550 4128 14556 4140 +rect 14507 4100 14556 4128 +rect 14507 4097 14519 4100 +rect 14461 4091 14519 4097 +rect 3896 4060 3924 4088 +rect 3620 4032 3924 4060 +rect 3973 4063 4031 4069 +rect 3237 4023 3295 4029 +rect 3973 4029 3985 4063 +rect 4019 4029 4031 4063 +rect 3973 4023 4031 4029 +rect 2593 3995 2651 4001 +rect 2593 3992 2605 3995 +rect 1780 3964 2605 3992 +rect 1118 3884 1124 3936 +rect 1176 3924 1182 3936 +rect 1780 3924 1808 3964 +rect 2593 3961 2605 3964 +rect 2639 3961 2651 3995 +rect 3252 3992 3280 4023 +rect 3988 3992 4016 4023 +rect 4890 4020 4896 4072 +rect 4948 4060 4954 4072 +rect 5350 4060 5356 4072 +rect 4948 4032 5356 4060 +rect 4948 4020 4954 4032 +rect 5350 4020 5356 4032 +rect 5408 4060 5414 4072 +rect 5905 4063 5963 4069 +rect 5905 4060 5917 4063 +rect 5408 4032 5917 4060 +rect 5408 4020 5414 4032 +rect 5905 4029 5917 4032 +rect 5951 4029 5963 4063 +rect 8938 4060 8944 4072 +rect 8851 4032 8944 4060 +rect 5905 4023 5963 4029 +rect 8938 4020 8944 4032 +rect 8996 4060 9002 4072 +rect 10413 4063 10471 4069 +rect 10413 4060 10425 4063 +rect 8996 4032 10425 4060 +rect 8996 4020 9002 4032 +rect 10413 4029 10425 4032 +rect 10459 4029 10471 4063 +rect 11330 4060 11336 4072 +rect 11291 4032 11336 4060 +rect 10413 4023 10471 4029 +rect 11330 4020 11336 4032 +rect 11388 4020 11394 4072 +rect 13170 4060 13176 4072 +rect 11716 4032 13176 4060 +rect 3252 3964 4016 3992 +rect 11149 3995 11207 4001 +rect 2593 3955 2651 3961 +rect 3896 3936 3924 3964 +rect 11149 3961 11161 3995 +rect 11195 3992 11207 3995 +rect 11716 3992 11744 4032 +rect 13170 4020 13176 4032 +rect 13228 4060 13234 4072 +rect 13814 4060 13820 4072 +rect 13228 4032 13820 4060 +rect 13228 4020 13234 4032 +rect 13814 4020 13820 4032 +rect 13872 4020 13878 4072 +rect 13909 4063 13967 4069 +rect 13909 4029 13921 4063 +rect 13955 4060 13967 4063 +rect 14200 4060 14228 4091 +rect 14550 4088 14556 4100 +rect 14608 4128 14614 4140 +rect 15286 4128 15292 4140 +rect 14608 4100 15292 4128 +rect 14608 4088 14614 4100 +rect 15286 4088 15292 4100 +rect 15344 4088 15350 4140 +rect 14829 4063 14887 4069 +rect 14829 4060 14841 4063 +rect 13955 4032 14136 4060 +rect 14200 4032 14841 4060 +rect 13955 4029 13967 4032 +rect 13909 4023 13967 4029 +rect 12894 3992 12900 4004 +rect 11195 3964 11744 3992 +rect 12406 3964 12900 3992 +rect 11195 3961 11207 3964 +rect 11149 3955 11207 3961 +rect 1176 3896 1808 3924 +rect 1176 3884 1182 3896 +rect 2682 3884 2688 3936 +rect 2740 3924 2746 3936 +rect 3421 3927 3479 3933 +rect 3421 3924 3433 3927 +rect 2740 3896 3433 3924 +rect 2740 3884 2746 3896 +rect 3421 3893 3433 3896 +rect 3467 3893 3479 3927 +rect 3421 3887 3479 3893 +rect 3878 3884 3884 3936 +rect 3936 3884 3942 3936 +rect 4062 3884 4068 3936 +rect 4120 3924 4126 3936 +rect 4341 3927 4399 3933 +rect 4341 3924 4353 3927 +rect 4120 3896 4353 3924 +rect 4120 3884 4126 3896 +rect 4341 3893 4353 3896 +rect 4387 3893 4399 3927 +rect 4341 3887 4399 3893 +rect 6822 3884 6828 3936 +rect 6880 3924 6886 3936 +rect 11698 3924 11704 3936 +rect 6880 3896 11704 3924 +rect 6880 3884 6886 3896 +rect 11698 3884 11704 3896 +rect 11756 3924 11762 3936 +rect 12406 3924 12434 3964 +rect 12894 3952 12900 3964 +rect 12952 3952 12958 4004 +rect 14108 3992 14136 4032 +rect 14829 4029 14841 4032 +rect 14875 4060 14887 4063 +rect 15010 4060 15016 4072 +rect 14875 4032 15016 4060 +rect 14875 4029 14887 4032 +rect 14829 4023 14887 4029 +rect 15010 4020 15016 4032 +rect 15068 4020 15074 4072 +rect 15194 4060 15200 4072 +rect 15155 4032 15200 4060 +rect 15194 4020 15200 4032 +rect 15252 4020 15258 4072 +rect 15930 4020 15936 4072 +rect 15988 4060 15994 4072 +rect 16132 4060 16160 4156 +rect 16574 4088 16580 4140 +rect 16632 4137 16638 4140 +rect 16632 4131 16681 4137 +rect 16632 4097 16635 4131 +rect 16669 4097 16681 4131 +rect 16632 4091 16681 4097 rect 18233 4131 18291 4137 rect 18233 4097 18245 4131 rect 18279 4128 18291 4131 -rect 18506 4128 18512 4140 -rect 18279 4100 18512 4128 +rect 18322 4128 18328 4140 +rect 18279 4100 18328 4128 rect 18279 4097 18291 4100 rect 18233 4091 18291 4097 -rect 18506 4088 18512 4100 -rect 18564 4088 18570 4140 -rect 13127 4032 13400 4060 -rect 13127 4029 13139 4032 -rect 13081 4023 13139 4029 -rect 13446 4020 13452 4072 -rect 13504 4060 13510 4072 -rect 13504 4032 14228 4060 -rect 13504 4020 13510 4032 -rect 14200 3992 14228 4032 -rect 14366 4020 14372 4072 -rect 14424 4060 14430 4072 -rect 14645 4063 14703 4069 -rect 14645 4060 14657 4063 -rect 14424 4032 14657 4060 -rect 14424 4020 14430 4032 -rect 14645 4029 14657 4032 -rect 14691 4029 14703 4063 -rect 14826 4060 14832 4072 -rect 14787 4032 14832 4060 -rect 14645 4023 14703 4029 -rect 14826 4020 14832 4032 -rect 14884 4020 14890 4072 -rect 15197 4063 15255 4069 -rect 15197 4029 15209 4063 -rect 15243 4060 15255 4063 -rect 15243 4032 18368 4060 -rect 15243 4029 15255 4032 -rect 15197 4023 15255 4029 -rect 14461 3995 14519 4001 -rect 14461 3992 14473 3995 -rect 12406 3964 14136 3992 -rect 14200 3964 14473 3992 -rect 14108 3936 14136 3964 -rect 14461 3961 14473 3964 -rect 14507 3992 14519 3995 -rect 14550 3992 14556 4004 -rect 14507 3964 14556 3992 -rect 14507 3961 14519 3964 -rect 14461 3955 14519 3961 -rect 14550 3952 14556 3964 -rect 14608 3952 14614 4004 -rect 18340 4001 18368 4032 -rect 18325 3995 18383 4001 -rect 18325 3961 18337 3995 -rect 18371 3961 18383 3995 -rect 18325 3955 18383 3961 -rect 8662 3924 8668 3936 -rect 7760 3896 8668 3924 -rect 8662 3884 8668 3896 -rect 8720 3884 8726 3936 -rect 8754 3884 8760 3936 -rect 8812 3924 8818 3936 -rect 8812 3896 8857 3924 -rect 8812 3884 8818 3896 -rect 9122 3884 9128 3936 -rect 9180 3924 9186 3936 -rect 12621 3927 12679 3933 -rect 12621 3924 12633 3927 -rect 9180 3896 12633 3924 -rect 9180 3884 9186 3896 -rect 12621 3893 12633 3896 -rect 12667 3893 12679 3927 -rect 12621 3887 12679 3893 -rect 12802 3884 12808 3936 -rect 12860 3924 12866 3936 -rect 13173 3927 13231 3933 -rect 13173 3924 13185 3927 -rect 12860 3896 13185 3924 -rect 12860 3884 12866 3896 -rect 13173 3893 13185 3896 -rect 13219 3893 13231 3927 -rect 14090 3924 14096 3936 -rect 14051 3896 14096 3924 -rect 13173 3887 13231 3893 -rect 14090 3884 14096 3896 -rect 14148 3884 14154 3936 -rect 16114 3884 16120 3936 -rect 16172 3924 16178 3936 -rect 16623 3927 16681 3933 -rect 16623 3924 16635 3927 -rect 16172 3896 16635 3924 -rect 16172 3884 16178 3896 -rect 16623 3893 16635 3896 -rect 16669 3893 16681 3927 -rect 16623 3887 16681 3893 +rect 16632 4088 16638 4091 +rect 18322 4088 18328 4100 +rect 18380 4088 18386 4140 +rect 16390 4060 16396 4072 +rect 15988 4032 16396 4060 +rect 15988 4020 15994 4032 +rect 16390 4020 16396 4032 +rect 16448 4060 16454 4072 +rect 16853 4063 16911 4069 +rect 16853 4060 16865 4063 +rect 16448 4032 16865 4060 +rect 16448 4020 16454 4032 +rect 16853 4029 16865 4032 +rect 16899 4060 16911 4063 +rect 17037 4063 17095 4069 +rect 17037 4060 17049 4063 +rect 16899 4032 17049 4060 +rect 16899 4029 16911 4032 +rect 16853 4023 16911 4029 +rect 17037 4029 17049 4032 +rect 17083 4029 17095 4063 +rect 17037 4023 17095 4029 +rect 18046 3992 18052 4004 +rect 14108 3964 14872 3992 +rect 11756 3896 12434 3924 +rect 12912 3924 12940 3952 +rect 14645 3927 14703 3933 +rect 14645 3924 14657 3927 +rect 12912 3896 14657 3924 +rect 11756 3884 11762 3896 +rect 14645 3893 14657 3896 +rect 14691 3924 14703 3927 +rect 14734 3924 14740 3936 +rect 14691 3896 14740 3924 +rect 14691 3893 14703 3896 +rect 14645 3887 14703 3893 +rect 14734 3884 14740 3896 +rect 14792 3884 14798 3936 +rect 14844 3924 14872 3964 +rect 16132 3964 18052 3992 +rect 16132 3924 16160 3964 +rect 18046 3952 18052 3964 +rect 18104 3952 18110 4004 +rect 14844 3896 16160 3924 rect 0 3834 18860 3856 rect 0 3782 3110 3834 rect 3162 3782 3174 3834 @@ -6443,494 +6858,411 @@ rect 15690 3782 15702 3834 rect 15754 3782 15766 3834 rect 15818 3782 18860 3834 rect 0 3760 18860 3782 -rect 842 3720 848 3732 -rect 803 3692 848 3720 -rect 842 3680 848 3692 -rect 900 3680 906 3732 -rect 6273 3723 6331 3729 -rect 6273 3689 6285 3723 -rect 6319 3720 6331 3723 -rect 6546 3720 6552 3732 -rect 6319 3692 6552 3720 -rect 6319 3689 6331 3692 -rect 6273 3683 6331 3689 -rect 6546 3680 6552 3692 -rect 6604 3680 6610 3732 -rect 6730 3680 6736 3732 -rect 6788 3680 6794 3732 -rect 8478 3720 8484 3732 -rect 8439 3692 8484 3720 -rect 8478 3680 8484 3692 -rect 8536 3680 8542 3732 -rect 8570 3680 8576 3732 -rect 8628 3720 8634 3732 -rect 10689 3723 10747 3729 -rect 10689 3720 10701 3723 -rect 8628 3692 10701 3720 -rect 8628 3680 8634 3692 -rect 10689 3689 10701 3692 -rect 10735 3689 10747 3723 -rect 10689 3683 10747 3689 -rect 13078 3680 13084 3732 -rect 13136 3720 13142 3732 -rect 13538 3720 13544 3732 -rect 13136 3692 13544 3720 -rect 13136 3680 13142 3692 -rect 13538 3680 13544 3692 -rect 13596 3720 13602 3732 -rect 13633 3723 13691 3729 -rect 13633 3720 13645 3723 -rect 13596 3692 13645 3720 -rect 13596 3680 13602 3692 -rect 13633 3689 13645 3692 -rect 13679 3689 13691 3723 -rect 14458 3720 14464 3732 -rect 13633 3683 13691 3689 -rect 13832 3692 14464 3720 -rect 2590 3652 2596 3664 -rect 2240 3624 2596 3652 -rect 2240 3596 2268 3624 -rect 2590 3612 2596 3624 -rect 2648 3652 2654 3664 -rect 3513 3655 3571 3661 -rect 3513 3652 3525 3655 -rect 2648 3624 3525 3652 -rect 2648 3612 2654 3624 -rect 3513 3621 3525 3624 -rect 3559 3621 3571 3655 -rect 3513 3615 3571 3621 -rect 5905 3655 5963 3661 -rect 5905 3621 5917 3655 -rect 5951 3652 5963 3655 -rect 6748 3652 6776 3680 -rect 5951 3624 6776 3652 -rect 5951 3621 5963 3624 -rect 5905 3615 5963 3621 -rect 6822 3612 6828 3664 -rect 6880 3612 6886 3664 -rect 8202 3612 8208 3664 -rect 8260 3652 8266 3664 -rect 11238 3652 11244 3664 -rect 8260 3624 9628 3652 -rect 11199 3624 11244 3652 -rect 8260 3612 8266 3624 -rect 2222 3584 2228 3596 -rect 2183 3556 2228 3584 -rect 2222 3544 2228 3556 -rect 2280 3544 2286 3596 -rect 2608 3556 3280 3584 -rect 2608 3528 2636 3556 -rect 1029 3519 1087 3525 -rect 1029 3485 1041 3519 -rect 1075 3516 1087 3519 -rect 1949 3519 2007 3525 -rect 1075 3488 1624 3516 -rect 1075 3485 1087 3488 -rect 1029 3479 1087 3485 -rect 1596 3389 1624 3488 -rect 1949 3485 1961 3519 -rect 1995 3516 2007 3519 -rect 2314 3516 2320 3528 -rect 1995 3488 2320 3516 -rect 1995 3485 2007 3488 -rect 1949 3479 2007 3485 -rect 2314 3476 2320 3488 -rect 2372 3476 2378 3528 -rect 2590 3476 2596 3528 -rect 2648 3476 2654 3528 -rect 2774 3476 2780 3528 -rect 2832 3516 2838 3528 -rect 3252 3525 3280 3556 -rect 5994 3544 6000 3596 -rect 6052 3584 6058 3596 -rect 6733 3587 6791 3593 -rect 6733 3584 6745 3587 -rect 6052 3556 6745 3584 -rect 6052 3544 6058 3556 -rect 6733 3553 6745 3556 -rect 6779 3553 6791 3587 -rect 6840 3584 6868 3612 +rect 842 3680 848 3732 +rect 900 3720 906 3732 +rect 1305 3723 1363 3729 +rect 1305 3720 1317 3723 +rect 900 3692 1317 3720 +rect 900 3680 906 3692 +rect 1305 3689 1317 3692 +rect 1351 3689 1363 3723 +rect 1305 3683 1363 3689 +rect 3697 3723 3755 3729 +rect 3697 3689 3709 3723 +rect 3743 3720 3755 3723 +rect 3786 3720 3792 3732 +rect 3743 3692 3792 3720 +rect 3743 3689 3755 3692 +rect 3697 3683 3755 3689 +rect 3786 3680 3792 3692 +rect 3844 3680 3850 3732 +rect 5721 3723 5779 3729 +rect 5721 3689 5733 3723 +rect 5767 3720 5779 3723 +rect 11146 3720 11152 3732 +rect 5767 3692 11152 3720 +rect 5767 3689 5779 3692 +rect 5721 3683 5779 3689 +rect 11146 3680 11152 3692 +rect 11204 3680 11210 3732 +rect 11238 3680 11244 3732 +rect 11296 3720 11302 3732 +rect 11333 3723 11391 3729 +rect 11333 3720 11345 3723 +rect 11296 3692 11345 3720 +rect 11296 3680 11302 3692 +rect 11333 3689 11345 3692 +rect 11379 3689 11391 3723 +rect 11333 3683 11391 3689 +rect 12250 3680 12256 3732 +rect 12308 3720 12314 3732 +rect 14829 3723 14887 3729 +rect 14829 3720 14841 3723 +rect 12308 3692 14841 3720 +rect 12308 3680 12314 3692 +rect 14829 3689 14841 3692 +rect 14875 3689 14887 3723 +rect 14829 3683 14887 3689 +rect 4522 3612 4528 3664 +rect 4580 3652 4586 3664 +rect 4709 3655 4767 3661 +rect 4709 3652 4721 3655 +rect 4580 3624 4721 3652 +rect 4580 3612 4586 3624 +rect 4709 3621 4721 3624 +rect 4755 3621 4767 3655 +rect 8202 3652 8208 3664 +rect 4709 3615 4767 3621 +rect 6564 3624 8208 3652 +rect 2866 3544 2872 3596 +rect 2924 3584 2930 3596 +rect 2924 3556 3372 3584 +rect 2924 3544 2930 3556 +rect 1489 3519 1547 3525 +rect 1489 3485 1501 3519 +rect 1535 3516 1547 3519 +rect 2682 3516 2688 3528 +rect 1535 3488 2688 3516 +rect 1535 3485 1547 3488 +rect 1489 3479 1547 3485 +rect 2682 3476 2688 3488 +rect 2740 3476 2746 3528 +rect 3344 3525 3372 3556 +rect 3970 3544 3976 3596 +rect 4028 3584 4034 3596 +rect 4249 3587 4307 3593 +rect 4249 3584 4261 3587 +rect 4028 3556 4261 3584 +rect 4028 3544 4034 3556 +rect 4249 3553 4261 3556 +rect 4295 3553 4307 3587 +rect 6564 3584 6592 3624 +rect 8202 3612 8208 3624 +rect 8260 3612 8266 3664 +rect 8297 3655 8355 3661 +rect 8297 3621 8309 3655 +rect 8343 3652 8355 3655 +rect 14844 3652 14872 3683 +rect 15194 3680 15200 3732 +rect 15252 3720 15258 3732 +rect 18325 3723 18383 3729 +rect 18325 3720 18337 3723 +rect 15252 3692 18337 3720 +rect 15252 3680 15258 3692 +rect 18325 3689 18337 3692 +rect 18371 3689 18383 3723 +rect 18325 3683 18383 3689 +rect 15289 3655 15347 3661 +rect 15289 3652 15301 3655 +rect 8343 3624 8524 3652 +rect 14844 3624 15301 3652 +rect 8343 3621 8355 3624 +rect 8297 3615 8355 3621 +rect 4249 3547 4307 3553 +rect 4540 3556 6592 3584 +rect 3145 3519 3203 3525 +rect 3145 3485 3157 3519 +rect 3191 3485 3203 3519 +rect 3145 3479 3203 3485 +rect 3329 3519 3387 3525 +rect 3329 3485 3341 3519 +rect 3375 3485 3387 3519 +rect 4062 3516 4068 3528 +rect 4023 3488 4068 3516 +rect 3329 3479 3387 3485 +rect 2501 3383 2559 3389 +rect 2501 3349 2513 3383 +rect 2547 3380 2559 3383 +rect 2590 3380 2596 3392 +rect 2547 3352 2596 3380 +rect 2547 3349 2559 3352 +rect 2501 3343 2559 3349 +rect 2590 3340 2596 3352 +rect 2648 3340 2654 3392 +rect 2682 3340 2688 3392 +rect 2740 3380 2746 3392 +rect 3160 3380 3188 3479 +rect 4062 3476 4068 3488 +rect 4120 3476 4126 3528 +rect 4540 3525 4568 3556 +rect 6638 3544 6644 3596 +rect 6696 3584 6702 3596 rect 6917 3587 6975 3593 rect 6917 3584 6929 3587 -rect 6840 3556 6929 3584 -rect 6733 3547 6791 3553 +rect 6696 3556 6929 3584 +rect 6696 3544 6702 3556 rect 6917 3553 6929 3556 rect 6963 3553 6975 3587 -rect 8754 3584 8760 3596 -rect 8715 3556 8760 3584 rect 6917 3547 6975 3553 -rect 8754 3544 8760 3556 -rect 8812 3544 8818 3596 -rect 3053 3519 3111 3525 -rect 3053 3516 3065 3519 -rect 2832 3488 3065 3516 -rect 2832 3476 2838 3488 -rect 3053 3485 3065 3488 -rect 3099 3485 3111 3519 -rect 3053 3479 3111 3485 -rect 3237 3519 3295 3525 -rect 3237 3485 3249 3519 -rect 3283 3485 3295 3519 -rect 5902 3516 5908 3528 -rect 5863 3488 5908 3516 -rect 3237 3479 3295 3485 -rect 5902 3476 5908 3488 -rect 5960 3476 5966 3528 -rect 6641 3519 6699 3525 -rect 6641 3485 6653 3519 -rect 6687 3516 6699 3519 -rect 7282 3516 7288 3528 -rect 6687 3488 7288 3516 -rect 6687 3485 6699 3488 -rect 6641 3479 6699 3485 -rect 7282 3476 7288 3488 -rect 7340 3476 7346 3528 -rect 8662 3516 8668 3528 -rect 8623 3488 8668 3516 -rect 8662 3476 8668 3488 -rect 8720 3476 8726 3528 -rect 8938 3476 8944 3528 -rect 8996 3518 9002 3528 -rect 9600 3525 9628 3624 -rect 11238 3612 11244 3624 -rect 11296 3612 11302 3664 -rect 12158 3612 12164 3664 -rect 12216 3652 12222 3664 -rect 12437 3655 12495 3661 -rect 12437 3652 12449 3655 -rect 12216 3624 12449 3652 -rect 12216 3612 12222 3624 -rect 12437 3621 12449 3624 -rect 12483 3621 12495 3655 -rect 12437 3615 12495 3621 -rect 12526 3612 12532 3664 -rect 12584 3652 12590 3664 -rect 12989 3655 13047 3661 -rect 12989 3652 13001 3655 -rect 12584 3624 13001 3652 -rect 12584 3612 12590 3624 -rect 12989 3621 13001 3624 -rect 13035 3621 13047 3655 -rect 12989 3615 13047 3621 -rect 11790 3544 11796 3596 -rect 11848 3584 11854 3596 -rect 12253 3587 12311 3593 -rect 12253 3584 12265 3587 -rect 11848 3556 12265 3584 -rect 11848 3544 11854 3556 -rect 12253 3553 12265 3556 -rect 12299 3553 12311 3587 -rect 12253 3547 12311 3553 -rect 12342 3544 12348 3596 -rect 12400 3584 12406 3596 -rect 13081 3587 13139 3593 -rect 12400 3556 12848 3584 -rect 12400 3544 12406 3556 -rect 9217 3519 9275 3525 -rect 8996 3516 9076 3518 -rect 9217 3516 9229 3519 -rect 8996 3490 9229 3516 -rect 8996 3476 9002 3490 -rect 9048 3488 9229 3490 -rect 9217 3485 9229 3488 -rect 9263 3485 9275 3519 -rect 9217 3479 9275 3485 -rect 9585 3519 9643 3525 -rect 9585 3485 9597 3519 -rect 9631 3485 9643 3519 -rect 9766 3516 9772 3528 -rect 9727 3488 9772 3516 -rect 9585 3479 9643 3485 -rect 9766 3476 9772 3488 -rect 9824 3476 9830 3528 -rect 10229 3519 10287 3525 -rect 10229 3485 10241 3519 +rect 7006 3544 7012 3596 +rect 7064 3584 7070 3596 +rect 7837 3587 7895 3593 +rect 7837 3584 7849 3587 +rect 7064 3556 7849 3584 +rect 7064 3544 7070 3556 +rect 7837 3553 7849 3556 +rect 7883 3553 7895 3587 +rect 8496 3584 8524 3624 +rect 15289 3621 15301 3624 +rect 15335 3652 15347 3655 +rect 15473 3655 15531 3661 +rect 15473 3652 15485 3655 +rect 15335 3624 15485 3652 +rect 15335 3621 15347 3624 +rect 15289 3615 15347 3621 +rect 15473 3621 15485 3624 +rect 15519 3621 15531 3655 +rect 15473 3615 15531 3621 +rect 8849 3587 8907 3593 +rect 8849 3584 8861 3587 +rect 8496 3556 8861 3584 +rect 7837 3547 7895 3553 +rect 8849 3553 8861 3556 +rect 8895 3553 8907 3587 +rect 8849 3547 8907 3553 +rect 11149 3587 11207 3593 +rect 11149 3553 11161 3587 +rect 11195 3584 11207 3587 +rect 11790 3584 11796 3596 +rect 11195 3556 11796 3584 +rect 11195 3553 11207 3556 +rect 11149 3547 11207 3553 +rect 11790 3544 11796 3556 +rect 11848 3544 11854 3596 +rect 13262 3584 13268 3596 +rect 12544 3556 13268 3584 +rect 4157 3519 4215 3525 +rect 4157 3485 4169 3519 +rect 4203 3516 4215 3519 +rect 4525 3519 4583 3525 +rect 4525 3516 4537 3519 +rect 4203 3488 4537 3516 +rect 4203 3485 4215 3488 +rect 4157 3479 4215 3485 +rect 4525 3485 4537 3488 +rect 4571 3485 4583 3519 +rect 4890 3516 4896 3528 +rect 4851 3488 4896 3516 +rect 4525 3479 4583 3485 +rect 4890 3476 4896 3488 +rect 4948 3476 4954 3528 +rect 5258 3516 5264 3528 +rect 5219 3488 5264 3516 +rect 5258 3476 5264 3488 +rect 5316 3476 5322 3528 +rect 5810 3476 5816 3528 +rect 5868 3516 5874 3528 +rect 6822 3516 6828 3528 +rect 5868 3488 6828 3516 +rect 5868 3476 5874 3488 +rect 6822 3476 6828 3488 +rect 6880 3476 6886 3528 +rect 8297 3519 8355 3525 +rect 8297 3485 8309 3519 +rect 8343 3516 8355 3519 +rect 8386 3516 8392 3528 +rect 8343 3488 8392 3516 +rect 8343 3485 8355 3488 +rect 8297 3479 8355 3485 +rect 8386 3476 8392 3488 +rect 8444 3476 8450 3528 +rect 8478 3476 8484 3528 +rect 8536 3516 8542 3528 +rect 8536 3488 8581 3516 +rect 8536 3476 8542 3488 +rect 10042 3476 10048 3528 +rect 10100 3516 10106 3528 +rect 12544 3525 12572 3556 +rect 13262 3544 13268 3556 +rect 13320 3544 13326 3596 +rect 14734 3584 14740 3596 +rect 14695 3556 14740 3584 +rect 14734 3544 14740 3556 +rect 14792 3544 14798 3596 +rect 10275 3519 10333 3525 rect 10275 3516 10287 3519 -rect 10410 3516 10416 3528 -rect 10275 3488 10416 3516 +rect 10100 3488 10287 3516 +rect 10100 3476 10106 3488 rect 10275 3485 10287 3488 -rect 10229 3479 10287 3485 -rect 10410 3476 10416 3488 -rect 10468 3476 10474 3528 -rect 10505 3519 10563 3525 -rect 10505 3485 10517 3519 -rect 10551 3516 10563 3519 -rect 11238 3516 11244 3528 -rect 10551 3488 11244 3516 -rect 10551 3485 10563 3488 -rect 10505 3479 10563 3485 -rect 11238 3476 11244 3488 -rect 11296 3476 11302 3528 +rect 10321 3516 10333 3519 +rect 11057 3519 11115 3525 +rect 11057 3516 11069 3519 +rect 10321 3488 11069 3516 +rect 10321 3485 10333 3488 +rect 10275 3479 10333 3485 +rect 11057 3485 11069 3488 +rect 11103 3485 11115 3519 +rect 11057 3479 11115 3485 rect 12529 3519 12587 3525 rect 12529 3485 12541 3519 -rect 12575 3516 12587 3519 -rect 12710 3516 12716 3528 -rect 12575 3488 12716 3516 -rect 12575 3485 12587 3488 +rect 12575 3485 12587 3519 +rect 12894 3516 12900 3528 +rect 12855 3488 12900 3516 rect 12529 3479 12587 3485 -rect 12710 3476 12716 3488 -rect 12768 3476 12774 3528 -rect 12820 3525 12848 3556 -rect 13081 3553 13093 3587 -rect 13127 3584 13139 3587 -rect 13832 3584 13860 3692 -rect 14458 3680 14464 3692 -rect 14516 3680 14522 3732 -rect 15102 3680 15108 3732 -rect 15160 3720 15166 3732 -rect 15197 3723 15255 3729 -rect 15197 3720 15209 3723 -rect 15160 3692 15209 3720 -rect 15160 3680 15166 3692 -rect 15197 3689 15209 3692 -rect 15243 3689 15255 3723 -rect 15197 3683 15255 3689 -rect 15286 3680 15292 3732 -rect 15344 3720 15350 3732 -rect 15381 3723 15439 3729 -rect 15381 3720 15393 3723 -rect 15344 3692 15393 3720 -rect 15344 3680 15350 3692 -rect 15381 3689 15393 3692 -rect 15427 3689 15439 3723 -rect 15381 3683 15439 3689 -rect 16114 3584 16120 3596 -rect 13127 3556 13860 3584 -rect 16075 3556 16120 3584 -rect 13127 3553 13139 3556 -rect 13081 3547 13139 3553 -rect 16114 3544 16120 3556 -rect 16172 3544 16178 3596 -rect 17494 3584 17500 3596 -rect 17455 3556 17500 3584 -rect 17494 3544 17500 3556 -rect 17552 3544 17558 3596 -rect 12805 3519 12863 3525 -rect 12805 3485 12817 3519 -rect 12851 3485 12863 3519 -rect 13446 3516 13452 3528 -rect 13407 3488 13452 3516 -rect 12805 3479 12863 3485 -rect 13446 3476 13452 3488 -rect 13504 3476 13510 3528 -rect 13722 3516 13728 3528 -rect 13683 3488 13728 3516 -rect 13722 3476 13728 3488 -rect 13780 3476 13786 3528 -rect 13814 3476 13820 3528 -rect 13872 3516 13878 3528 -rect 15749 3519 15807 3525 -rect 13872 3488 13917 3516 -rect 13872 3476 13878 3488 -rect 15749 3485 15761 3519 -rect 15795 3516 15807 3519 -rect 15838 3516 15844 3528 -rect 15795 3488 15844 3516 -rect 15795 3485 15807 3488 -rect 15749 3479 15807 3485 -rect 15838 3476 15844 3488 -rect 15896 3476 15902 3528 -rect 17512 3516 17540 3544 -rect 18233 3519 18291 3525 -rect 18233 3516 18245 3519 -rect 17512 3488 18245 3516 -rect 18233 3485 18245 3488 -rect 18279 3485 18291 3519 -rect 18233 3479 18291 3485 -rect 2041 3451 2099 3457 -rect 2041 3417 2053 3451 -rect 2087 3448 2099 3451 -rect 2608 3448 2636 3476 -rect 3602 3448 3608 3460 -rect 2087 3420 2636 3448 -rect 3160 3420 3608 3448 -rect 2087 3417 2099 3420 -rect 2041 3411 2099 3417 -rect 3160 3392 3188 3420 -rect 3602 3408 3608 3420 -rect 3660 3408 3666 3460 -rect 5258 3408 5264 3460 -rect 5316 3448 5322 3460 -rect 5629 3451 5687 3457 -rect 5629 3448 5641 3451 -rect 5316 3420 5641 3448 -rect 5316 3408 5322 3420 -rect 5629 3417 5641 3420 -rect 5675 3417 5687 3451 -rect 5629 3411 5687 3417 -rect 5813 3451 5871 3457 -rect 5813 3417 5825 3451 -rect 5859 3448 5871 3451 +rect 12894 3476 12900 3488 +rect 12952 3476 12958 3528 +rect 13081 3519 13139 3525 +rect 13081 3485 13093 3519 +rect 13127 3516 13139 3519 +rect 13127 3488 14136 3516 +rect 13127 3485 13139 3488 +rect 13081 3479 13139 3485 +rect 3237 3451 3295 3457 +rect 3237 3417 3249 3451 +rect 3283 3448 3295 3451 +rect 4430 3448 4436 3460 +rect 3283 3420 4436 3448 +rect 3283 3417 3295 3420 +rect 3237 3411 3295 3417 +rect 4430 3408 4436 3420 +rect 4488 3408 4494 3460 +rect 5077 3451 5135 3457 +rect 5077 3417 5089 3451 +rect 5123 3448 5135 3451 rect 7006 3448 7012 3460 -rect 5859 3420 7012 3448 -rect 5859 3417 5871 3420 -rect 5813 3411 5871 3417 +rect 5123 3420 7012 3448 +rect 5123 3417 5135 3420 +rect 5077 3411 5135 3417 rect 7006 3408 7012 3420 rect 7064 3408 7070 3460 -rect 8294 3448 8300 3460 -rect 7208 3420 8300 3448 -rect 1581 3383 1639 3389 -rect 1581 3349 1593 3383 -rect 1627 3349 1639 3383 -rect 1581 3343 1639 3349 -rect 2222 3340 2228 3392 -rect 2280 3380 2286 3392 -rect 2409 3383 2467 3389 -rect 2409 3380 2421 3383 -rect 2280 3352 2421 3380 -rect 2280 3340 2286 3352 -rect 2409 3349 2421 3352 -rect 2455 3349 2467 3383 -rect 3142 3380 3148 3392 -rect 3103 3352 3148 3380 -rect 2409 3343 2467 3349 -rect 3142 3340 3148 3352 -rect 3200 3340 3206 3392 -rect 3421 3383 3479 3389 -rect 3421 3349 3433 3383 -rect 3467 3380 3479 3383 -rect 3510 3380 3516 3392 -rect 3467 3352 3516 3380 -rect 3467 3349 3479 3352 -rect 3421 3343 3479 3349 -rect 3510 3340 3516 3352 -rect 3568 3340 3574 3392 -rect 5442 3340 5448 3392 -rect 5500 3380 5506 3392 -rect 7208 3380 7236 3420 -rect 8294 3408 8300 3420 -rect 8352 3408 8358 3460 -rect 5500 3352 7236 3380 -rect 7285 3383 7343 3389 -rect 5500 3340 5506 3352 -rect 7285 3349 7297 3383 -rect 7331 3380 7343 3383 -rect 7374 3380 7380 3392 -rect 7331 3352 7380 3380 -rect 7331 3349 7343 3352 -rect 7285 3343 7343 3349 -rect 7374 3340 7380 3352 -rect 7432 3340 7438 3392 -rect 7466 3340 7472 3392 -rect 7524 3380 7530 3392 -rect 8680 3380 8708 3476 -rect 9030 3408 9036 3460 -rect 9088 3448 9094 3460 -rect 9309 3451 9367 3457 -rect 9309 3448 9321 3451 -rect 9088 3420 9321 3448 -rect 9088 3408 9094 3420 -rect 9309 3417 9321 3420 -rect 9355 3417 9367 3451 -rect 9309 3411 9367 3417 -rect 9493 3451 9551 3457 -rect 9493 3417 9505 3451 -rect 9539 3448 9551 3451 -rect 9950 3448 9956 3460 -rect 9539 3420 9956 3448 -rect 9539 3417 9551 3420 -rect 9493 3411 9551 3417 -rect 9950 3408 9956 3420 -rect 10008 3448 10014 3460 -rect 10321 3451 10379 3457 -rect 10321 3448 10333 3451 -rect 10008 3420 10333 3448 -rect 10008 3408 10014 3420 -rect 10321 3417 10333 3420 -rect 10367 3417 10379 3451 -rect 10321 3411 10379 3417 -rect 10689 3451 10747 3457 -rect 10689 3417 10701 3451 -rect 10735 3448 10747 3451 -rect 10873 3451 10931 3457 -rect 10873 3448 10885 3451 -rect 10735 3420 10885 3448 -rect 10735 3417 10747 3420 -rect 10689 3411 10747 3417 -rect 10873 3417 10885 3420 -rect 10919 3448 10931 3451 -rect 11514 3448 11520 3460 -rect 10919 3420 11520 3448 -rect 10919 3417 10931 3420 -rect 10873 3411 10931 3417 -rect 11514 3408 11520 3420 -rect 11572 3408 11578 3460 -rect 11790 3408 11796 3460 -rect 11848 3448 11854 3460 -rect 14090 3457 14096 3460 -rect 13265 3451 13323 3457 -rect 13265 3448 13277 3451 -rect 11848 3420 13277 3448 -rect 11848 3408 11854 3420 -rect 13265 3417 13277 3420 -rect 13311 3417 13323 3451 -rect 14084 3448 14096 3457 -rect 14003 3420 14096 3448 -rect 13265 3411 13323 3417 -rect 14084 3411 14096 3420 -rect 14148 3448 14154 3460 -rect 14148 3420 15516 3448 -rect 14090 3408 14096 3411 -rect 14148 3408 14154 3420 -rect 7524 3352 8708 3380 -rect 9125 3383 9183 3389 -rect 7524 3340 7530 3352 -rect 9125 3349 9137 3383 -rect 9171 3380 9183 3383 -rect 9217 3383 9275 3389 -rect 9217 3380 9229 3383 -rect 9171 3352 9229 3380 -rect 9171 3349 9183 3352 -rect 9125 3343 9183 3349 -rect 9217 3349 9229 3352 -rect 9263 3349 9275 3383 -rect 9217 3343 9275 3349 -rect 9398 3340 9404 3392 -rect 9456 3380 9462 3392 -rect 9677 3383 9735 3389 -rect 9677 3380 9689 3383 -rect 9456 3352 9689 3380 -rect 9456 3340 9462 3352 -rect 9677 3349 9689 3352 -rect 9723 3349 9735 3383 -rect 9677 3343 9735 3349 -rect 9766 3340 9772 3392 -rect 9824 3380 9830 3392 -rect 10229 3383 10287 3389 -rect 10229 3380 10241 3383 -rect 9824 3352 10241 3380 -rect 9824 3340 9830 3352 -rect 10229 3349 10241 3352 -rect 10275 3349 10287 3383 -rect 11330 3380 11336 3392 -rect 11291 3352 11336 3380 -rect 10229 3343 10287 3349 -rect 11330 3340 11336 3352 -rect 11388 3340 11394 3392 +rect 7558 3408 7564 3460 +rect 7616 3448 7622 3460 +rect 8021 3451 8079 3457 +rect 7616 3420 7972 3448 +rect 7616 3408 7622 3420 +rect 2740 3352 3188 3380 +rect 6365 3383 6423 3389 +rect 2740 3340 2746 3352 +rect 6365 3349 6377 3383 +rect 6411 3380 6423 3383 +rect 6546 3380 6552 3392 +rect 6411 3352 6552 3380 +rect 6411 3349 6423 3352 +rect 6365 3343 6423 3349 +rect 6546 3340 6552 3352 +rect 6604 3340 6610 3392 +rect 6730 3380 6736 3392 +rect 6691 3352 6736 3380 +rect 6730 3340 6736 3352 +rect 6788 3340 6794 3392 +rect 7944 3380 7972 3420 +rect 8021 3417 8033 3451 +rect 8067 3448 8079 3451 +rect 8110 3448 8116 3460 +rect 8067 3420 8116 3448 +rect 8067 3417 8079 3420 +rect 8021 3411 8079 3417 +rect 8110 3408 8116 3420 +rect 8168 3408 8174 3460 +rect 8205 3451 8263 3457 +rect 8205 3417 8217 3451 +rect 8251 3417 8263 3451 +rect 8205 3411 8263 3417 +rect 8220 3380 8248 3411 +rect 9214 3408 9220 3460 +rect 9272 3408 9278 3460 +rect 12912 3448 12940 3476 +rect 13510 3451 13568 3457 +rect 13510 3448 13522 3451 +rect 12912 3420 13522 3448 +rect 13510 3417 13522 3420 +rect 13556 3417 13568 3451 +rect 13510 3411 13568 3417 +rect 11698 3380 11704 3392 +rect 7944 3352 11704 3380 +rect 11698 3340 11704 3352 +rect 11756 3340 11762 3392 +rect 12066 3340 12072 3392 +rect 12124 3380 12130 3392 rect 12253 3383 12311 3389 -rect 12253 3349 12265 3383 -rect 12299 3380 12311 3383 -rect 12526 3380 12532 3392 -rect 12299 3352 12532 3380 -rect 12299 3349 12311 3352 +rect 12253 3380 12265 3383 +rect 12124 3352 12265 3380 +rect 12124 3340 12130 3352 +rect 12253 3349 12265 3352 +rect 12299 3349 12311 3383 rect 12253 3343 12311 3349 -rect 12526 3340 12532 3352 -rect 12584 3340 12590 3392 -rect 12618 3340 12624 3392 -rect 12676 3380 12682 3392 -rect 15488 3380 15516 3420 -rect 16574 3408 16580 3460 -rect 16632 3408 16638 3460 -rect 18049 3451 18107 3457 -rect 18049 3448 18061 3451 -rect 17236 3420 18061 3448 -rect 17236 3380 17264 3420 -rect 18049 3417 18061 3420 -rect 18095 3417 18107 3451 -rect 18049 3411 18107 3417 -rect 12676 3352 12721 3380 -rect 15488 3352 17264 3380 -rect 12676 3340 12682 3352 +rect 12989 3383 13047 3389 +rect 12989 3349 13001 3383 +rect 13035 3380 13047 3383 +rect 13814 3380 13820 3392 +rect 13035 3352 13820 3380 +rect 13035 3349 13047 3352 +rect 12989 3343 13047 3349 +rect 13814 3340 13820 3352 +rect 13872 3340 13878 3392 +rect 14108 3380 14136 3488 +rect 14918 3476 14924 3528 +rect 14976 3516 14982 3528 +rect 15013 3519 15071 3525 +rect 15013 3516 15025 3519 +rect 14976 3488 15025 3516 +rect 14976 3476 14982 3488 +rect 15013 3485 15025 3488 +rect 15059 3485 15071 3519 +rect 15838 3516 15844 3528 +rect 15799 3488 15844 3516 +rect 15013 3479 15071 3485 +rect 15838 3476 15844 3488 +rect 15896 3476 15902 3528 +rect 18233 3519 18291 3525 +rect 18233 3485 18245 3519 +rect 18279 3516 18291 3519 +rect 18506 3516 18512 3528 +rect 18279 3488 18512 3516 +rect 18279 3485 18291 3488 +rect 18233 3479 18291 3485 +rect 18506 3476 18512 3488 +rect 18564 3476 18570 3528 +rect 15473 3451 15531 3457 +rect 15473 3417 15485 3451 +rect 15519 3448 15531 3451 +rect 16114 3448 16120 3460 +rect 15519 3420 15976 3448 +rect 16075 3420 16120 3448 +rect 15519 3417 15531 3420 +rect 15473 3411 15531 3417 +rect 14642 3380 14648 3392 +rect 14108 3352 14648 3380 +rect 14642 3340 14648 3352 +rect 14700 3340 14706 3392 +rect 14734 3340 14740 3392 +rect 14792 3380 14798 3392 +rect 15197 3383 15255 3389 +rect 15197 3380 15209 3383 +rect 14792 3352 15209 3380 +rect 14792 3340 14798 3352 +rect 15197 3349 15209 3352 +rect 15243 3349 15255 3383 +rect 15197 3343 15255 3349 +rect 15749 3383 15807 3389 +rect 15749 3349 15761 3383 +rect 15795 3380 15807 3383 +rect 15838 3380 15844 3392 +rect 15795 3352 15844 3380 +rect 15795 3349 15807 3352 +rect 15749 3343 15807 3349 +rect 15838 3340 15844 3352 +rect 15896 3340 15902 3392 +rect 15948 3380 15976 3420 +rect 16114 3408 16120 3420 +rect 16172 3408 16178 3460 +rect 16390 3408 16396 3460 +rect 16448 3448 16454 3460 +rect 16448 3420 16606 3448 +rect 16448 3408 16454 3420 +rect 17402 3408 17408 3460 +rect 17460 3448 17466 3460 +rect 17865 3451 17923 3457 +rect 17865 3448 17877 3451 +rect 17460 3420 17877 3448 +rect 17460 3408 17466 3420 +rect 17865 3417 17877 3420 +rect 17911 3417 17923 3451 +rect 17865 3411 17923 3417 +rect 16850 3380 16856 3392 +rect 15948 3352 16856 3380 +rect 16850 3340 16856 3352 +rect 16908 3340 16914 3392 rect 0 3290 18860 3312 rect 0 3238 4660 3290 rect 4712 3238 4724 3290 @@ -6959,444 +7291,442 @@ rect 17240 3238 17252 3290 rect 17304 3238 17316 3290 rect 17368 3238 18860 3290 rect 0 3216 18860 3238 -rect 1946 3176 1952 3188 -rect 1780 3148 1952 3176 -rect 1780 3094 1808 3148 -rect 1946 3136 1952 3148 -rect 2004 3176 2010 3188 -rect 2225 3179 2283 3185 -rect 2225 3176 2237 3179 -rect 2004 3148 2237 3176 -rect 2004 3136 2010 3148 -rect 2225 3145 2237 3148 -rect 2271 3176 2283 3179 -rect 2498 3176 2504 3188 -rect 2271 3148 2504 3176 -rect 2271 3145 2283 3148 -rect 2225 3139 2283 3145 -rect 2498 3136 2504 3148 -rect 2556 3136 2562 3188 -rect 3145 3179 3203 3185 -rect 3145 3145 3157 3179 -rect 3191 3176 3203 3179 -rect 3697 3179 3755 3185 -rect 3697 3176 3709 3179 -rect 3191 3148 3709 3176 -rect 3191 3145 3203 3148 -rect 3145 3139 3203 3145 -rect 3697 3145 3709 3148 -rect 3743 3145 3755 3179 -rect 3697 3139 3755 3145 -rect 5537 3179 5595 3185 -rect 5537 3145 5549 3179 -rect 5583 3176 5595 3179 -rect 5629 3179 5687 3185 -rect 5629 3176 5641 3179 -rect 5583 3148 5641 3176 -rect 5583 3145 5595 3148 -rect 5537 3139 5595 3145 -rect 5629 3145 5641 3148 -rect 5675 3145 5687 3179 -rect 5629 3139 5687 3145 -rect 5718 3136 5724 3188 -rect 5776 3176 5782 3188 -rect 6733 3179 6791 3185 -rect 5776 3148 6132 3176 -rect 5776 3136 5782 3148 -rect 5258 3108 5264 3120 -rect 5219 3080 5264 3108 -rect 5258 3068 5264 3080 -rect 5316 3068 5322 3120 -rect 5442 3108 5448 3120 -rect 5403 3080 5448 3108 -rect 5442 3068 5448 3080 -rect 5500 3068 5506 3120 -rect 6104 3108 6132 3148 -rect 6733 3145 6745 3179 -rect 6779 3176 6791 3179 -rect 7285 3179 7343 3185 -rect 7285 3176 7297 3179 -rect 6779 3148 7297 3176 -rect 6779 3145 6791 3148 -rect 6733 3139 6791 3145 -rect 7285 3145 7297 3148 -rect 7331 3145 7343 3179 -rect 7285 3139 7343 3145 -rect 7374 3136 7380 3188 -rect 7432 3176 7438 3188 -rect 7745 3179 7803 3185 -rect 7745 3176 7757 3179 -rect 7432 3148 7757 3176 -rect 7432 3136 7438 3148 -rect 7745 3145 7757 3148 -rect 7791 3176 7803 3179 -rect 8202 3176 8208 3188 -rect 7791 3148 8208 3176 -rect 7791 3145 7803 3148 -rect 7745 3139 7803 3145 -rect 8202 3136 8208 3148 -rect 8260 3176 8266 3188 -rect 8570 3176 8576 3188 -rect 8260 3148 8576 3176 -rect 8260 3136 8266 3148 -rect 8570 3136 8576 3148 -rect 8628 3136 8634 3188 -rect 8754 3136 8760 3188 -rect 8812 3176 8818 3188 -rect 9950 3176 9956 3188 -rect 8812 3148 9956 3176 -rect 8812 3136 8818 3148 -rect 9950 3136 9956 3148 -rect 10008 3136 10014 3188 -rect 10410 3176 10416 3188 -rect 10371 3148 10416 3176 -rect 10410 3136 10416 3148 -rect 10468 3136 10474 3188 -rect 11790 3176 11796 3188 -rect 10704 3148 11796 3176 -rect 6825 3111 6883 3117 -rect 6825 3108 6837 3111 -rect 6104 3080 6837 3108 -rect 6825 3077 6837 3080 -rect 6871 3108 6883 3111 -rect 6914 3108 6920 3120 -rect 6871 3080 6920 3108 -rect 6871 3077 6883 3080 -rect 6825 3071 6883 3077 -rect 6914 3068 6920 3080 -rect 6972 3068 6978 3120 -rect 7190 3068 7196 3120 -rect 7248 3108 7254 3120 -rect 8938 3108 8944 3120 -rect 7248 3080 8944 3108 -rect 7248 3068 7254 3080 -rect 8938 3068 8944 3080 -rect 8996 3068 9002 3120 -rect 10060 3080 10364 3108 -rect 290 3040 296 3052 -rect 251 3012 296 3040 -rect 290 3000 296 3012 -rect 348 3000 354 3052 -rect 2038 3000 2044 3052 -rect 2096 3040 2102 3052 -rect 2777 3043 2835 3049 -rect 2777 3040 2789 3043 -rect 2096 3012 2789 3040 -rect 2096 3000 2102 3012 -rect 2777 3009 2789 3012 -rect 2823 3009 2835 3043 -rect 2777 3003 2835 3009 -rect 3605 3043 3663 3049 -rect 3605 3009 3617 3043 -rect 3651 3040 3663 3043 -rect 5537 3043 5595 3049 -rect 3651 3012 5488 3040 -rect 3651 3009 3663 3012 -rect 3605 3003 3663 3009 +rect 1302 3176 1308 3188 +rect 308 3148 1308 3176 +rect 308 3049 336 3148 +rect 1302 3136 1308 3148 +rect 1360 3176 1366 3188 +rect 6086 3176 6092 3188 +rect 1360 3148 6092 3176 +rect 1360 3136 1366 3148 +rect 1854 3108 1860 3120 +rect 1767 3080 1860 3108 +rect 1854 3068 1860 3080 +rect 1912 3108 1918 3120 +rect 2317 3111 2375 3117 +rect 2317 3108 2329 3111 +rect 1912 3080 2329 3108 +rect 1912 3068 1918 3080 +rect 2317 3077 2329 3080 +rect 2363 3077 2375 3111 +rect 2317 3071 2375 3077 +rect 2682 3068 2688 3120 +rect 2740 3108 2746 3120 +rect 2869 3111 2927 3117 +rect 2869 3108 2881 3111 +rect 2740 3080 2881 3108 +rect 2740 3068 2746 3080 +rect 2869 3077 2881 3080 +rect 2915 3077 2927 3111 +rect 2869 3071 2927 3077 +rect 293 3043 351 3049 +rect 293 3009 305 3043 +rect 339 3009 351 3043 +rect 293 3003 351 3009 rect 566 2972 572 2984 rect 527 2944 572 2972 rect 566 2932 572 2944 rect 624 2932 630 2984 -rect 2590 2932 2596 2984 -rect 2648 2972 2654 2984 -rect 2685 2975 2743 2981 -rect 2685 2972 2697 2975 -rect 2648 2944 2697 2972 -rect 2648 2932 2654 2944 -rect 2685 2941 2697 2944 -rect 2731 2941 2743 2975 -rect 2685 2935 2743 2941 -rect 3142 2932 3148 2984 -rect 3200 2972 3206 2984 -rect 3789 2975 3847 2981 -rect 3789 2972 3801 2975 -rect 3200 2944 3801 2972 -rect 3200 2932 3206 2944 -rect 3789 2941 3801 2944 -rect 3835 2941 3847 2975 -rect 5460 2972 5488 3012 -rect 5537 3009 5549 3043 -rect 5583 3040 5595 3043 -rect 5902 3040 5908 3052 -rect 5583 3012 5908 3040 -rect 5583 3009 5595 3012 -rect 5537 3003 5595 3009 -rect 5902 3000 5908 3012 -rect 5960 3040 5966 3052 -rect 7208 3040 7236 3068 -rect 5960 3012 7236 3040 -rect 7653 3043 7711 3049 -rect 5960 3000 5966 3012 -rect 7653 3009 7665 3043 -rect 7699 3040 7711 3043 -rect 8113 3043 8171 3049 -rect 8113 3040 8125 3043 -rect 7699 3012 8125 3040 -rect 7699 3009 7711 3012 -rect 7653 3003 7711 3009 -rect 8113 3009 8125 3012 -rect 8159 3009 8171 3043 -rect 8294 3040 8300 3052 -rect 8255 3012 8300 3040 -rect 8113 3003 8171 3009 -rect 8294 3000 8300 3012 -rect 8352 3040 8358 3052 +rect 2038 2972 2044 2984 +rect 1951 2944 2044 2972 +rect 2038 2932 2044 2944 +rect 2096 2972 2102 2984 +rect 2700 2972 2728 3068 +rect 5184 3052 5212 3148 +rect 6086 3136 6092 3148 +rect 6144 3136 6150 3188 +rect 8110 3136 8116 3188 +rect 8168 3176 8174 3188 +rect 8297 3179 8355 3185 +rect 8297 3176 8309 3179 +rect 8168 3148 8309 3176 +rect 8168 3136 8174 3148 +rect 8297 3145 8309 3148 +rect 8343 3145 8355 3179 +rect 8297 3139 8355 3145 +rect 8386 3136 8392 3188 +rect 8444 3176 8450 3188 +rect 8573 3179 8631 3185 +rect 8573 3176 8585 3179 +rect 8444 3148 8585 3176 +rect 8444 3136 8450 3148 +rect 8573 3145 8585 3148 +rect 8619 3145 8631 3179 +rect 15010 3176 15016 3188 +rect 8573 3139 8631 3145 +rect 8864 3148 14320 3176 +rect 7006 3108 7012 3120 +rect 6670 3080 7012 3108 +rect 7006 3068 7012 3080 +rect 7064 3068 7070 3120 +rect 7300 3080 8064 3108 +rect 3694 3040 3700 3052 +rect 3655 3012 3700 3040 +rect 3694 3000 3700 3012 +rect 3752 3000 3758 3052 +rect 3789 3043 3847 3049 +rect 3789 3009 3801 3043 +rect 3835 3040 3847 3043 +rect 4154 3040 4160 3052 +rect 3835 3012 4160 3040 +rect 3835 3009 3847 3012 +rect 3789 3003 3847 3009 +rect 4154 3000 4160 3012 +rect 4212 3000 4218 3052 +rect 4338 3040 4344 3052 +rect 4299 3012 4344 3040 +rect 4338 3000 4344 3012 +rect 4396 3000 4402 3052 +rect 4522 3000 4528 3052 +rect 4580 3040 4586 3052 +rect 4617 3043 4675 3049 +rect 4617 3040 4629 3043 +rect 4580 3012 4629 3040 +rect 4580 3000 4586 3012 +rect 4617 3009 4629 3012 +rect 4663 3009 4675 3043 +rect 4617 3003 4675 3009 +rect 4893 3043 4951 3049 +rect 4893 3009 4905 3043 +rect 4939 3009 4951 3043 +rect 5166 3040 5172 3052 +rect 5079 3012 5172 3040 +rect 4893 3003 4951 3009 +rect 2096 2944 2728 2972 +rect 2961 2975 3019 2981 +rect 2096 2932 2102 2944 +rect 2961 2941 2973 2975 +rect 3007 2941 3019 2975 +rect 2961 2935 3019 2941 +rect 3145 2975 3203 2981 +rect 3145 2941 3157 2975 +rect 3191 2972 3203 2975 +rect 3602 2972 3608 2984 +rect 3191 2944 3608 2972 +rect 3191 2941 3203 2944 +rect 3145 2935 3203 2941 +rect 2501 2907 2559 2913 +rect 2501 2904 2513 2907 +rect 1596 2876 2513 2904 +rect 934 2796 940 2848 +rect 992 2836 998 2848 +rect 1596 2836 1624 2876 +rect 2501 2873 2513 2876 +rect 2547 2873 2559 2907 +rect 2976 2904 3004 2935 +rect 3602 2932 3608 2944 +rect 3660 2932 3666 2984 +rect 3970 2972 3976 2984 +rect 3931 2944 3976 2972 +rect 3970 2932 3976 2944 +rect 4028 2932 4034 2984 +rect 4908 2972 4936 3003 +rect 5166 3000 5172 3012 +rect 5224 3000 5230 3052 +rect 7300 3049 7328 3080 +rect 8036 3052 8064 3080 +rect 7285 3043 7343 3049 +rect 7285 3009 7297 3043 +rect 7331 3009 7343 3043 +rect 7285 3003 7343 3009 +rect 7377 3043 7435 3049 +rect 7377 3009 7389 3043 +rect 7423 3009 7435 3043 +rect 7558 3040 7564 3052 +rect 7519 3012 7564 3040 +rect 7377 3003 7435 3009 +rect 5445 2975 5503 2981 +rect 4908 2944 5304 2972 +rect 3329 2907 3387 2913 +rect 3329 2904 3341 2907 +rect 2976 2876 3341 2904 +rect 2501 2867 2559 2873 +rect 3329 2873 3341 2876 +rect 3375 2873 3387 2907 +rect 3329 2867 3387 2873 +rect 992 2808 1624 2836 +rect 2225 2839 2283 2845 +rect 992 2796 998 2808 +rect 2225 2805 2237 2839 +rect 2271 2836 2283 2839 +rect 2317 2839 2375 2845 +rect 2317 2836 2329 2839 +rect 2271 2808 2329 2836 +rect 2271 2805 2283 2808 +rect 2225 2799 2283 2805 +rect 2317 2805 2329 2808 +rect 2363 2836 2375 2839 +rect 2590 2836 2596 2848 +rect 2363 2808 2596 2836 +rect 2363 2805 2375 2808 +rect 2317 2799 2375 2805 +rect 2590 2796 2596 2808 +rect 2648 2796 2654 2848 +rect 4522 2836 4528 2848 +rect 4483 2808 4528 2836 +rect 4522 2796 4528 2808 +rect 4580 2796 4586 2848 +rect 5074 2836 5080 2848 +rect 5035 2808 5080 2836 +rect 5074 2796 5080 2808 +rect 5132 2796 5138 2848 +rect 5276 2836 5304 2944 +rect 5445 2941 5457 2975 +rect 5491 2972 5503 2975 +rect 6086 2972 6092 2984 +rect 5491 2944 6092 2972 +rect 5491 2941 5503 2944 +rect 5445 2935 5503 2941 +rect 6086 2932 6092 2944 +rect 6144 2932 6150 2984 +rect 6914 2972 6920 2984 +rect 6827 2944 6920 2972 +rect 6914 2932 6920 2944 +rect 6972 2972 6978 2984 +rect 7392 2972 7420 3003 +rect 7558 3000 7564 3012 +rect 7616 3000 7622 3052 +rect 8018 3040 8024 3052 +rect 7979 3012 8024 3040 +rect 8018 3000 8024 3012 +rect 8076 3000 8082 3052 +rect 8205 3043 8263 3049 +rect 8205 3009 8217 3043 +rect 8251 3040 8263 3043 +rect 8662 3040 8668 3052 +rect 8251 3012 8668 3040 +rect 8251 3009 8263 3012 +rect 8205 3003 8263 3009 +rect 8662 3000 8668 3012 +rect 8720 3000 8726 3052 +rect 8864 3049 8892 3148 +rect 11885 3111 11943 3117 +rect 11885 3077 11897 3111 +rect 11931 3108 11943 3111 +rect 11931 3080 12204 3108 +rect 11931 3077 11943 3080 +rect 11885 3071 11943 3077 +rect 8757 3043 8815 3049 +rect 8757 3009 8769 3043 +rect 8803 3009 8815 3043 +rect 8757 3003 8815 3009 rect 8849 3043 8907 3049 -rect 8849 3040 8861 3043 -rect 8352 3012 8861 3040 -rect 8352 3000 8358 3012 -rect 8849 3009 8861 3012 +rect 8849 3009 8861 3043 rect 8895 3009 8907 3043 rect 8849 3003 8907 3009 -rect 9033 3043 9091 3049 -rect 9033 3009 9045 3043 -rect 9079 3040 9091 3043 -rect 9398 3040 9404 3052 -rect 9079 3012 9404 3040 -rect 9079 3009 9091 3012 -rect 9033 3003 9091 3009 -rect 9398 3000 9404 3012 -rect 9456 3000 9462 3052 -rect 10060 3049 10088 3080 -rect 10045 3043 10103 3049 -rect 10045 3040 10057 3043 -rect 9646 3012 10057 3040 -rect 5997 2975 6055 2981 -rect 5460 2944 5948 2972 -rect 3789 2935 3847 2941 -rect 5920 2916 5948 2944 -rect 5997 2941 6009 2975 -rect 6043 2941 6055 2975 -rect 5997 2935 6055 2941 -rect 2866 2864 2872 2916 -rect 2924 2904 2930 2916 -rect 3237 2907 3295 2913 -rect 3237 2904 3249 2907 -rect 2924 2876 3249 2904 -rect 2924 2864 2930 2876 -rect 3237 2873 3249 2876 -rect 3283 2873 3295 2907 -rect 3237 2867 3295 2873 -rect 3510 2864 3516 2916 -rect 3568 2904 3574 2916 -rect 5718 2904 5724 2916 -rect 3568 2876 5724 2904 -rect 3568 2864 3574 2876 -rect 5718 2864 5724 2876 -rect 5776 2864 5782 2916 -rect 5902 2864 5908 2916 -rect 5960 2864 5966 2916 -rect 6012 2904 6040 2935 -rect 6086 2932 6092 2984 -rect 6144 2972 6150 2984 -rect 6144 2944 6776 2972 -rect 6144 2932 6150 2944 -rect 6365 2907 6423 2913 -rect 6365 2904 6377 2907 -rect 6012 2876 6377 2904 -rect 6365 2873 6377 2876 -rect 6411 2873 6423 2907 -rect 6748 2904 6776 2944 -rect 6822 2932 6828 2984 -rect 6880 2972 6886 2984 -rect 7009 2975 7067 2981 -rect 7009 2972 7021 2975 -rect 6880 2944 7021 2972 -rect 6880 2932 6886 2944 -rect 7009 2941 7021 2944 -rect 7055 2972 7067 2975 -rect 7929 2975 7987 2981 -rect 7055 2944 7880 2972 -rect 7055 2941 7067 2944 -rect 7009 2935 7067 2941 -rect 6748 2876 6868 2904 -rect 6365 2867 6423 2873 -rect 6840 2848 6868 2876 -rect 2038 2836 2044 2848 -rect 1999 2808 2044 2836 -rect 2038 2796 2044 2808 -rect 2096 2796 2102 2848 -rect 5626 2796 5632 2848 -rect 5684 2836 5690 2848 -rect 6273 2839 6331 2845 -rect 6273 2836 6285 2839 -rect 5684 2808 6285 2836 -rect 5684 2796 5690 2808 -rect 6273 2805 6285 2808 -rect 6319 2805 6331 2839 -rect 6273 2799 6331 2805 -rect 6822 2796 6828 2848 -rect 6880 2836 6886 2848 -rect 7466 2836 7472 2848 -rect 6880 2808 7472 2836 -rect 6880 2796 6886 2808 -rect 7466 2796 7472 2808 -rect 7524 2796 7530 2848 -rect 7852 2836 7880 2944 -rect 7929 2941 7941 2975 -rect 7975 2941 7987 2975 -rect 7929 2935 7987 2941 -rect 8941 2975 8999 2981 -rect 8941 2941 8953 2975 -rect 8987 2972 8999 2975 -rect 9646 2972 9674 3012 -rect 10045 3009 10057 3012 -rect 10091 3009 10103 3043 -rect 10226 3040 10232 3052 -rect 10187 3012 10232 3040 -rect 10045 3003 10103 3009 -rect 10226 3000 10232 3012 -rect 10284 3000 10290 3052 -rect 8987 2944 9674 2972 -rect 8987 2941 8999 2944 -rect 8941 2935 8999 2941 -rect 7944 2904 7972 2935 -rect 10244 2904 10272 3000 -rect 7944 2876 10272 2904 -rect 10336 2904 10364 3080 -rect 10594 3040 10600 3052 -rect 10555 3012 10600 3040 -rect 10594 3000 10600 3012 -rect 10652 3000 10658 3052 -rect 10704 3049 10732 3148 -rect 11790 3136 11796 3148 -rect 11848 3136 11854 3188 -rect 11882 3136 11888 3188 -rect 11940 3176 11946 3188 -rect 12069 3179 12127 3185 -rect 12069 3176 12081 3179 -rect 11940 3148 12081 3176 -rect 11940 3136 11946 3148 -rect 12069 3145 12081 3148 -rect 12115 3145 12127 3179 -rect 12069 3139 12127 3145 -rect 13538 3136 13544 3188 -rect 13596 3176 13602 3188 -rect 13596 3148 15148 3176 -rect 13596 3136 13602 3148 -rect 11330 3068 11336 3120 -rect 11388 3108 11394 3120 -rect 12529 3111 12587 3117 -rect 11388 3080 11928 3108 -rect 11388 3068 11394 3080 -rect 10689 3043 10747 3049 -rect 10689 3009 10701 3043 -rect 10735 3009 10747 3043 -rect 10689 3003 10747 3009 -rect 10778 3000 10784 3052 -rect 10836 3040 10842 3052 -rect 11146 3040 11152 3052 -rect 10836 3012 10881 3040 -rect 11107 3012 11152 3040 -rect 10836 3000 10842 3012 -rect 11146 3000 11152 3012 -rect 11204 3000 11210 3052 -rect 11422 3040 11428 3052 -rect 11383 3012 11428 3040 -rect 11422 3000 11428 3012 -rect 11480 3000 11486 3052 -rect 11900 3049 11928 3080 -rect 12529 3077 12541 3111 -rect 12575 3108 12587 3111 -rect 12618 3108 12624 3120 -rect 12575 3080 12624 3108 -rect 12575 3077 12587 3080 -rect 12529 3071 12587 3077 -rect 12618 3068 12624 3080 -rect 12676 3068 12682 3120 -rect 14550 3068 14556 3120 -rect 14608 3108 14614 3120 -rect 14706 3111 14764 3117 -rect 14706 3108 14718 3111 -rect 14608 3080 14718 3108 -rect 14608 3068 14614 3080 -rect 14706 3077 14718 3080 -rect 14752 3077 14764 3111 -rect 14706 3071 14764 3077 -rect 11885 3043 11943 3049 -rect 11885 3009 11897 3043 -rect 11931 3009 11943 3043 -rect 12250 3040 12256 3052 -rect 12211 3012 12256 3040 -rect 11885 3003 11943 3009 -rect 12250 3000 12256 3012 -rect 12308 3000 12314 3052 -rect 13630 3000 13636 3052 -rect 13688 3000 13694 3052 +rect 6972 2944 7420 2972 +rect 8481 2975 8539 2981 +rect 6972 2932 6978 2944 +rect 8481 2941 8493 2975 +rect 8527 2972 8539 2975 +rect 8772 2972 8800 3003 +rect 8938 3000 8944 3052 +rect 8996 3040 9002 3052 +rect 9861 3043 9919 3049 +rect 8996 3012 9041 3040 +rect 8996 3000 9002 3012 +rect 9861 3009 9873 3043 +rect 9907 3040 9919 3043 +rect 10042 3040 10048 3052 +rect 9907 3012 10048 3040 +rect 9907 3009 9919 3012 +rect 9861 3003 9919 3009 +rect 10042 3000 10048 3012 +rect 10100 3000 10106 3052 +rect 12066 3040 12072 3052 +rect 12027 3012 12072 3040 +rect 12066 3000 12072 3012 +rect 12124 3000 12130 3052 +rect 12176 3040 12204 3080 +rect 13446 3068 13452 3120 +rect 13504 3068 13510 3120 +rect 12526 3040 12532 3052 +rect 12176 3012 12532 3040 +rect 12526 3000 12532 3012 +rect 12584 3000 12590 3052 +rect 9769 2975 9827 2981 +rect 9769 2972 9781 2975 +rect 8527 2944 9781 2972 +rect 8527 2941 8539 2944 +rect 8481 2935 8539 2941 +rect 9769 2941 9781 2944 +rect 9815 2941 9827 2975 +rect 9769 2935 9827 2941 +rect 12437 2975 12495 2981 +rect 12437 2941 12449 2975 +rect 12483 2972 12495 2975 +rect 12802 2972 12808 2984 +rect 12483 2944 12808 2972 +rect 12483 2941 12495 2944 +rect 12437 2935 12495 2941 +rect 12802 2932 12808 2944 +rect 12860 2932 12866 2984 +rect 12986 2932 12992 2984 +rect 13044 2972 13050 2984 +rect 13464 2972 13492 3068 rect 13814 3000 13820 3052 rect 13872 3040 13878 3052 -rect 14458 3040 14464 3052 -rect 13872 3012 14464 3040 +rect 14001 3043 14059 3049 +rect 14001 3040 14013 3043 +rect 13872 3012 14013 3040 rect 13872 3000 13878 3012 -rect 14458 3000 14464 3012 -rect 14516 3000 14522 3052 -rect 15120 3040 15148 3148 -rect 15378 3136 15384 3188 -rect 15436 3176 15442 3188 -rect 15841 3179 15899 3185 -rect 15841 3176 15853 3179 -rect 15436 3148 15853 3176 -rect 15436 3136 15442 3148 -rect 15841 3145 15853 3148 -rect 15887 3145 15899 3179 -rect 15841 3139 15899 3145 -rect 15930 3136 15936 3188 -rect 15988 3176 15994 3188 -rect 15988 3148 16033 3176 -rect 15988 3136 15994 3148 -rect 15194 3068 15200 3120 -rect 15252 3108 15258 3120 -rect 16482 3108 16488 3120 -rect 15252 3080 16488 3108 -rect 15252 3068 15258 3080 -rect 16482 3068 16488 3080 -rect 16540 3068 16546 3120 -rect 16393 3043 16451 3049 -rect 16393 3040 16405 3043 -rect 15120 3012 16405 3040 -rect 16393 3009 16405 3012 -rect 16439 3040 16451 3043 -rect 17494 3040 17500 3052 -rect 16439 3012 17500 3040 -rect 16439 3009 16451 3012 -rect 16393 3003 16451 3009 -rect 17494 3000 17500 3012 -rect 17552 3040 17558 3052 -rect 17862 3040 17868 3052 -rect 17552 3012 17868 3040 -rect 17552 3000 17558 3012 -rect 17862 3000 17868 3012 -rect 17920 3000 17926 3052 -rect 11698 2972 11704 2984 -rect 11659 2944 11704 2972 -rect 11698 2932 11704 2944 -rect 11756 2932 11762 2984 -rect 10778 2904 10784 2916 -rect 10336 2876 10784 2904 -rect 10778 2864 10784 2876 -rect 10836 2864 10842 2916 -rect 9858 2836 9864 2848 -rect 7852 2808 9864 2836 -rect 9858 2796 9864 2808 -rect 9916 2796 9922 2848 -rect 12268 2836 12296 3000 -rect 14274 2972 14280 2984 -rect 14235 2944 14280 2972 -rect 14274 2932 14280 2944 -rect 14332 2932 14338 2984 -rect 13814 2836 13820 2848 -rect 12268 2808 13820 2836 -rect 13814 2796 13820 2808 -rect 13872 2796 13878 2848 -rect 15102 2796 15108 2848 -rect 15160 2836 15166 2848 -rect 16117 2839 16175 2845 -rect 16117 2836 16129 2839 -rect 15160 2808 16129 2836 -rect 15160 2796 15166 2808 -rect 16117 2805 16129 2808 -rect 16163 2805 16175 2839 -rect 16117 2799 16175 2805 +rect 14001 3009 14013 3012 +rect 14047 3009 14059 3043 +rect 14001 3003 14059 3009 +rect 14292 2981 14320 3148 +rect 14936 3148 15016 3176 +rect 14642 3040 14648 3052 +rect 14603 3012 14648 3040 +rect 14642 3000 14648 3012 +rect 14700 3000 14706 3052 +rect 14826 3040 14832 3052 +rect 14787 3012 14832 3040 +rect 14826 3000 14832 3012 +rect 14884 3000 14890 3052 +rect 14936 3049 14964 3148 +rect 15010 3136 15016 3148 +rect 15068 3136 15074 3188 +rect 16114 3136 16120 3188 +rect 16172 3176 16178 3188 +rect 16669 3179 16727 3185 +rect 16669 3176 16681 3179 +rect 16172 3148 16681 3176 +rect 16172 3136 16178 3148 +rect 16669 3145 16681 3148 +rect 16715 3145 16727 3179 +rect 16669 3139 16727 3145 +rect 15838 3068 15844 3120 +rect 15896 3068 15902 3120 +rect 14921 3043 14979 3049 +rect 14921 3009 14933 3043 +rect 14967 3009 14979 3043 +rect 14921 3003 14979 3009 +rect 16850 3000 16856 3052 +rect 16908 3040 16914 3052 +rect 17037 3043 17095 3049 +rect 17037 3040 17049 3043 +rect 16908 3012 17049 3040 +rect 16908 3000 16914 3012 +rect 17037 3009 17049 3012 +rect 17083 3040 17095 3043 +rect 17589 3043 17647 3049 +rect 17589 3040 17601 3043 +rect 17083 3012 17601 3040 +rect 17083 3009 17095 3012 +rect 17037 3003 17095 3009 +rect 17589 3009 17601 3012 +rect 17635 3040 17647 3043 +rect 17770 3040 17776 3052 +rect 17635 3012 17776 3040 +rect 17635 3009 17647 3012 +rect 17589 3003 17647 3009 +rect 17770 3000 17776 3012 +rect 17828 3000 17834 3052 +rect 13044 2944 13492 2972 +rect 14277 2975 14335 2981 +rect 13044 2932 13050 2944 +rect 14277 2941 14289 2975 +rect 14323 2972 14335 2975 +rect 14734 2972 14740 2984 +rect 14323 2944 14740 2972 +rect 14323 2941 14335 2944 +rect 14277 2935 14335 2941 +rect 14734 2932 14740 2944 +rect 14792 2932 14798 2984 +rect 15197 2975 15255 2981 +rect 15197 2941 15209 2975 +rect 15243 2972 15255 2975 +rect 17678 2972 17684 2984 +rect 15243 2944 17684 2972 +rect 15243 2941 15255 2944 +rect 15197 2935 15255 2941 +rect 17678 2932 17684 2944 +rect 17736 2932 17742 2984 +rect 6822 2864 6828 2916 +rect 6880 2904 6886 2916 +rect 7285 2907 7343 2913 +rect 7285 2904 7297 2907 +rect 6880 2876 7297 2904 +rect 6880 2864 6886 2876 +rect 7285 2873 7297 2876 +rect 7331 2873 7343 2907 +rect 7285 2867 7343 2873 +rect 8662 2864 8668 2916 +rect 8720 2904 8726 2916 +rect 8938 2904 8944 2916 +rect 8720 2876 8944 2904 +rect 8720 2864 8726 2876 +rect 8938 2864 8944 2876 +rect 8996 2864 9002 2916 +rect 14093 2907 14151 2913 +rect 14093 2873 14105 2907 +rect 14139 2904 14151 2907 +rect 14461 2907 14519 2913 +rect 14461 2904 14473 2907 +rect 14139 2876 14473 2904 +rect 14139 2873 14151 2876 +rect 14093 2867 14151 2873 +rect 14461 2873 14473 2876 +rect 14507 2873 14519 2907 +rect 14461 2867 14519 2873 +rect 16390 2864 16396 2916 +rect 16448 2904 16454 2916 +rect 16853 2907 16911 2913 +rect 16853 2904 16865 2907 +rect 16448 2876 16865 2904 +rect 16448 2864 16454 2876 +rect 16853 2873 16865 2876 +rect 16899 2873 16911 2907 +rect 16853 2867 16911 2873 +rect 17497 2907 17555 2913 +rect 17497 2873 17509 2907 +rect 17543 2904 17555 2907 +rect 18138 2904 18144 2916 +rect 17543 2876 18144 2904 +rect 17543 2873 17555 2876 +rect 17497 2867 17555 2873 +rect 18138 2864 18144 2876 +rect 18196 2864 18202 2916 +rect 7190 2836 7196 2848 +rect 5276 2808 7196 2836 +rect 7190 2796 7196 2808 +rect 7248 2796 7254 2848 +rect 11790 2796 11796 2848 +rect 11848 2836 11854 2848 +rect 11974 2836 11980 2848 +rect 11848 2808 11980 2836 +rect 11848 2796 11854 2808 +rect 11974 2796 11980 2808 +rect 12032 2836 12038 2848 +rect 13863 2839 13921 2845 +rect 13863 2836 13875 2839 +rect 12032 2808 13875 2836 +rect 12032 2796 12038 2808 +rect 13863 2805 13875 2808 +rect 13909 2805 13921 2839 +rect 13863 2799 13921 2805 +rect 14185 2839 14243 2845 +rect 14185 2805 14197 2839 +rect 14231 2836 14243 2839 +rect 14366 2836 14372 2848 +rect 14231 2808 14372 2836 +rect 14231 2805 14243 2808 +rect 14185 2799 14243 2805 +rect 14366 2796 14372 2808 +rect 14424 2796 14430 2848 +rect 17126 2836 17132 2848 +rect 17087 2808 17132 2836 +rect 17126 2796 17132 2808 +rect 17184 2796 17190 2848 +rect 17862 2796 17868 2848 +rect 17920 2836 17926 2848 +rect 17957 2839 18015 2845 +rect 17957 2836 17969 2839 +rect 17920 2808 17969 2836 +rect 17920 2796 17926 2808 +rect 17957 2805 17969 2808 +rect 18003 2805 18015 2839 +rect 17957 2799 18015 2805 rect 0 2746 18860 2768 rect 0 2694 3110 2746 rect 3162 2694 3174 2746 @@ -7434,378 +7764,511 @@ rect 624 2592 630 2604 rect 753 2601 765 2604 rect 799 2601 811 2635 rect 753 2595 811 2601 -rect 2774 2592 2780 2644 -rect 2832 2632 2838 2644 -rect 3145 2635 3203 2641 -rect 2832 2604 2877 2632 -rect 2832 2592 2838 2604 -rect 3145 2601 3157 2635 -rect 3191 2632 3203 2635 -rect 3329 2635 3387 2641 -rect 3329 2632 3341 2635 -rect 3191 2604 3341 2632 -rect 3191 2601 3203 2604 -rect 3145 2595 3203 2601 -rect 3329 2601 3341 2604 -rect 3375 2632 3387 2635 -rect 3510 2632 3516 2644 -rect 3375 2604 3516 2632 -rect 3375 2601 3387 2604 -rect 3329 2595 3387 2601 -rect 3510 2592 3516 2604 -rect 3568 2592 3574 2644 -rect 4157 2635 4215 2641 -rect 4157 2601 4169 2635 -rect 4203 2632 4215 2635 -rect 5442 2632 5448 2644 -rect 4203 2604 5448 2632 -rect 4203 2601 4215 2604 -rect 4157 2595 4215 2601 -rect 5442 2592 5448 2604 -rect 5500 2592 5506 2644 -rect 7101 2635 7159 2641 -rect 7101 2601 7113 2635 -rect 7147 2632 7159 2635 -rect 7190 2632 7196 2644 -rect 7147 2604 7196 2632 -rect 7147 2601 7159 2604 -rect 7101 2595 7159 2601 -rect 7190 2592 7196 2604 -rect 7248 2592 7254 2644 -rect 11057 2635 11115 2641 -rect 11057 2601 11069 2635 -rect 11103 2632 11115 2635 -rect 11238 2632 11244 2644 -rect 11103 2604 11244 2632 -rect 11103 2601 11115 2604 -rect 11057 2595 11115 2601 -rect 11238 2592 11244 2604 -rect 11296 2592 11302 2644 -rect 2593 2567 2651 2573 -rect 2593 2564 2605 2567 -rect 2056 2536 2605 2564 -rect 2056 2508 2084 2536 -rect 2593 2533 2605 2536 -rect 2639 2533 2651 2567 -rect 8754 2564 8760 2576 -rect 8715 2536 8760 2564 -rect 2593 2527 2651 2533 -rect 2038 2496 2044 2508 -rect 1999 2468 2044 2496 -rect 2038 2456 2044 2468 -rect 2096 2456 2102 2508 +rect 2409 2635 2467 2641 +rect 2409 2601 2421 2635 +rect 2455 2632 2467 2635 +rect 3694 2632 3700 2644 +rect 2455 2604 3700 2632 +rect 2455 2601 2467 2604 +rect 2409 2595 2467 2601 +rect 3694 2592 3700 2604 +rect 3752 2592 3758 2644 +rect 3789 2635 3847 2641 +rect 3789 2601 3801 2635 +rect 3835 2632 3847 2635 +rect 3878 2632 3884 2644 +rect 3835 2604 3884 2632 +rect 3835 2601 3847 2604 +rect 3789 2595 3847 2601 +rect 3878 2592 3884 2604 +rect 3936 2592 3942 2644 +rect 4522 2592 4528 2644 +rect 4580 2592 4586 2644 +rect 4706 2592 4712 2644 +rect 4764 2632 4770 2644 +rect 5350 2632 5356 2644 +rect 4764 2604 5356 2632 +rect 4764 2592 4770 2604 +rect 5350 2592 5356 2604 +rect 5408 2592 5414 2644 +rect 6086 2632 6092 2644 +rect 6047 2604 6092 2632 +rect 6086 2592 6092 2604 +rect 6144 2592 6150 2644 +rect 6730 2592 6736 2644 +rect 6788 2632 6794 2644 +rect 6825 2635 6883 2641 +rect 6825 2632 6837 2635 +rect 6788 2604 6837 2632 +rect 6788 2592 6794 2604 +rect 6825 2601 6837 2604 +rect 6871 2601 6883 2635 +rect 8481 2635 8539 2641 +rect 8481 2632 8493 2635 +rect 6825 2595 6883 2601 +rect 6932 2604 8493 2632 +rect 3510 2524 3516 2576 +rect 3568 2564 3574 2576 +rect 4540 2564 4568 2592 +rect 6932 2564 6960 2604 +rect 8481 2601 8493 2604 +rect 8527 2601 8539 2635 +rect 8481 2595 8539 2601 +rect 8846 2592 8852 2644 +rect 8904 2632 8910 2644 +rect 9585 2635 9643 2641 +rect 9585 2632 9597 2635 +rect 8904 2604 9597 2632 +rect 8904 2592 8910 2604 +rect 9585 2601 9597 2604 +rect 9631 2601 9643 2635 +rect 9585 2595 9643 2601 +rect 10042 2592 10048 2644 +rect 10100 2632 10106 2644 +rect 11330 2632 11336 2644 +rect 10100 2604 11336 2632 +rect 10100 2592 10106 2604 +rect 11330 2592 11336 2604 +rect 11388 2592 11394 2644 +rect 11609 2635 11667 2641 +rect 11609 2601 11621 2635 +rect 11655 2632 11667 2635 +rect 12802 2632 12808 2644 +rect 11655 2604 12808 2632 +rect 11655 2601 11667 2604 +rect 11609 2595 11667 2601 +rect 12802 2592 12808 2604 +rect 12860 2592 12866 2644 +rect 12986 2592 12992 2644 +rect 13044 2632 13050 2644 +rect 13357 2635 13415 2641 +rect 13357 2632 13369 2635 +rect 13044 2604 13369 2632 +rect 13044 2592 13050 2604 +rect 13357 2601 13369 2604 +rect 13403 2632 13415 2635 +rect 15102 2632 15108 2644 +rect 13403 2604 15108 2632 +rect 13403 2601 13415 2604 +rect 13357 2595 13415 2601 +rect 15102 2592 15108 2604 +rect 15160 2592 15166 2644 +rect 17037 2635 17095 2641 +rect 17037 2601 17049 2635 +rect 17083 2632 17095 2635 +rect 17126 2632 17132 2644 +rect 17083 2604 17132 2632 +rect 17083 2601 17095 2604 +rect 17037 2595 17095 2601 +rect 17126 2592 17132 2604 +rect 17184 2592 17190 2644 +rect 17221 2635 17279 2641 +rect 17221 2601 17233 2635 +rect 17267 2601 17279 2635 +rect 17678 2632 17684 2644 +rect 17639 2604 17684 2632 +rect 17221 2595 17279 2601 +rect 3568 2536 4568 2564 +rect 4908 2536 6960 2564 +rect 3568 2524 3574 2536 +rect 2133 2499 2191 2505 +rect 2133 2465 2145 2499 +rect 2179 2496 2191 2499 rect 2222 2496 2228 2508 -rect 2183 2468 2228 2496 +rect 2179 2468 2228 2496 +rect 2179 2465 2191 2468 +rect 2133 2459 2191 2465 rect 2222 2456 2228 2468 -rect 2280 2496 2286 2508 -rect 2409 2499 2467 2505 -rect 2409 2496 2421 2499 -rect 2280 2468 2421 2496 -rect 2280 2456 2286 2468 -rect 2409 2465 2421 2468 -rect 2455 2465 2467 2499 -rect 2608 2496 2636 2527 -rect 8754 2524 8760 2536 -rect 8812 2524 8818 2576 -rect 10594 2524 10600 2576 -rect 10652 2564 10658 2576 -rect 11333 2567 11391 2573 -rect 11333 2564 11345 2567 -rect 10652 2536 11345 2564 -rect 10652 2524 10658 2536 -rect 11333 2533 11345 2536 -rect 11379 2564 11391 2567 -rect 11517 2567 11575 2573 -rect 11517 2564 11529 2567 -rect 11379 2536 11529 2564 -rect 11379 2533 11391 2536 -rect 11333 2527 11391 2533 -rect 11517 2533 11529 2536 -rect 11563 2533 11575 2567 -rect 11517 2527 11575 2533 -rect 15286 2524 15292 2576 -rect 15344 2564 15350 2576 -rect 15657 2567 15715 2573 -rect 15657 2564 15669 2567 -rect 15344 2536 15669 2564 -rect 15344 2524 15350 2536 -rect 15657 2533 15669 2536 -rect 15703 2533 15715 2567 -rect 15657 2527 15715 2533 -rect 2608 2468 2820 2496 -rect 2409 2459 2467 2465 -rect 937 2431 995 2437 -rect 937 2397 949 2431 -rect 983 2428 995 2431 -rect 983 2400 1624 2428 -rect 983 2397 995 2400 -rect 937 2391 995 2397 -rect 1596 2301 1624 2400 -rect 2590 2388 2596 2440 -rect 2648 2428 2654 2440 -rect 2792 2437 2820 2468 -rect 5534 2456 5540 2508 -rect 5592 2496 5598 2508 -rect 5905 2499 5963 2505 -rect 5905 2496 5917 2499 -rect 5592 2468 5917 2496 -rect 5592 2456 5598 2468 -rect 5905 2465 5917 2468 -rect 5951 2465 5963 2499 -rect 5905 2459 5963 2465 -rect 8849 2499 8907 2505 -rect 8849 2465 8861 2499 -rect 8895 2496 8907 2499 -rect 9674 2496 9680 2508 -rect 8895 2468 9680 2496 -rect 8895 2465 8907 2468 -rect 8849 2459 8907 2465 -rect 9674 2456 9680 2468 -rect 9732 2456 9738 2508 -rect 10778 2456 10784 2508 -rect 10836 2496 10842 2508 -rect 10836 2468 11100 2496 -rect 10836 2456 10842 2468 +rect 2280 2456 2286 2508 +rect 2774 2456 2780 2508 +rect 2832 2496 2838 2508 +rect 3421 2499 3479 2505 +rect 2832 2468 2877 2496 +rect 2832 2456 2838 2468 +rect 3421 2465 3433 2499 +rect 3467 2496 3479 2499 +rect 4338 2496 4344 2508 +rect 3467 2468 4344 2496 +rect 3467 2465 3479 2468 +rect 3421 2459 3479 2465 +rect 4338 2456 4344 2468 +rect 4396 2496 4402 2508 +rect 4908 2496 4936 2536 +rect 7650 2524 7656 2576 +rect 7708 2564 7714 2576 +rect 8018 2564 8024 2576 +rect 7708 2536 8024 2564 +rect 7708 2524 7714 2536 +rect 8018 2524 8024 2536 +rect 8076 2564 8082 2576 +rect 10060 2564 10088 2592 +rect 13170 2564 13176 2576 +rect 8076 2536 10088 2564 +rect 10152 2536 13176 2564 +rect 8076 2524 8082 2536 +rect 4396 2468 4936 2496 +rect 4396 2456 4402 2468 +rect 934 2428 940 2440 +rect 895 2400 940 2428 +rect 934 2388 940 2400 +rect 992 2388 998 2440 +rect 2038 2428 2044 2440 +rect 1999 2400 2044 2428 +rect 2038 2388 2044 2400 +rect 2096 2388 2102 2440 rect 2685 2431 2743 2437 -rect 2685 2428 2697 2431 -rect 2648 2400 2697 2428 -rect 2648 2388 2654 2400 -rect 2685 2397 2697 2400 -rect 2731 2397 2743 2431 +rect 2685 2397 2697 2431 +rect 2731 2428 2743 2431 +rect 2866 2428 2872 2440 +rect 2731 2400 2872 2428 +rect 2731 2397 2743 2400 rect 2685 2391 2743 2397 -rect 2777 2431 2835 2437 -rect 2777 2397 2789 2431 -rect 2823 2397 2835 2431 -rect 2958 2428 2964 2440 -rect 2919 2400 2964 2428 -rect 2777 2391 2835 2397 -rect 2958 2388 2964 2400 -rect 3016 2388 3022 2440 -rect 6086 2388 6092 2440 -rect 6144 2428 6150 2440 -rect 6365 2431 6423 2437 -rect 6365 2428 6377 2431 -rect 6144 2400 6377 2428 -rect 6144 2388 6150 2400 -rect 6365 2397 6377 2400 -rect 6411 2397 6423 2431 -rect 9217 2431 9275 2437 -rect 9217 2428 9229 2431 -rect 6365 2391 6423 2397 -rect 8956 2400 9229 2428 -rect 1949 2363 2007 2369 -rect 1949 2329 1961 2363 -rect 1995 2360 2007 2363 -rect 2866 2360 2872 2372 -rect 1995 2332 2872 2360 -rect 1995 2329 2007 2332 -rect 1949 2323 2007 2329 -rect 2866 2320 2872 2332 -rect 2924 2320 2930 2372 -rect 3973 2363 4031 2369 -rect 3973 2329 3985 2363 -rect 4019 2360 4031 2363 -rect 4338 2360 4344 2372 -rect 4019 2332 4344 2360 -rect 4019 2329 4031 2332 -rect 3973 2323 4031 2329 -rect 4338 2320 4344 2332 -rect 4396 2360 4402 2372 -rect 5626 2360 5632 2372 -rect 4396 2332 4462 2360 -rect 5587 2332 5632 2360 -rect 4396 2320 4402 2332 -rect 5626 2320 5632 2332 -rect 5684 2320 5690 2372 -rect 7193 2363 7251 2369 -rect 7193 2329 7205 2363 -rect 7239 2360 7251 2363 -rect 7466 2360 7472 2372 -rect 7239 2332 7472 2360 -rect 7239 2329 7251 2332 -rect 7193 2323 7251 2329 -rect 7466 2320 7472 2332 -rect 7524 2320 7530 2372 -rect 8570 2360 8576 2372 -rect 8531 2332 8576 2360 -rect 8570 2320 8576 2332 -rect 8628 2320 8634 2372 -rect 1581 2295 1639 2301 -rect 1581 2261 1593 2295 -rect 1627 2261 1639 2295 -rect 1581 2255 1639 2261 -rect 2685 2295 2743 2301 -rect 2685 2261 2697 2295 -rect 2731 2292 2743 2295 -rect 2774 2292 2780 2304 -rect 2731 2264 2780 2292 -rect 2731 2261 2743 2264 -rect 2685 2255 2743 2261 -rect 2774 2252 2780 2264 -rect 2832 2252 2838 2304 -rect 4982 2252 4988 2304 -rect 5040 2292 5046 2304 -rect 6181 2295 6239 2301 -rect 6181 2292 6193 2295 -rect 5040 2264 6193 2292 -rect 5040 2252 5046 2264 -rect 6181 2261 6193 2264 -rect 6227 2261 6239 2295 -rect 8294 2292 8300 2304 -rect 8255 2264 8300 2292 -rect 6181 2255 6239 2261 -rect 8294 2252 8300 2264 -rect 8352 2252 8358 2304 -rect 8956 2292 8984 2400 -rect 9217 2397 9229 2400 -rect 9263 2397 9275 2431 -rect 10870 2428 10876 2440 -rect 10831 2400 10876 2428 -rect 9217 2391 9275 2397 -rect 10870 2388 10876 2400 -rect 10928 2388 10934 2440 -rect 11072 2437 11100 2468 -rect 12802 2456 12808 2508 -rect 12860 2496 12866 2508 -rect 14274 2496 14280 2508 -rect 12860 2468 14280 2496 -rect 12860 2456 12866 2468 -rect 14274 2456 14280 2468 -rect 14332 2496 14338 2508 -rect 15197 2499 15255 2505 -rect 15197 2496 15209 2499 -rect 14332 2468 15209 2496 -rect 14332 2456 14338 2468 -rect 15197 2465 15209 2468 -rect 15243 2496 15255 2499 -rect 15562 2496 15568 2508 -rect 15243 2468 15568 2496 -rect 15243 2465 15255 2468 -rect 15197 2459 15255 2465 -rect 15562 2456 15568 2468 -rect 15620 2456 15626 2508 -rect 15838 2496 15844 2508 -rect 15799 2468 15844 2496 -rect 15838 2456 15844 2468 -rect 15896 2456 15902 2508 -rect 16482 2456 16488 2508 -rect 16540 2496 16546 2508 -rect 17865 2499 17923 2505 -rect 17865 2496 17877 2499 -rect 16540 2468 17877 2496 -rect 16540 2456 16546 2468 -rect 17865 2465 17877 2468 -rect 17911 2465 17923 2499 -rect 17865 2459 17923 2465 +rect 2866 2388 2872 2400 +rect 2924 2388 2930 2440 +rect 3510 2428 3516 2440 +rect 3471 2400 3516 2428 +rect 3510 2388 3516 2400 +rect 3568 2388 3574 2440 +rect 4249 2431 4307 2437 +rect 4249 2397 4261 2431 +rect 4295 2397 4307 2431 +rect 4522 2428 4528 2440 +rect 4483 2400 4528 2428 +rect 4249 2391 4307 2397 +rect 2958 2320 2964 2372 +rect 3016 2360 3022 2372 +rect 3237 2363 3295 2369 +rect 3237 2360 3249 2363 +rect 3016 2332 3249 2360 +rect 3016 2320 3022 2332 +rect 3237 2329 3249 2332 +rect 3283 2360 3295 2363 +rect 4264 2360 4292 2391 +rect 4522 2388 4528 2400 +rect 4580 2388 4586 2440 +rect 4706 2428 4712 2440 +rect 4667 2400 4712 2428 +rect 4706 2388 4712 2400 +rect 4764 2388 4770 2440 +rect 4908 2437 4936 2468 +rect 6365 2499 6423 2505 +rect 6365 2465 6377 2499 +rect 6411 2496 6423 2499 +rect 6546 2496 6552 2508 +rect 6411 2468 6552 2496 +rect 6411 2465 6423 2468 +rect 6365 2459 6423 2465 +rect 6546 2456 6552 2468 +rect 6604 2456 6610 2508 +rect 6733 2499 6791 2505 +rect 6733 2465 6745 2499 +rect 6779 2496 6791 2499 +rect 6822 2496 6828 2508 +rect 6779 2468 6828 2496 +rect 6779 2465 6791 2468 +rect 6733 2459 6791 2465 +rect 6822 2456 6828 2468 +rect 6880 2456 6886 2508 +rect 7469 2499 7527 2505 +rect 7116 2468 7420 2496 +rect 4801 2431 4859 2437 +rect 4801 2397 4813 2431 +rect 4847 2397 4859 2431 +rect 4801 2391 4859 2397 +rect 4893 2431 4951 2437 +rect 4893 2397 4905 2431 +rect 4939 2397 4951 2431 +rect 4893 2391 4951 2397 +rect 5905 2431 5963 2437 +rect 5905 2397 5917 2431 +rect 5951 2397 5963 2431 +rect 5905 2391 5963 2397 +rect 4614 2360 4620 2372 +rect 3283 2332 4620 2360 +rect 3283 2329 3295 2332 +rect 3237 2323 3295 2329 +rect 4614 2320 4620 2332 +rect 4672 2320 4678 2372 +rect 4816 2360 4844 2391 +rect 5920 2360 5948 2391 +rect 5994 2388 6000 2440 +rect 6052 2428 6058 2440 +rect 6273 2431 6331 2437 +rect 6273 2428 6285 2431 +rect 6052 2400 6285 2428 +rect 6052 2388 6058 2400 +rect 6273 2397 6285 2400 +rect 6319 2428 6331 2431 +rect 7116 2428 7144 2468 +rect 6319 2400 7144 2428 +rect 6319 2397 6331 2400 +rect 6273 2391 6331 2397 +rect 7190 2388 7196 2440 +rect 7248 2428 7254 2440 +rect 7285 2431 7343 2437 +rect 7285 2428 7297 2431 +rect 7248 2400 7297 2428 +rect 7248 2388 7254 2400 +rect 7285 2397 7297 2400 +rect 7331 2397 7343 2431 +rect 7392 2428 7420 2468 +rect 7469 2465 7481 2499 +rect 7515 2496 7527 2499 +rect 8573 2499 8631 2505 +rect 8573 2496 8585 2499 +rect 7515 2468 8585 2496 +rect 7515 2465 7527 2468 +rect 7469 2459 7527 2465 +rect 8573 2465 8585 2468 +rect 8619 2496 8631 2499 +rect 9030 2496 9036 2508 +rect 8619 2468 9036 2496 +rect 8619 2465 8631 2468 +rect 8573 2459 8631 2465 +rect 9030 2456 9036 2468 +rect 9088 2456 9094 2508 +rect 9214 2456 9220 2508 +rect 9272 2496 9278 2508 +rect 10152 2496 10180 2536 +rect 13170 2524 13176 2536 +rect 13228 2524 13234 2576 +rect 17236 2564 17264 2595 +rect 17678 2592 17684 2604 +rect 17736 2592 17742 2644 +rect 17770 2564 17776 2576 +rect 16960 2536 17776 2564 +rect 9272 2468 10180 2496 +rect 10980 2468 11468 2496 +rect 9272 2456 9278 2468 +rect 8110 2428 8116 2440 +rect 7392 2400 8116 2428 +rect 7285 2391 7343 2397 +rect 8110 2388 8116 2400 +rect 8168 2388 8174 2440 +rect 8662 2428 8668 2440 +rect 8623 2400 8668 2428 +rect 8662 2388 8668 2400 +rect 8720 2388 8726 2440 +rect 9490 2388 9496 2440 +rect 9548 2428 9554 2440 +rect 9769 2431 9827 2437 +rect 9769 2428 9781 2431 +rect 9548 2400 9781 2428 +rect 9548 2388 9554 2400 +rect 9769 2397 9781 2400 +rect 9815 2397 9827 2431 +rect 9769 2391 9827 2397 +rect 9306 2360 9312 2372 +rect 4816 2332 9312 2360 +rect 3053 2295 3111 2301 +rect 3053 2261 3065 2295 +rect 3099 2292 3111 2295 +rect 3418 2292 3424 2304 +rect 3099 2264 3424 2292 +rect 3099 2261 3111 2264 +rect 3053 2255 3111 2261 +rect 3418 2252 3424 2264 +rect 3476 2252 3482 2304 +rect 3513 2295 3571 2301 +rect 3513 2261 3525 2295 +rect 3559 2292 3571 2295 +rect 4338 2292 4344 2304 +rect 3559 2264 4344 2292 +rect 3559 2261 3571 2264 +rect 3513 2255 3571 2261 +rect 4338 2252 4344 2264 +rect 4396 2252 4402 2304 +rect 4430 2252 4436 2304 +rect 4488 2292 4494 2304 +rect 4816 2292 4844 2332 +rect 9306 2320 9312 2332 +rect 9364 2320 9370 2372 +rect 10980 2360 11008 2468 rect 11057 2431 11115 2437 rect 11057 2397 11069 2431 -rect 11103 2397 11115 2431 +rect 11103 2428 11115 2431 +rect 11238 2428 11244 2440 +rect 11103 2400 11244 2428 +rect 11103 2397 11115 2400 rect 11057 2391 11115 2397 +rect 11238 2388 11244 2400 +rect 11296 2388 11302 2440 +rect 11440 2437 11468 2468 +rect 13262 2456 13268 2508 +rect 13320 2496 13326 2508 +rect 13449 2499 13507 2505 +rect 13449 2496 13461 2499 +rect 13320 2468 13461 2496 +rect 13320 2456 13326 2468 +rect 13449 2465 13461 2468 +rect 13495 2496 13507 2499 +rect 13722 2496 13728 2508 +rect 13495 2468 13728 2496 +rect 13495 2465 13507 2468 +rect 13449 2459 13507 2465 +rect 13722 2456 13728 2468 +rect 13780 2496 13786 2508 +rect 15657 2499 15715 2505 +rect 15657 2496 15669 2499 +rect 13780 2468 15669 2496 +rect 13780 2456 13786 2468 +rect 15657 2465 15669 2468 +rect 15703 2465 15715 2499 +rect 15657 2459 15715 2465 rect 11425 2431 11483 2437 rect 11425 2397 11437 2431 rect 11471 2397 11483 2431 +rect 11974 2428 11980 2440 +rect 11935 2400 11980 2428 rect 11425 2391 11483 2397 -rect 10643 2363 10701 2369 -rect 9766 2292 9772 2304 -rect 8956 2264 9772 2292 -rect 9766 2252 9772 2264 -rect 9824 2252 9830 2304 -rect 10134 2252 10140 2304 -rect 10192 2292 10198 2304 -rect 10244 2292 10272 2346 -rect 10643 2329 10655 2363 -rect 10689 2360 10701 2363 -rect 11238 2360 11244 2372 -rect 10689 2332 11244 2360 -rect 10689 2329 10701 2332 -rect 10643 2323 10701 2329 -rect 11238 2320 11244 2332 -rect 11296 2360 11302 2372 -rect 11440 2360 11468 2391 -rect 14550 2388 14556 2440 -rect 14608 2428 14614 2440 -rect 15013 2431 15071 2437 -rect 15013 2428 15025 2431 -rect 14608 2400 15025 2428 -rect 14608 2388 14614 2400 -rect 15013 2397 15025 2400 -rect 15059 2428 15071 2431 -rect 15381 2431 15439 2437 -rect 15381 2428 15393 2431 -rect 15059 2400 15393 2428 -rect 15059 2397 15071 2400 -rect 15013 2391 15071 2397 -rect 15212 2372 15240 2400 -rect 15381 2397 15393 2400 -rect 15427 2397 15439 2431 -rect 15381 2391 15439 2397 -rect 11296 2332 11468 2360 -rect 11296 2320 11302 2332 +rect 11974 2388 11980 2400 +rect 12032 2388 12038 2440 +rect 15102 2428 15108 2440 +rect 14858 2400 15108 2428 +rect 15102 2388 15108 2400 +rect 15160 2388 15166 2440 +rect 15924 2431 15982 2437 +rect 15924 2397 15936 2431 +rect 15970 2428 15982 2431 +rect 16960 2428 16988 2536 +rect 17770 2524 17776 2536 +rect 17828 2564 17834 2576 +rect 18417 2567 18475 2573 +rect 18417 2564 18429 2567 +rect 17828 2536 18429 2564 +rect 17828 2524 17834 2536 +rect 18417 2533 18429 2536 +rect 18463 2533 18475 2567 +rect 18417 2527 18475 2533 +rect 17589 2499 17647 2505 +rect 17589 2465 17601 2499 +rect 17635 2496 17647 2499 +rect 17635 2468 18092 2496 +rect 17635 2465 17647 2468 +rect 17589 2459 17647 2465 +rect 17126 2428 17132 2440 +rect 15970 2400 16988 2428 +rect 17087 2400 17132 2428 +rect 15970 2397 15982 2400 +rect 15924 2391 15982 2397 +rect 17126 2388 17132 2400 +rect 17184 2388 17190 2440 +rect 17862 2428 17868 2440 +rect 17823 2400 17868 2428 +rect 17862 2388 17868 2400 +rect 17920 2388 17926 2440 +rect 18064 2437 18092 2468 +rect 18049 2431 18107 2437 +rect 18049 2397 18061 2431 +rect 18095 2397 18107 2431 +rect 18049 2391 18107 2397 +rect 18138 2388 18144 2440 +rect 18196 2428 18202 2440 +rect 18196 2400 18241 2428 +rect 18196 2388 18202 2400 +rect 11149 2363 11207 2369 +rect 10980 2332 11100 2360 +rect 4488 2264 4844 2292 +rect 5169 2295 5227 2301 +rect 4488 2252 4494 2264 +rect 5169 2261 5181 2295 +rect 5215 2292 5227 2295 +rect 5258 2292 5264 2304 +rect 5215 2264 5264 2292 +rect 5215 2261 5227 2264 +rect 5169 2255 5227 2261 +rect 5258 2252 5264 2264 +rect 5316 2252 5322 2304 +rect 5442 2292 5448 2304 +rect 5403 2264 5448 2292 +rect 5442 2252 5448 2264 +rect 5500 2252 5506 2304 +rect 7006 2252 7012 2304 +rect 7064 2292 7070 2304 +rect 7193 2295 7251 2301 +rect 7193 2292 7205 2295 +rect 7064 2264 7205 2292 +rect 7064 2252 7070 2264 +rect 7193 2261 7205 2264 +rect 7239 2261 7251 2295 +rect 7193 2255 7251 2261 +rect 8481 2295 8539 2301 +rect 8481 2261 8493 2295 +rect 8527 2292 8539 2295 +rect 9214 2292 9220 2304 +rect 8527 2264 9220 2292 +rect 8527 2261 8539 2264 +rect 8481 2255 8539 2261 +rect 9214 2252 9220 2264 +rect 9272 2252 9278 2304 +rect 11072 2301 11100 2332 +rect 11149 2329 11161 2363 +rect 11195 2329 11207 2363 +rect 11330 2360 11336 2372 +rect 11291 2332 11336 2360 +rect 11149 2323 11207 2329 +rect 11057 2295 11115 2301 +rect 11057 2261 11069 2295 +rect 11103 2261 11115 2295 +rect 11164 2292 11192 2323 +rect 11330 2320 11336 2332 +rect 11388 2320 11394 2372 +rect 11517 2363 11575 2369 +rect 11517 2329 11529 2363 +rect 11563 2360 11575 2363 +rect 11606 2360 11612 2372 +rect 11563 2332 11612 2360 +rect 11563 2329 11575 2332 +rect 11517 2323 11575 2329 +rect 11606 2320 11612 2332 +rect 11664 2320 11670 2372 rect 11698 2320 11704 2372 rect 11756 2360 11762 2372 -rect 11756 2332 15056 2360 +rect 13725 2363 13783 2369 +rect 11756 2332 11801 2360 rect 11756 2320 11762 2332 -rect 11882 2292 11888 2304 -rect 10192 2264 11888 2292 -rect 10192 2252 10198 2264 -rect 11882 2252 11888 2264 -rect 11940 2252 11946 2304 -rect 12710 2252 12716 2304 -rect 12768 2292 12774 2304 -rect 13630 2292 13636 2304 -rect 12768 2264 13636 2292 -rect 12768 2252 12774 2264 -rect 13630 2252 13636 2264 -rect 13688 2252 13694 2304 -rect 14366 2252 14372 2304 -rect 14424 2292 14430 2304 -rect 14553 2295 14611 2301 -rect 14553 2292 14565 2295 -rect 14424 2264 14565 2292 -rect 14424 2252 14430 2264 -rect 14553 2261 14565 2264 -rect 14599 2261 14611 2295 -rect 14918 2292 14924 2304 -rect 14879 2264 14924 2292 -rect 14553 2255 14611 2261 -rect 14918 2252 14924 2264 -rect 14976 2252 14982 2304 -rect 15028 2292 15056 2332 -rect 15194 2320 15200 2372 -rect 15252 2320 15258 2372 -rect 15286 2320 15292 2372 -rect 15344 2360 15350 2372 -rect 15856 2360 15884 2456 -rect 18233 2431 18291 2437 -rect 18233 2397 18245 2431 -rect 18279 2428 18291 2431 -rect 18506 2428 18512 2440 -rect 18279 2400 18512 2428 -rect 18279 2397 18291 2400 -rect 18233 2391 18291 2397 -rect 18506 2388 18512 2400 -rect 18564 2388 18570 2440 -rect 16114 2360 16120 2372 -rect 15344 2332 15884 2360 -rect 16075 2332 16120 2360 -rect 15344 2320 15350 2332 -rect 16114 2320 16120 2332 -rect 16172 2320 16178 2372 -rect 16666 2320 16672 2372 -rect 16724 2320 16730 2372 -rect 17972 2332 18368 2360 -rect 15304 2292 15332 2320 -rect 15028 2264 15332 2292 -rect 15838 2252 15844 2304 -rect 15896 2292 15902 2304 -rect 17972 2292 18000 2332 -rect 18340 2301 18368 2332 -rect 15896 2264 18000 2292 -rect 18325 2295 18383 2301 -rect 15896 2252 15902 2264 -rect 18325 2261 18337 2295 -rect 18371 2261 18383 2295 -rect 18325 2255 18383 2261 +rect 13725 2329 13737 2363 +rect 13771 2360 13783 2363 +rect 13814 2360 13820 2372 +rect 13771 2332 13820 2360 +rect 13771 2329 13783 2332 +rect 13725 2323 13783 2329 +rect 13814 2320 13820 2332 +rect 13872 2320 13878 2372 +rect 15470 2360 15476 2372 +rect 15431 2332 15476 2360 +rect 15470 2320 15476 2332 +rect 15528 2320 15534 2372 +rect 18230 2320 18236 2372 +rect 18288 2360 18294 2372 +rect 18325 2363 18383 2369 +rect 18325 2360 18337 2363 +rect 18288 2332 18337 2360 +rect 18288 2320 18294 2332 +rect 18325 2329 18337 2332 +rect 18371 2329 18383 2363 +rect 18325 2323 18383 2329 +rect 11422 2292 11428 2304 +rect 11164 2264 11428 2292 +rect 11057 2255 11115 2261 +rect 11422 2252 11428 2264 +rect 11480 2292 11486 2304 +rect 11885 2295 11943 2301 +rect 11885 2292 11897 2295 +rect 11480 2264 11897 2292 +rect 11480 2252 11486 2264 +rect 11885 2261 11897 2264 +rect 11931 2261 11943 2295 +rect 11885 2255 11943 2261 +rect 14458 2252 14464 2304 +rect 14516 2292 14522 2304 +rect 18049 2295 18107 2301 +rect 18049 2292 18061 2295 +rect 14516 2264 18061 2292 +rect 14516 2252 14522 2264 +rect 18049 2261 18061 2264 +rect 18095 2261 18107 2295 +rect 18049 2255 18107 2261 rect 0 2202 18860 2224 rect 0 2150 4660 2202 rect 4712 2150 4724 2202 @@ -7834,529 +8297,612 @@ rect 17240 2150 17252 2202 rect 17304 2150 17316 2202 rect 17368 2150 18860 2202 rect 0 2128 18860 2150 -rect 2041 2091 2099 2097 -rect 2041 2057 2053 2091 -rect 2087 2057 2099 2091 -rect 9125 2091 9183 2097 -rect 9125 2088 9137 2091 -rect 2041 2051 2099 2057 -rect 5920 2060 9137 2088 -rect 1946 2020 1952 2032 -rect 1794 1992 1952 2020 -rect 1946 1980 1952 1992 -rect 2004 1980 2010 2032 -rect 2056 1952 2084 2051 -rect 5534 2020 5540 2032 -rect 3528 1992 5540 2020 -rect 2869 1955 2927 1961 -rect 2869 1952 2881 1955 -rect 2056 1924 2881 1952 -rect 2869 1921 2881 1924 -rect 2915 1952 2927 1955 -rect 2958 1952 2964 1964 -rect 2915 1924 2964 1952 -rect 2915 1921 2927 1924 -rect 2869 1915 2927 1921 -rect 2958 1912 2964 1924 -rect 3016 1912 3022 1964 -rect 3528 1961 3556 1992 -rect 5534 1980 5540 1992 -rect 5592 1980 5598 2032 -rect 3513 1955 3571 1961 -rect 3513 1921 3525 1955 -rect 3559 1921 3571 1955 -rect 3513 1915 3571 1921 -rect 3697 1955 3755 1961 -rect 3697 1921 3709 1955 -rect 3743 1952 3755 1955 +rect 2222 2088 2228 2100 +rect 2183 2060 2228 2088 +rect 2222 2048 2228 2060 +rect 2280 2048 2286 2100 +rect 2501 2091 2559 2097 +rect 2501 2057 2513 2091 +rect 2547 2057 2559 2091 +rect 2866 2088 2872 2100 +rect 2827 2060 2872 2088 +rect 2501 2051 2559 2057 +rect 2516 2020 2544 2051 +rect 2866 2048 2872 2060 +rect 2924 2048 2930 2100 +rect 2961 2091 3019 2097 +rect 2961 2057 2973 2091 +rect 3007 2088 3019 2091 +rect 3329 2091 3387 2097 +rect 3329 2088 3341 2091 +rect 3007 2060 3341 2088 +rect 3007 2057 3019 2060 +rect 2961 2051 3019 2057 +rect 3329 2057 3341 2060 +rect 3375 2057 3387 2091 +rect 3329 2051 3387 2057 +rect 3418 2048 3424 2100 +rect 3476 2088 3482 2100 +rect 3697 2091 3755 2097 +rect 3697 2088 3709 2091 +rect 3476 2060 3709 2088 +rect 3476 2048 3482 2060 +rect 3697 2057 3709 2060 +rect 3743 2057 3755 2091 +rect 3697 2051 3755 2057 +rect 7098 2048 7104 2100 +rect 7156 2088 7162 2100 +rect 7745 2091 7803 2097 +rect 7745 2088 7757 2091 +rect 7156 2060 7757 2088 +rect 7156 2048 7162 2060 +rect 7745 2057 7757 2060 +rect 7791 2088 7803 2091 +rect 8202 2088 8208 2100 +rect 7791 2060 8208 2088 +rect 7791 2057 7803 2060 +rect 7745 2051 7803 2057 +rect 8202 2048 8208 2060 +rect 8260 2048 8266 2100 +rect 8573 2091 8631 2097 +rect 8573 2057 8585 2091 +rect 8619 2088 8631 2091 +rect 8662 2088 8668 2100 +rect 8619 2060 8668 2088 +rect 8619 2057 8631 2060 +rect 8573 2051 8631 2057 +rect 8662 2048 8668 2060 +rect 8720 2048 8726 2100 +rect 9306 2088 9312 2100 +rect 9219 2060 9312 2088 +rect 9306 2048 9312 2060 +rect 9364 2088 9370 2100 +rect 11238 2088 11244 2100 +rect 9364 2060 11244 2088 +rect 9364 2048 9370 2060 +rect 11238 2048 11244 2060 +rect 11296 2048 11302 2100 +rect 11606 2088 11612 2100 +rect 11567 2060 11612 2088 +rect 11606 2048 11612 2060 +rect 11664 2048 11670 2100 +rect 13170 2088 13176 2100 +rect 13083 2060 13176 2088 +rect 13170 2048 13176 2060 +rect 13228 2088 13234 2100 +rect 13814 2088 13820 2100 +rect 13228 2060 13676 2088 +rect 13775 2060 13820 2088 +rect 13228 2048 13234 2060 +rect 1044 1992 2544 2020 +rect 3789 2023 3847 2029 +rect 1044 1961 1072 1992 +rect 3789 1989 3801 2023 +rect 3835 2020 3847 2023 +rect 4249 2023 4307 2029 +rect 4249 2020 4261 2023 +rect 3835 1992 4261 2020 +rect 3835 1989 3847 1992 +rect 3789 1983 3847 1989 +rect 4249 1989 4261 1992 +rect 4295 1989 4307 2023 +rect 4249 1983 4307 1989 +rect 4522 1980 4528 2032 +rect 4580 2020 4586 2032 +rect 6914 2020 6920 2032 +rect 4580 1992 6040 2020 +rect 4580 1980 4586 1992 +rect 1029 1955 1087 1961 +rect 1029 1921 1041 1955 +rect 1075 1921 1087 1955 +rect 1029 1915 1087 1921 +rect 2139 1955 2197 1961 +rect 2139 1921 2151 1955 +rect 2185 1952 2197 1955 +rect 2317 1955 2375 1961 +rect 2185 1924 2268 1952 +rect 2185 1921 2197 1924 +rect 2139 1915 2197 1921 +rect 2240 1896 2268 1924 +rect 2317 1921 2329 1955 +rect 2363 1952 2375 1955 +rect 2774 1952 2780 1964 +rect 2363 1924 2780 1952 +rect 2363 1921 2375 1924 +rect 2317 1915 2375 1921 +rect 2774 1912 2780 1924 +rect 2832 1912 2838 1964 +rect 4154 1952 4160 1964 +rect 4115 1924 4160 1952 +rect 4154 1912 4160 1924 +rect 4212 1912 4218 1964 rect 4338 1952 4344 1964 -rect 3743 1924 4344 1952 -rect 3743 1921 3755 1924 -rect 3697 1915 3755 1921 -rect 290 1884 296 1896 -rect 203 1856 296 1884 -rect 290 1844 296 1856 -rect 348 1844 354 1896 -rect 569 1887 627 1893 -rect 569 1853 581 1887 -rect 615 1884 627 1887 -rect 2501 1887 2559 1893 -rect 2501 1884 2513 1887 -rect 615 1856 2513 1884 -rect 615 1853 627 1856 -rect 569 1847 627 1853 -rect 2501 1853 2513 1856 -rect 2547 1853 2559 1887 -rect 2501 1847 2559 1853 -rect 2774 1844 2780 1896 -rect 2832 1884 2838 1896 -rect 2832 1856 2877 1884 -rect 2832 1844 2838 1856 -rect 308 1748 336 1844 -rect 1762 1776 1768 1828 -rect 1820 1816 1826 1828 -rect 3528 1816 3556 1915 +rect 4299 1924 4344 1952 rect 4338 1912 4344 1924 rect 4396 1912 4402 1964 -rect 4709 1955 4767 1961 -rect 4709 1921 4721 1955 -rect 4755 1952 4767 1955 -rect 4982 1952 4988 1964 -rect 4755 1924 4988 1952 -rect 4755 1921 4767 1924 -rect 4709 1915 4767 1921 -rect 3973 1887 4031 1893 -rect 3973 1853 3985 1887 -rect 4019 1884 4031 1887 -rect 4062 1884 4068 1896 -rect 4019 1856 4068 1884 -rect 4019 1853 4031 1856 -rect 3973 1847 4031 1853 -rect 4062 1844 4068 1856 -rect 4120 1844 4126 1896 -rect 1820 1788 3556 1816 -rect 4341 1819 4399 1825 -rect 1820 1776 1826 1788 -rect 4341 1785 4353 1819 -rect 4387 1816 4399 1819 -rect 4724 1816 4752 1915 -rect 4982 1912 4988 1924 -rect 5040 1912 5046 1964 -rect 5074 1912 5080 1964 -rect 5132 1952 5138 1964 -rect 5261 1955 5319 1961 -rect 5261 1952 5273 1955 -rect 5132 1924 5273 1952 -rect 5132 1912 5138 1924 -rect 5261 1921 5273 1924 -rect 5307 1921 5319 1955 -rect 5261 1915 5319 1921 -rect 5353 1955 5411 1961 -rect 5353 1921 5365 1955 -rect 5399 1921 5411 1955 -rect 5353 1915 5411 1921 -rect 5368 1884 5396 1915 -rect 5442 1912 5448 1964 -rect 5500 1952 5506 1964 -rect 5920 1952 5948 2060 -rect 6086 2020 6092 2032 -rect 6047 1992 6092 2020 -rect 6086 1980 6092 1992 -rect 6144 1980 6150 2032 -rect 7484 2020 7512 2060 -rect 9125 2057 9137 2060 -rect 9171 2088 9183 2091 -rect 9950 2088 9956 2100 -rect 9171 2060 9956 2088 -rect 9171 2057 9183 2060 -rect 9125 2051 9183 2057 -rect 9950 2048 9956 2060 -rect 10008 2048 10014 2100 -rect 11422 2088 11428 2100 -rect 11383 2060 11428 2088 -rect 11422 2048 11428 2060 -rect 11480 2048 11486 2100 -rect 12069 2091 12127 2097 -rect 12069 2057 12081 2091 -rect 12115 2088 12127 2091 -rect 12253 2091 12311 2097 -rect 12253 2088 12265 2091 -rect 12115 2060 12265 2088 -rect 12115 2057 12127 2060 -rect 12069 2051 12127 2057 -rect 12253 2057 12265 2060 -rect 12299 2057 12311 2091 -rect 12253 2051 12311 2057 -rect 12621 2091 12679 2097 -rect 12621 2057 12633 2091 -rect 12667 2088 12679 2091 -rect 13081 2091 13139 2097 -rect 13081 2088 13093 2091 -rect 12667 2060 13093 2088 -rect 12667 2057 12679 2060 -rect 12621 2051 12679 2057 -rect 13081 2057 13093 2060 -rect 13127 2057 13139 2091 -rect 13081 2051 13139 2057 -rect 13541 2091 13599 2097 -rect 13541 2057 13553 2091 -rect 13587 2088 13599 2091 -rect 13630 2088 13636 2100 -rect 13587 2060 13636 2088 -rect 13587 2057 13599 2060 -rect 13541 2051 13599 2057 -rect 13630 2048 13636 2060 -rect 13688 2048 13694 2100 -rect 15838 2088 15844 2100 -rect 15212 2060 15844 2088 -rect 9674 2020 9680 2032 -rect 7484 1992 7604 2020 -rect 5500 1924 5948 1952 -rect 5500 1912 5506 1924 -rect 6104 1884 6132 1980 -rect 6549 1955 6607 1961 -rect 6549 1921 6561 1955 -rect 6595 1921 6607 1955 -rect 6730 1952 6736 1964 -rect 6691 1924 6736 1952 -rect 6549 1915 6607 1921 -rect 5368 1856 6132 1884 -rect 6564 1884 6592 1915 -rect 6730 1912 6736 1924 -rect 6788 1912 6794 1964 -rect 6822 1912 6828 1964 -rect 6880 1952 6886 1964 -rect 7285 1955 7343 1961 -rect 7285 1952 7297 1955 -rect 6880 1924 7297 1952 -rect 6880 1912 6886 1924 -rect 7285 1921 7297 1924 -rect 7331 1921 7343 1955 -rect 7466 1952 7472 1964 -rect 7427 1924 7472 1952 -rect 7285 1915 7343 1921 -rect 7466 1912 7472 1924 -rect 7524 1912 7530 1964 -rect 7576 1961 7604 1992 -rect 8956 1992 9680 2020 -rect 8956 1961 8984 1992 -rect 9674 1980 9680 1992 -rect 9732 1980 9738 2032 -rect 9766 1980 9772 2032 -rect 9824 2020 9830 2032 -rect 15212 2029 15240 2060 -rect 15838 2048 15844 2060 -rect 15896 2048 15902 2100 -rect 16114 2048 16120 2100 -rect 16172 2088 16178 2100 -rect 16669 2091 16727 2097 -rect 16669 2088 16681 2091 -rect 16172 2060 16681 2088 -rect 16172 2048 16178 2060 -rect 16669 2057 16681 2060 -rect 16715 2057 16727 2091 -rect 16669 2051 16727 2057 -rect 17313 2091 17371 2097 -rect 17313 2057 17325 2091 -rect 17359 2088 17371 2091 -rect 17494 2088 17500 2100 -rect 17359 2060 17500 2088 -rect 17359 2057 17371 2060 -rect 17313 2051 17371 2057 -rect 17494 2048 17500 2060 -rect 17552 2088 17558 2100 -rect 17862 2088 17868 2100 -rect 17552 2060 17868 2088 -rect 17552 2048 17558 2060 -rect 17862 2048 17868 2060 -rect 17920 2048 17926 2100 -rect 18138 2088 18144 2100 -rect 18099 2060 18144 2088 -rect 18138 2048 18144 2060 -rect 18196 2048 18202 2100 -rect 10045 2023 10103 2029 -rect 9824 1992 9869 2020 -rect 9824 1980 9830 1992 -rect 10045 1989 10057 2023 -rect 10091 2020 10103 2023 -rect 15197 2023 15255 2029 -rect 10091 1992 14136 2020 -rect 10091 1989 10103 1992 -rect 10045 1983 10103 1989 +rect 4430 1912 4436 1964 +rect 4488 1952 4494 1964 +rect 4617 1955 4675 1961 +rect 4617 1952 4629 1955 +rect 4488 1924 4629 1952 +rect 4488 1912 4494 1924 +rect 4617 1921 4629 1924 +rect 4663 1921 4675 1955 +rect 5074 1952 5080 1964 +rect 5035 1924 5080 1952 +rect 4617 1915 4675 1921 +rect 5074 1912 5080 1924 +rect 5132 1912 5138 1964 +rect 5442 1952 5448 1964 +rect 5403 1924 5448 1952 +rect 5442 1912 5448 1924 +rect 5500 1912 5506 1964 +rect 6012 1961 6040 1992 +rect 6656 1992 6920 2020 +rect 6656 1961 6684 1992 +rect 6914 1980 6920 1992 +rect 6972 2020 6978 2032 +rect 8297 2023 8355 2029 +rect 6972 1992 8248 2020 +rect 6972 1980 6978 1992 +rect 5997 1955 6055 1961 +rect 5997 1921 6009 1955 +rect 6043 1921 6055 1955 +rect 5997 1915 6055 1921 +rect 6641 1955 6699 1961 +rect 6641 1921 6653 1955 +rect 6687 1921 6699 1955 +rect 7282 1952 7288 1964 +rect 7243 1924 7288 1952 +rect 6641 1915 6699 1921 +rect 7282 1912 7288 1924 +rect 7340 1912 7346 1964 +rect 7377 1955 7435 1961 +rect 7377 1921 7389 1955 +rect 7423 1921 7435 1955 +rect 7377 1915 7435 1921 rect 7561 1955 7619 1961 rect 7561 1921 7573 1955 rect 7607 1921 7619 1955 rect 7561 1915 7619 1921 -rect 8941 1955 8999 1961 -rect 8941 1921 8953 1955 -rect 8987 1921 8999 1955 -rect 8941 1915 8999 1921 -rect 9217 1955 9275 1961 -rect 9217 1921 9229 1955 -rect 9263 1952 9275 1955 -rect 9263 1924 9674 1952 -rect 9853 1945 9911 1951 -rect 9853 1942 9865 1945 -rect 9263 1921 9275 1924 -rect 9217 1915 9275 1921 -rect 7374 1884 7380 1896 -rect 6564 1856 7380 1884 -rect 7374 1844 7380 1856 -rect 7432 1844 7438 1896 -rect 8570 1844 8576 1896 -rect 8628 1884 8634 1896 -rect 9232 1884 9260 1915 -rect 8628 1856 9260 1884 -rect 9646 1896 9674 1924 -rect 9784 1914 9865 1942 -rect 9646 1856 9680 1896 -rect 8628 1844 8634 1856 -rect 9674 1844 9680 1856 -rect 9732 1844 9738 1896 -rect 4387 1788 4752 1816 -rect 4387 1785 4399 1788 -rect 4341 1779 4399 1785 -rect 8294 1776 8300 1828 -rect 8352 1816 8358 1828 -rect 8389 1819 8447 1825 -rect 8389 1816 8401 1819 -rect 8352 1788 8401 1816 -rect 8352 1776 8358 1788 -rect 8389 1785 8401 1788 -rect 8435 1816 8447 1819 -rect 9784 1816 9812 1914 -rect 9853 1911 9865 1914 -rect 9899 1911 9911 1945 -rect 9950 1912 9956 1964 -rect 10008 1952 10014 1964 +rect 2222 1844 2228 1896 +rect 2280 1884 2286 1896 +rect 2866 1884 2872 1896 +rect 2280 1856 2872 1884 +rect 2280 1844 2286 1856 +rect 2866 1844 2872 1856 +rect 2924 1844 2930 1896 +rect 3145 1887 3203 1893 +rect 3145 1853 3157 1887 +rect 3191 1884 3203 1887 +rect 3602 1884 3608 1896 +rect 3191 1856 3608 1884 +rect 3191 1853 3203 1856 +rect 3145 1847 3203 1853 +rect 3602 1844 3608 1856 +rect 3660 1844 3666 1896 +rect 3970 1884 3976 1896 +rect 3931 1856 3976 1884 +rect 3970 1844 3976 1856 +rect 4028 1844 4034 1896 +rect 5810 1884 5816 1896 +rect 5771 1856 5816 1884 +rect 5810 1844 5816 1856 +rect 5868 1844 5874 1896 +rect 5902 1844 5908 1896 +rect 5960 1884 5966 1896 +rect 6549 1887 6607 1893 +rect 6549 1884 6561 1887 +rect 5960 1856 6561 1884 +rect 5960 1844 5966 1856 +rect 6549 1853 6561 1856 +rect 6595 1853 6607 1887 +rect 7006 1884 7012 1896 +rect 6967 1856 7012 1884 +rect 6549 1847 6607 1853 +rect 7006 1844 7012 1856 +rect 7064 1844 7070 1896 +rect 3510 1776 3516 1828 +rect 3568 1816 3574 1828 +rect 4433 1819 4491 1825 +rect 4433 1816 4445 1819 +rect 3568 1788 4445 1816 +rect 3568 1776 3574 1788 +rect 4433 1785 4445 1788 +rect 4479 1785 4491 1819 +rect 4433 1779 4491 1785 +rect 6365 1819 6423 1825 +rect 6365 1785 6377 1819 +rect 6411 1816 6423 1819 +rect 6914 1816 6920 1828 +rect 6411 1788 6920 1816 +rect 6411 1785 6423 1788 +rect 6365 1779 6423 1785 +rect 6914 1776 6920 1788 +rect 6972 1776 6978 1828 +rect 7392 1816 7420 1915 +rect 7576 1884 7604 1915 +rect 7650 1912 7656 1964 +rect 7708 1952 7714 1964 +rect 7837 1955 7895 1961 +rect 7837 1952 7849 1955 +rect 7708 1924 7849 1952 +rect 7708 1912 7714 1924 +rect 7837 1921 7849 1924 +rect 7883 1921 7895 1955 +rect 7837 1915 7895 1921 +rect 7926 1912 7932 1964 +rect 7984 1952 7990 1964 +rect 8220 1961 8248 1992 +rect 8297 1989 8309 2023 +rect 8343 2020 8355 2023 +rect 8343 1992 8524 2020 +rect 8343 1989 8355 1992 +rect 8297 1983 8355 1989 +rect 8496 1961 8524 1992 +rect 8113 1955 8171 1961 +rect 7984 1924 8029 1952 +rect 7984 1912 7990 1924 +rect 8113 1921 8125 1955 +rect 8159 1921 8171 1955 +rect 8113 1915 8171 1921 +rect 8205 1955 8263 1961 +rect 8205 1921 8217 1955 +rect 8251 1921 8263 1955 +rect 8389 1955 8447 1961 +rect 8389 1952 8401 1955 +rect 8205 1915 8263 1921 +rect 8312 1924 8401 1952 +rect 8018 1884 8024 1896 +rect 7576 1856 8024 1884 +rect 8018 1844 8024 1856 +rect 8076 1884 8082 1896 +rect 8128 1884 8156 1915 +rect 8076 1856 8156 1884 +rect 8076 1844 8082 1856 +rect 7466 1816 7472 1828 +rect 7379 1788 7472 1816 +rect 7466 1776 7472 1788 +rect 7524 1816 7530 1828 +rect 8312 1816 8340 1924 +rect 8389 1921 8401 1924 +rect 8435 1921 8447 1955 +rect 8389 1915 8447 1921 +rect 8481 1955 8539 1961 +rect 8481 1921 8493 1955 +rect 8527 1921 8539 1955 +rect 8481 1915 8539 1921 +rect 8665 1955 8723 1961 +rect 8665 1921 8677 1955 +rect 8711 1952 8723 1955 +rect 8754 1952 8760 1964 +rect 8711 1924 8760 1952 +rect 8711 1921 8723 1924 +rect 8665 1915 8723 1921 +rect 8754 1912 8760 1924 +rect 8812 1912 8818 1964 +rect 9214 1952 9220 1964 +rect 9175 1924 9220 1952 +rect 9214 1912 9220 1924 +rect 9272 1912 9278 1964 +rect 9324 1961 9352 2048 +rect 9398 1980 9404 2032 +rect 9456 2020 9462 2032 +rect 9677 2023 9735 2029 +rect 9677 2020 9689 2023 +rect 9456 1992 9689 2020 +rect 9456 1980 9462 1992 +rect 9677 1989 9689 1992 +rect 9723 2020 9735 2023 +rect 10318 2020 10324 2032 +rect 9723 1992 10324 2020 +rect 9723 1989 9735 1992 +rect 9677 1983 9735 1989 +rect 10318 1980 10324 1992 +rect 10376 2020 10382 2032 +rect 10376 1992 11100 2020 +rect 10376 1980 10382 1992 +rect 9309 1955 9367 1961 +rect 9309 1921 9321 1955 +rect 9355 1921 9367 1955 +rect 9309 1915 9367 1921 +rect 9766 1912 9772 1964 +rect 9824 1952 9830 1964 rect 10137 1955 10195 1961 -rect 10008 1924 10053 1952 -rect 10008 1912 10014 1924 -rect 10137 1921 10149 1955 +rect 10137 1952 10149 1955 +rect 9824 1924 10149 1952 +rect 9824 1912 9830 1924 +rect 10137 1921 10149 1924 rect 10183 1952 10195 1955 -rect 10226 1952 10232 1964 -rect 10183 1924 10232 1952 +rect 10686 1952 10692 1964 +rect 10183 1924 10692 1952 rect 10183 1921 10195 1924 rect 10137 1915 10195 1921 -rect 10226 1912 10232 1924 -rect 10284 1912 10290 1964 +rect 10686 1912 10692 1924 +rect 10744 1912 10750 1964 +rect 11072 1961 11100 1992 +rect 11330 1980 11336 2032 +rect 11388 2020 11394 2032 +rect 12986 2020 12992 2032 +rect 11388 1992 12992 2020 +rect 11388 1980 11394 1992 +rect 12986 1980 12992 1992 +rect 13044 2020 13050 2032 +rect 13648 2020 13676 2060 +rect 13814 2048 13820 2060 +rect 13872 2048 13878 2100 +rect 14734 2048 14740 2100 +rect 14792 2088 14798 2100 +rect 14921 2091 14979 2097 +rect 14921 2088 14933 2091 +rect 14792 2060 14933 2088 +rect 14792 2048 14798 2060 +rect 14921 2057 14933 2060 +rect 14967 2088 14979 2091 +rect 15102 2088 15108 2100 +rect 14967 2060 15108 2088 +rect 14967 2057 14979 2060 +rect 14921 2051 14979 2057 +rect 15102 2048 15108 2060 +rect 15160 2088 15166 2100 +rect 17494 2088 17500 2100 +rect 15160 2060 17500 2088 +rect 15160 2048 15166 2060 +rect 17494 2048 17500 2060 +rect 17552 2048 17558 2100 +rect 18230 2088 18236 2100 +rect 18191 2060 18236 2088 +rect 18230 2048 18236 2060 +rect 18288 2048 18294 2100 +rect 15378 2020 15384 2032 +rect 13044 1992 13216 2020 +rect 13648 1992 15384 2020 +rect 13044 1980 13050 1992 +rect 10873 1955 10931 1961 +rect 10873 1952 10885 1955 +rect 10805 1924 10885 1952 +rect 9490 1844 9496 1896 +rect 9548 1884 9554 1896 +rect 10805 1884 10833 1924 +rect 10873 1921 10885 1924 +rect 10919 1921 10931 1955 +rect 10873 1915 10931 1921 rect 11057 1955 11115 1961 rect 11057 1921 11069 1955 -rect 11103 1952 11115 1955 -rect 11238 1952 11244 1964 -rect 11103 1924 11244 1952 -rect 11103 1921 11115 1924 +rect 11103 1921 11115 1955 rect 11057 1915 11115 1921 -rect 11238 1912 11244 1924 -rect 11296 1912 11302 1964 -rect 11716 1961 11744 1992 +rect 11146 1912 11152 1964 +rect 11204 1952 11210 1964 +rect 11422 1952 11428 1964 +rect 11204 1924 11249 1952 +rect 11383 1924 11428 1952 +rect 11204 1912 11210 1924 +rect 11422 1912 11428 1924 +rect 11480 1912 11486 1964 +rect 11606 1912 11612 1964 +rect 11664 1952 11670 1964 rect 11701 1955 11759 1961 -rect 11701 1921 11713 1955 +rect 11701 1952 11713 1955 +rect 11664 1924 11713 1952 +rect 11664 1912 11670 1924 +rect 11701 1921 11713 1924 rect 11747 1921 11759 1955 rect 11701 1915 11759 1921 rect 11885 1955 11943 1961 rect 11885 1921 11897 1955 rect 11931 1952 11943 1955 -rect 12069 1955 12127 1961 -rect 12069 1952 12081 1955 -rect 11931 1924 12081 1952 +rect 12894 1952 12900 1964 +rect 11931 1924 12900 1952 rect 11931 1921 11943 1924 rect 11885 1915 11943 1921 -rect 12069 1921 12081 1924 -rect 12115 1921 12127 1955 -rect 12710 1952 12716 1964 -rect 12671 1924 12716 1952 -rect 12069 1915 12127 1921 -rect 12710 1912 12716 1924 -rect 12768 1912 12774 1964 -rect 12894 1912 12900 1964 -rect 12952 1952 12958 1964 -rect 13449 1955 13507 1961 -rect 13449 1952 13461 1955 -rect 12952 1924 13461 1952 -rect 12952 1912 12958 1924 -rect 13449 1921 13461 1924 -rect 13495 1921 13507 1955 -rect 13449 1915 13507 1921 -rect 13538 1912 13544 1964 -rect 13596 1952 13602 1964 -rect 14108 1961 14136 1992 -rect 15197 1989 15209 2023 -rect 15243 1989 15255 2023 -rect 15197 1983 15255 1989 -rect 13909 1955 13967 1961 -rect 13909 1952 13921 1955 -rect 13596 1924 13921 1952 -rect 13596 1912 13602 1924 -rect 13909 1921 13921 1924 -rect 13955 1921 13967 1955 -rect 13909 1915 13967 1921 -rect 14093 1955 14151 1961 -rect 14093 1921 14105 1955 -rect 14139 1921 14151 1955 -rect 14093 1915 14151 1921 -rect 14277 1955 14335 1961 -rect 14277 1921 14289 1955 -rect 14323 1952 14335 1955 +rect 12894 1912 12900 1924 +rect 12952 1912 12958 1964 +rect 13078 1952 13084 1964 +rect 13039 1924 13084 1952 +rect 13078 1912 13084 1924 +rect 13136 1912 13142 1964 +rect 11330 1884 11336 1896 +rect 9548 1856 10833 1884 +rect 11243 1856 11336 1884 +rect 9548 1844 9554 1856 +rect 7524 1788 8340 1816 +rect 7524 1776 7530 1788 +rect 8478 1776 8484 1828 +rect 8536 1816 8542 1828 +rect 9306 1816 9312 1828 +rect 8536 1788 9312 1816 +rect 8536 1776 8542 1788 +rect 9306 1776 9312 1788 +rect 9364 1776 9370 1828 +rect 9401 1819 9459 1825 +rect 9401 1785 9413 1819 +rect 9447 1816 9459 1819 +rect 10134 1816 10140 1828 +rect 9447 1788 10140 1816 +rect 9447 1785 9459 1788 +rect 9401 1779 9459 1785 +rect 10134 1776 10140 1788 +rect 10192 1776 10198 1828 +rect 10805 1816 10833 1856 +rect 11330 1844 11336 1856 +rect 11388 1884 11394 1896 +rect 13188 1884 13216 1992 +rect 15378 1980 15384 1992 +rect 15436 1980 15442 2032 +rect 17098 2023 17156 2029 +rect 17098 2020 17110 2023 +rect 16224 1992 17110 2020 +rect 14001 1955 14059 1961 +rect 14001 1921 14013 1955 +rect 14047 1921 14059 1955 +rect 14001 1915 14059 1921 +rect 14185 1955 14243 1961 +rect 14185 1921 14197 1955 +rect 14231 1952 14243 1955 rect 14366 1952 14372 1964 -rect 14323 1924 14372 1952 -rect 14323 1921 14335 1924 -rect 14277 1915 14335 1921 -rect 9853 1905 9911 1911 -rect 10962 1884 10968 1896 -rect 10923 1856 10968 1884 -rect 10962 1844 10968 1856 -rect 11020 1844 11026 1896 -rect 12802 1884 12808 1896 -rect 12763 1856 12808 1884 -rect 12802 1844 12808 1856 -rect 12860 1844 12866 1896 -rect 13725 1887 13783 1893 -rect 13725 1853 13737 1887 -rect 13771 1884 13783 1887 -rect 13814 1884 13820 1896 -rect 13771 1856 13820 1884 -rect 13771 1853 13783 1856 -rect 13725 1847 13783 1853 -rect 13814 1844 13820 1856 -rect 13872 1844 13878 1896 -rect 9858 1816 9864 1828 -rect 8435 1788 8800 1816 -rect 9771 1788 9864 1816 -rect 8435 1785 8447 1788 -rect 8389 1779 8447 1785 -rect 1780 1748 1808 1776 -rect 308 1720 1808 1748 -rect 1946 1708 1952 1760 -rect 2004 1748 2010 1760 -rect 2222 1748 2228 1760 -rect 2004 1720 2228 1748 -rect 2004 1708 2010 1720 -rect 2222 1708 2228 1720 -rect 2280 1708 2286 1760 -rect 2498 1708 2504 1760 -rect 2556 1748 2562 1760 -rect 3237 1751 3295 1757 -rect 3237 1748 3249 1751 -rect 2556 1720 3249 1748 -rect 2556 1708 2562 1720 -rect 3237 1717 3249 1720 -rect 3283 1717 3295 1751 -rect 4430 1748 4436 1760 -rect 4391 1720 4436 1748 -rect 3237 1711 3295 1717 -rect 4430 1708 4436 1720 -rect 4488 1708 4494 1760 -rect 4522 1708 4528 1760 -rect 4580 1748 4586 1760 -rect 4617 1751 4675 1757 -rect 4617 1748 4629 1751 -rect 4580 1720 4629 1748 -rect 4580 1708 4586 1720 -rect 4617 1717 4629 1720 -rect 4663 1717 4675 1751 -rect 4617 1711 4675 1717 -rect 5166 1708 5172 1760 -rect 5224 1748 5230 1760 -rect 5629 1751 5687 1757 -rect 5629 1748 5641 1751 -rect 5224 1720 5641 1748 -rect 5224 1708 5230 1720 -rect 5629 1717 5641 1720 -rect 5675 1717 5687 1751 -rect 8662 1748 8668 1760 -rect 8623 1720 8668 1748 -rect 5629 1711 5687 1717 -rect 8662 1708 8668 1720 -rect 8720 1708 8726 1760 -rect 8772 1748 8800 1788 -rect 9858 1776 9864 1788 -rect 9916 1816 9922 1828 -rect 12820 1816 12848 1844 -rect 9916 1788 12848 1816 -rect 9916 1776 9922 1788 -rect 10134 1748 10140 1760 -rect 8772 1720 10140 1748 -rect 10134 1708 10140 1720 -rect 10192 1708 10198 1760 -rect 11793 1751 11851 1757 -rect 11793 1717 11805 1751 -rect 11839 1748 11851 1751 -rect 12158 1748 12164 1760 -rect 11839 1720 12164 1748 -rect 11839 1717 11851 1720 -rect 11793 1711 11851 1717 -rect 12158 1708 12164 1720 -rect 12216 1708 12222 1760 -rect 14108 1748 14136 1915 +rect 14231 1924 14372 1952 +rect 14231 1921 14243 1924 +rect 14185 1915 14243 1921 +rect 13357 1887 13415 1893 +rect 13357 1884 13369 1887 +rect 11388 1856 13124 1884 +rect 13188 1856 13369 1884 +rect 11388 1844 11394 1856 +rect 13096 1816 13124 1856 +rect 13357 1853 13369 1856 +rect 13403 1884 13415 1887 +rect 13906 1884 13912 1896 +rect 13403 1856 13912 1884 +rect 13403 1853 13415 1856 +rect 13357 1847 13415 1853 +rect 13906 1844 13912 1856 +rect 13964 1844 13970 1896 +rect 14016 1816 14044 1915 rect 14366 1912 14372 1924 rect 14424 1912 14430 1964 -rect 14642 1912 14648 1964 -rect 14700 1952 14706 1964 -rect 14829 1955 14887 1961 -rect 14829 1952 14841 1955 -rect 14700 1924 14841 1952 -rect 14700 1912 14706 1924 -rect 14829 1921 14841 1924 -rect 14875 1921 14887 1955 -rect 16666 1952 16672 1964 -rect 16330 1924 16672 1952 -rect 14829 1915 14887 1921 -rect 16666 1912 16672 1924 -rect 16724 1912 16730 1964 -rect 16942 1912 16948 1964 -rect 17000 1952 17006 1964 -rect 17221 1955 17279 1961 -rect 17221 1952 17233 1955 -rect 17000 1924 17233 1952 -rect 17000 1912 17006 1924 -rect 17221 1921 17233 1924 -rect 17267 1921 17279 1955 -rect 18230 1952 18236 1964 -rect 18191 1924 18236 1952 -rect 17221 1915 17279 1921 -rect 18230 1912 18236 1924 -rect 18288 1912 18294 1964 -rect 14185 1887 14243 1893 -rect 14185 1853 14197 1887 -rect 14231 1884 14243 1887 -rect 14553 1887 14611 1893 -rect 14553 1884 14565 1887 -rect 14231 1856 14565 1884 -rect 14231 1853 14243 1856 -rect 14185 1847 14243 1853 -rect 14553 1853 14565 1856 -rect 14599 1853 14611 1887 -rect 14553 1847 14611 1853 -rect 14921 1887 14979 1893 -rect 14921 1853 14933 1887 -rect 14967 1884 14979 1887 -rect 15286 1884 15292 1896 -rect 14967 1856 15292 1884 -rect 14967 1853 14979 1856 -rect 14921 1847 14979 1853 -rect 15286 1844 15292 1856 -rect 15344 1844 15350 1896 -rect 15562 1844 15568 1896 -rect 15620 1884 15626 1896 -rect 17405 1887 17463 1893 -rect 17405 1884 17417 1887 -rect 15620 1856 17417 1884 -rect 15620 1844 15626 1856 -rect 17405 1853 17417 1856 -rect 17451 1853 17463 1887 -rect 17405 1847 17463 1853 -rect 14645 1819 14703 1825 -rect 14645 1785 14657 1819 -rect 14691 1816 14703 1819 -rect 14826 1816 14832 1828 -rect 14691 1788 14832 1816 -rect 14691 1785 14703 1788 -rect 14645 1779 14703 1785 -rect 14826 1776 14832 1788 -rect 14884 1776 14890 1828 -rect 16853 1819 16911 1825 -rect 16853 1816 16865 1819 -rect 16546 1788 16865 1816 -rect 14737 1751 14795 1757 -rect 14737 1748 14749 1751 -rect 14108 1720 14749 1748 -rect 14737 1717 14749 1720 -rect 14783 1748 14795 1751 -rect 15378 1748 15384 1760 -rect 14783 1720 15384 1748 -rect 14783 1717 14795 1720 -rect 14737 1711 14795 1717 -rect 15378 1708 15384 1720 -rect 15436 1708 15442 1760 -rect 15930 1708 15936 1760 -rect 15988 1748 15994 1760 -rect 16546 1748 16574 1788 -rect 16853 1785 16865 1788 -rect 16899 1785 16911 1819 -rect 16853 1779 16911 1785 -rect 15988 1720 16574 1748 -rect 15988 1708 15994 1720 +rect 14826 1952 14832 1964 +rect 14787 1924 14832 1952 +rect 14826 1912 14832 1924 +rect 14884 1912 14890 1964 +rect 14918 1912 14924 1964 +rect 14976 1952 14982 1964 +rect 16224 1961 16252 1992 +rect 17098 1989 17110 1992 +rect 17144 2020 17156 2023 +rect 17402 2020 17408 2032 +rect 17144 1992 17408 2020 +rect 17144 1989 17156 1992 +rect 17098 1983 17156 1989 +rect 17402 1980 17408 1992 +rect 17460 1980 17466 2032 +rect 16209 1955 16267 1961 +rect 16209 1952 16221 1955 +rect 14976 1924 16221 1952 +rect 14976 1912 14982 1924 +rect 16209 1921 16221 1924 +rect 16255 1921 16267 1955 +rect 16209 1915 16267 1921 +rect 16301 1955 16359 1961 +rect 16301 1921 16313 1955 +rect 16347 1952 16359 1955 +rect 16482 1952 16488 1964 +rect 16347 1924 16488 1952 +rect 16347 1921 16359 1924 +rect 16301 1915 16359 1921 +rect 16482 1912 16488 1924 +rect 16540 1912 16546 1964 +rect 14277 1887 14335 1893 +rect 14277 1853 14289 1887 +rect 14323 1884 14335 1887 +rect 14458 1884 14464 1896 +rect 14323 1856 14464 1884 +rect 14323 1853 14335 1856 +rect 14277 1847 14335 1853 +rect 14458 1844 14464 1856 +rect 14516 1844 14522 1896 +rect 14936 1884 14964 1912 +rect 14844 1856 14964 1884 +rect 15013 1887 15071 1893 +rect 14844 1816 14872 1856 +rect 15013 1853 15025 1887 +rect 15059 1884 15071 1887 +rect 15470 1884 15476 1896 +rect 15059 1856 15476 1884 +rect 15059 1853 15071 1856 +rect 15013 1847 15071 1853 +rect 10805 1788 13032 1816 +rect 13096 1788 14044 1816 +rect 14108 1788 14872 1816 +rect 842 1748 848 1760 +rect 803 1720 848 1748 +rect 842 1708 848 1720 +rect 900 1708 906 1760 +rect 5350 1708 5356 1760 +rect 5408 1748 5414 1760 +rect 7006 1748 7012 1760 +rect 5408 1720 7012 1748 +rect 5408 1708 5414 1720 +rect 7006 1708 7012 1720 +rect 7064 1708 7070 1760 +rect 7282 1748 7288 1760 +rect 7243 1720 7288 1748 +rect 7282 1708 7288 1720 +rect 7340 1708 7346 1760 +rect 7834 1748 7840 1760 +rect 7795 1720 7840 1748 +rect 7834 1708 7840 1720 +rect 7892 1708 7898 1760 +rect 7926 1708 7932 1760 +rect 7984 1748 7990 1760 +rect 8754 1748 8760 1760 +rect 7984 1720 8760 1748 +rect 7984 1708 7990 1720 +rect 8754 1708 8760 1720 +rect 8812 1708 8818 1760 +rect 9858 1708 9864 1760 +rect 9916 1748 9922 1760 +rect 10413 1751 10471 1757 +rect 10413 1748 10425 1751 +rect 9916 1720 10425 1748 +rect 9916 1708 9922 1720 +rect 10413 1717 10425 1720 +rect 10459 1717 10471 1751 +rect 10413 1711 10471 1717 +rect 11054 1708 11060 1760 +rect 11112 1748 11118 1760 +rect 11330 1748 11336 1760 +rect 11112 1720 11336 1748 +rect 11112 1708 11118 1720 +rect 11330 1708 11336 1720 +rect 11388 1708 11394 1760 +rect 12713 1751 12771 1757 +rect 12713 1717 12725 1751 +rect 12759 1748 12771 1751 +rect 12802 1748 12808 1760 +rect 12759 1720 12808 1748 +rect 12759 1717 12771 1720 +rect 12713 1711 12771 1717 +rect 12802 1708 12808 1720 +rect 12860 1708 12866 1760 +rect 13004 1748 13032 1788 +rect 14108 1748 14136 1788 +rect 13004 1720 14136 1748 +rect 14182 1708 14188 1760 +rect 14240 1748 14246 1760 +rect 14461 1751 14519 1757 +rect 14461 1748 14473 1751 +rect 14240 1720 14473 1748 +rect 14240 1708 14246 1720 +rect 14461 1717 14473 1720 +rect 14507 1717 14519 1751 +rect 14461 1711 14519 1717 +rect 14734 1708 14740 1760 +rect 14792 1748 14798 1760 +rect 15028 1748 15056 1847 +rect 15470 1844 15476 1856 +rect 15528 1884 15534 1896 +rect 16025 1887 16083 1893 +rect 16025 1884 16037 1887 +rect 15528 1856 16037 1884 +rect 15528 1844 15534 1856 +rect 16025 1853 16037 1856 +rect 16071 1853 16083 1887 +rect 16025 1847 16083 1853 +rect 16114 1844 16120 1896 +rect 16172 1884 16178 1896 +rect 16853 1887 16911 1893 +rect 16853 1884 16865 1887 +rect 16172 1856 16865 1884 +rect 16172 1844 16178 1856 +rect 16853 1853 16865 1856 +rect 16899 1853 16911 1887 +rect 16853 1847 16911 1853 +rect 16666 1748 16672 1760 +rect 14792 1720 15056 1748 +rect 16627 1720 16672 1748 +rect 14792 1708 14798 1720 +rect 16666 1708 16672 1720 +rect 16724 1708 16730 1760 rect 0 1658 18860 1680 rect 0 1606 3110 1658 rect 3162 1606 3174 1658 @@ -8385,351 +8931,560 @@ rect 15690 1606 15702 1658 rect 15754 1606 15766 1658 rect 15818 1606 18860 1658 rect 0 1584 18860 1606 -rect 10134 1504 10140 1556 -rect 10192 1544 10198 1556 -rect 10597 1547 10655 1553 -rect 10597 1544 10609 1547 -rect 10192 1516 10609 1544 -rect 10192 1504 10198 1516 -rect 10597 1513 10609 1516 -rect 10643 1513 10655 1547 -rect 10597 1507 10655 1513 -rect 14553 1547 14611 1553 -rect 14553 1513 14565 1547 -rect 14599 1544 14611 1547 +rect 2774 1504 2780 1556 +rect 2832 1544 2838 1556 +rect 3053 1547 3111 1553 +rect 3053 1544 3065 1547 +rect 2832 1516 3065 1544 +rect 2832 1504 2838 1516 +rect 3053 1513 3065 1516 +rect 3099 1513 3111 1547 +rect 5902 1544 5908 1556 +rect 5863 1516 5908 1544 +rect 3053 1507 3111 1513 +rect 845 1343 903 1349 +rect 845 1309 857 1343 +rect 891 1340 903 1343 +rect 1302 1340 1308 1352 +rect 891 1312 1308 1340 +rect 891 1309 903 1312 +rect 845 1303 903 1309 +rect 1302 1300 1308 1312 +rect 1360 1300 1366 1352 +rect 3068 1340 3096 1507 +rect 5902 1504 5908 1516 +rect 5960 1504 5966 1556 +rect 6638 1544 6644 1556 +rect 6012 1516 6644 1544 +rect 5629 1479 5687 1485 +rect 5629 1445 5641 1479 +rect 5675 1476 5687 1479 +rect 6012 1476 6040 1516 +rect 6638 1504 6644 1516 +rect 6696 1504 6702 1556 +rect 6914 1504 6920 1556 +rect 6972 1544 6978 1556 +rect 9674 1544 9680 1556 +rect 6972 1516 9680 1544 +rect 6972 1504 6978 1516 +rect 9674 1504 9680 1516 +rect 9732 1504 9738 1556 +rect 10042 1544 10048 1556 +rect 10003 1516 10048 1544 +rect 10042 1504 10048 1516 +rect 10100 1504 10106 1556 +rect 11054 1544 11060 1556 +rect 10428 1516 11060 1544 +rect 7190 1476 7196 1488 +rect 5675 1448 6040 1476 +rect 6564 1448 7196 1476 +rect 5675 1445 5687 1448 +rect 5629 1439 5687 1445 +rect 5258 1368 5264 1420 +rect 5316 1408 5322 1420 +rect 5353 1411 5411 1417 +rect 5353 1408 5365 1411 +rect 5316 1380 5365 1408 +rect 5316 1368 5322 1380 +rect 5353 1377 5365 1380 +rect 5399 1377 5411 1411 +rect 5994 1408 6000 1420 +rect 5353 1371 5411 1377 +rect 5644 1380 6000 1408 +rect 3145 1343 3203 1349 +rect 3145 1340 3157 1343 +rect 3068 1312 3157 1340 +rect 3145 1309 3157 1312 +rect 3191 1309 3203 1343 +rect 3145 1303 3203 1309 +rect 3602 1300 3608 1352 +rect 3660 1340 3666 1352 +rect 4783 1343 4841 1349 +rect 4783 1340 4795 1343 +rect 3660 1312 4795 1340 +rect 3660 1300 3666 1312 +rect 4783 1309 4795 1312 +rect 4829 1309 4841 1343 +rect 4783 1303 4841 1309 +rect 5077 1343 5135 1349 +rect 5077 1309 5089 1343 +rect 5123 1340 5135 1343 +rect 5534 1340 5540 1352 +rect 5123 1312 5540 1340 +rect 5123 1309 5135 1312 +rect 5077 1303 5135 1309 +rect 5534 1300 5540 1312 +rect 5592 1340 5598 1352 +rect 5644 1340 5672 1380 +rect 5994 1368 6000 1380 +rect 6052 1368 6058 1420 +rect 6564 1408 6592 1448 +rect 7190 1436 7196 1448 +rect 7248 1436 7254 1488 +rect 7300 1448 7972 1476 +rect 6380 1380 6592 1408 +rect 5592 1312 5672 1340 +rect 5721 1343 5779 1349 +rect 5592 1300 5598 1312 +rect 5721 1309 5733 1343 +rect 5767 1309 5779 1343 +rect 5721 1303 5779 1309 +rect 5905 1343 5963 1349 +rect 5905 1309 5917 1343 +rect 5951 1340 5963 1343 +rect 6380 1340 6408 1380 +rect 6638 1368 6644 1420 +rect 6696 1408 6702 1420 +rect 6733 1411 6791 1417 +rect 6733 1408 6745 1411 +rect 6696 1380 6745 1408 +rect 6696 1368 6702 1380 +rect 6733 1377 6745 1380 +rect 6779 1408 6791 1411 +rect 7300 1408 7328 1448 +rect 6779 1380 7328 1408 +rect 6779 1377 6791 1380 +rect 6733 1371 6791 1377 +rect 7374 1368 7380 1420 +rect 7432 1408 7438 1420 +rect 7653 1411 7711 1417 +rect 7432 1380 7477 1408 +rect 7432 1368 7438 1380 +rect 7653 1377 7665 1411 +rect 7699 1408 7711 1411 +rect 7834 1408 7840 1420 +rect 7699 1380 7840 1408 +rect 7699 1377 7711 1380 +rect 7653 1371 7711 1377 +rect 7834 1368 7840 1380 +rect 7892 1368 7898 1420 +rect 7944 1408 7972 1448 +rect 8110 1436 8116 1488 +rect 8168 1476 8174 1488 +rect 10428 1476 10456 1516 +rect 11054 1504 11060 1516 +rect 11112 1504 11118 1556 +rect 11146 1504 11152 1556 +rect 11204 1544 11210 1556 rect 14642 1544 14648 1556 -rect 14599 1516 14648 1544 -rect 14599 1513 14611 1516 -rect 14553 1507 14611 1513 -rect 3513 1479 3571 1485 -rect 3513 1445 3525 1479 -rect 3559 1476 3571 1479 -rect 4062 1476 4068 1488 -rect 3559 1448 4068 1476 -rect 3559 1445 3571 1448 -rect 3513 1439 3571 1445 -rect 3896 1417 3924 1448 -rect 4062 1436 4068 1448 -rect 4120 1476 4126 1488 -rect 5074 1476 5080 1488 -rect 4120 1448 5080 1476 -rect 4120 1436 4126 1448 -rect 5074 1436 5080 1448 -rect 5132 1436 5138 1488 -rect 7193 1479 7251 1485 -rect 7193 1445 7205 1479 -rect 7239 1476 7251 1479 -rect 7466 1476 7472 1488 -rect 7239 1448 7472 1476 -rect 7239 1445 7251 1448 -rect 7193 1439 7251 1445 -rect 7466 1436 7472 1448 -rect 7524 1436 7530 1488 -rect 7929 1479 7987 1485 -rect 7929 1445 7941 1479 -rect 7975 1445 7987 1479 -rect 7929 1439 7987 1445 -rect 2041 1411 2099 1417 -rect 2041 1377 2053 1411 -rect 2087 1408 2099 1411 -rect 3697 1411 3755 1417 -rect 3697 1408 3709 1411 -rect 2087 1380 3709 1408 -rect 2087 1377 2099 1380 -rect 2041 1371 2099 1377 -rect 3697 1377 3709 1380 -rect 3743 1377 3755 1411 -rect 3697 1371 3755 1377 -rect 3881 1411 3939 1417 -rect 3881 1377 3893 1411 -rect 3927 1377 3939 1411 -rect 3881 1371 3939 1377 -rect 3973 1411 4031 1417 -rect 3973 1377 3985 1411 -rect 4019 1408 4031 1411 -rect 4522 1408 4528 1420 -rect 4019 1380 4528 1408 -rect 4019 1377 4031 1380 -rect 3973 1371 4031 1377 -rect 4522 1368 4528 1380 -rect 4580 1408 4586 1420 -rect 5169 1411 5227 1417 -rect 5169 1408 5181 1411 -rect 4580 1380 5181 1408 -rect 4580 1368 4586 1380 -rect 5169 1377 5181 1380 -rect 5215 1377 5227 1411 -rect 6730 1408 6736 1420 -rect 5169 1371 5227 1377 -rect 5644 1380 6736 1408 -rect 1762 1340 1768 1352 -rect 1723 1312 1768 1340 -rect 1762 1300 1768 1312 -rect 1820 1300 1826 1352 -rect 4338 1340 4344 1352 -rect 3174 1312 4344 1340 -rect 4338 1300 4344 1312 -rect 4396 1300 4402 1352 -rect 4893 1343 4951 1349 -rect 4893 1309 4905 1343 -rect 4939 1309 4951 1343 -rect 4893 1303 4951 1309 -rect 4522 1272 4528 1284 -rect 4483 1244 4528 1272 -rect 4522 1232 4528 1244 -rect 4580 1232 4586 1284 -rect 4908 1272 4936 1303 -rect 4982 1300 4988 1352 -rect 5040 1340 5046 1352 -rect 5644 1349 5672 1380 -rect 6730 1368 6736 1380 -rect 6788 1368 6794 1420 -rect 5445 1343 5503 1349 -rect 5445 1340 5457 1343 -rect 5040 1312 5457 1340 -rect 5040 1300 5046 1312 -rect 5445 1309 5457 1312 -rect 5491 1309 5503 1343 -rect 5445 1303 5503 1309 -rect 5629 1343 5687 1349 -rect 5629 1309 5641 1343 -rect 5675 1309 5687 1343 -rect 5902 1340 5908 1352 -rect 5863 1312 5908 1340 -rect 5629 1303 5687 1309 -rect 5902 1300 5908 1312 -rect 5960 1300 5966 1352 -rect 5994 1300 6000 1352 -rect 6052 1340 6058 1352 -rect 7006 1340 7012 1352 -rect 6052 1312 7012 1340 -rect 6052 1300 6058 1312 -rect 7006 1300 7012 1312 -rect 7064 1300 7070 1352 -rect 7193 1343 7251 1349 -rect 7193 1309 7205 1343 -rect 7239 1309 7251 1343 -rect 7193 1303 7251 1309 -rect 5258 1272 5264 1284 -rect 4908 1244 5264 1272 -rect 5258 1232 5264 1244 -rect 5316 1232 5322 1284 -rect 6825 1275 6883 1281 -rect 6825 1241 6837 1275 -rect 6871 1241 6883 1275 -rect 7208 1272 7236 1303 -rect 7374 1300 7380 1352 -rect 7432 1340 7438 1352 -rect 7469 1343 7527 1349 -rect 7469 1340 7481 1343 -rect 7432 1312 7481 1340 -rect 7432 1300 7438 1312 -rect 7469 1309 7481 1312 -rect 7515 1309 7527 1343 -rect 7944 1340 7972 1439 -rect 8481 1411 8539 1417 -rect 8481 1377 8493 1411 -rect 8527 1408 8539 1411 -rect 8662 1408 8668 1420 -rect 8527 1380 8668 1408 -rect 8527 1377 8539 1380 -rect 8481 1371 8539 1377 -rect 8662 1368 8668 1380 -rect 8720 1368 8726 1420 -rect 8754 1368 8760 1420 -rect 8812 1408 8818 1420 -rect 9030 1408 9036 1420 -rect 8812 1380 9036 1408 -rect 8812 1368 8818 1380 -rect 9030 1368 9036 1380 -rect 9088 1368 9094 1420 -rect 10612 1408 10640 1507 +rect 11204 1516 12434 1544 +rect 11204 1504 11210 1516 +rect 10778 1476 10784 1488 +rect 8168 1448 10456 1476 +rect 10520 1448 10784 1476 +rect 8168 1436 8174 1448 +rect 9125 1411 9183 1417 +rect 9125 1408 9137 1411 +rect 7944 1380 9137 1408 +rect 9125 1377 9137 1380 +rect 9171 1408 9183 1411 +rect 9766 1408 9772 1420 +rect 9171 1380 9772 1408 +rect 9171 1377 9183 1380 +rect 9125 1371 9183 1377 +rect 9766 1368 9772 1380 +rect 9824 1368 9830 1420 +rect 10520 1408 10548 1448 +rect 10778 1436 10784 1448 +rect 10836 1476 10842 1488 +rect 11606 1476 11612 1488 +rect 10836 1448 11612 1476 +rect 10836 1436 10842 1448 +rect 11606 1436 11612 1448 +rect 11664 1476 11670 1488 +rect 11790 1476 11796 1488 +rect 11664 1448 11796 1476 +rect 11664 1436 11670 1448 +rect 11790 1436 11796 1448 +rect 11848 1436 11854 1488 +rect 9968 1380 10548 1408 +rect 5951 1312 6408 1340 +rect 6457 1343 6515 1349 +rect 5951 1309 5963 1312 +rect 5905 1303 5963 1309 +rect 6457 1309 6469 1343 +rect 6503 1340 6515 1343 +rect 6546 1340 6552 1352 +rect 6503 1312 6552 1340 +rect 6503 1309 6515 1312 +rect 6457 1303 6515 1309 +rect 1578 1272 1584 1284 +rect 1539 1244 1584 1272 +rect 1578 1232 1584 1244 +rect 1636 1232 1642 1284 +rect 3418 1272 3424 1284 +rect 382 1164 388 1216 +rect 440 1204 446 1216 +rect 569 1207 627 1213 +rect 569 1204 581 1207 +rect 440 1176 581 1204 +rect 440 1164 446 1176 +rect 569 1173 581 1176 +rect 615 1173 627 1207 +rect 569 1167 627 1173 +rect 2590 1164 2596 1216 +rect 2648 1204 2654 1216 +rect 2792 1204 2820 1258 +rect 3379 1244 3424 1272 +rect 3418 1232 3424 1244 +rect 3476 1232 3482 1284 +rect 3970 1232 3976 1284 +rect 4028 1272 4034 1284 +rect 5261 1275 5319 1281 +rect 5261 1272 5273 1275 +rect 4028 1244 5273 1272 +rect 4028 1232 4034 1244 +rect 5261 1241 5273 1244 +rect 5307 1272 5319 1275 +rect 5629 1275 5687 1281 +rect 5629 1272 5641 1275 +rect 5307 1244 5641 1272 +rect 5307 1241 5319 1244 +rect 5261 1235 5319 1241 +rect 5629 1241 5641 1244 +rect 5675 1241 5687 1275 +rect 5736 1272 5764 1303 +rect 6546 1300 6552 1312 +rect 6604 1300 6610 1352 +rect 7098 1340 7104 1352 +rect 6748 1312 7104 1340 +rect 6362 1272 6368 1284 +rect 5736 1244 6368 1272 +rect 5629 1235 5687 1241 +rect 6362 1232 6368 1244 +rect 6420 1232 6426 1284 +rect 3789 1207 3847 1213 +rect 3789 1204 3801 1207 +rect 2648 1176 3801 1204 +rect 2648 1164 2654 1176 +rect 3789 1173 3801 1176 +rect 3835 1204 3847 1207 +rect 3878 1204 3884 1216 +rect 3835 1176 3884 1204 +rect 3835 1173 3847 1176 +rect 3789 1167 3847 1173 +rect 3878 1164 3884 1176 +rect 3936 1164 3942 1216 +rect 6086 1204 6092 1216 +rect 6047 1176 6092 1204 +rect 6086 1164 6092 1176 +rect 6144 1164 6150 1216 +rect 6549 1207 6607 1213 +rect 6549 1173 6561 1207 +rect 6595 1204 6607 1207 +rect 6748 1204 6776 1312 +rect 7098 1300 7104 1312 +rect 7156 1300 7162 1352 +rect 7285 1343 7343 1349 +rect 7285 1309 7297 1343 +rect 7331 1340 7343 1343 +rect 7466 1340 7472 1352 +rect 7331 1312 7472 1340 +rect 7331 1309 7343 1312 +rect 7285 1303 7343 1309 +rect 7466 1300 7472 1312 +rect 7524 1300 7530 1352 +rect 8021 1343 8079 1349 +rect 8021 1309 8033 1343 +rect 8067 1309 8079 1343 +rect 8021 1303 8079 1309 +rect 7190 1232 7196 1284 +rect 7248 1272 7254 1284 +rect 7374 1272 7380 1284 +rect 7248 1244 7380 1272 +rect 7248 1232 7254 1244 +rect 7374 1232 7380 1244 +rect 7432 1232 7438 1284 +rect 8036 1272 8064 1303 +rect 8110 1300 8116 1352 +rect 8168 1340 8174 1352 +rect 8297 1343 8355 1349 +rect 8168 1312 8213 1340 +rect 8168 1300 8174 1312 +rect 8297 1309 8309 1343 +rect 8343 1340 8355 1343 +rect 8846 1340 8852 1352 +rect 8343 1312 8852 1340 +rect 8343 1309 8355 1312 +rect 8297 1303 8355 1309 +rect 8846 1300 8852 1312 +rect 8904 1300 8910 1352 +rect 9858 1340 9864 1352 +rect 9819 1312 9864 1340 +rect 9858 1300 9864 1312 +rect 9916 1300 9922 1352 +rect 8036 1244 8524 1272 +rect 6914 1204 6920 1216 +rect 6595 1176 6776 1204 +rect 6875 1176 6920 1204 +rect 6595 1173 6607 1176 +rect 6549 1167 6607 1173 +rect 6914 1164 6920 1176 +rect 6972 1164 6978 1216 +rect 7006 1164 7012 1216 +rect 7064 1204 7070 1216 +rect 8202 1204 8208 1216 +rect 7064 1176 8208 1204 +rect 7064 1164 7070 1176 +rect 8202 1164 8208 1176 +rect 8260 1164 8266 1216 +rect 8496 1213 8524 1244 +rect 9674 1232 9680 1284 +rect 9732 1272 9738 1284 +rect 9968 1272 9996 1380 +rect 10134 1340 10140 1352 +rect 10095 1312 10140 1340 +rect 10134 1300 10140 1312 +rect 10192 1300 10198 1352 +rect 10318 1340 10324 1352 +rect 10279 1312 10324 1340 +rect 10318 1300 10324 1312 +rect 10376 1300 10382 1352 +rect 10520 1349 10548 1380 +rect 11149 1411 11207 1417 +rect 11149 1377 11161 1411 +rect 11195 1408 11207 1411 +rect 11330 1408 11336 1420 +rect 11195 1380 11336 1408 +rect 11195 1377 11207 1380 +rect 11149 1371 11207 1377 +rect 11330 1368 11336 1380 +rect 11388 1368 11394 1420 +rect 12406 1408 12434 1516 +rect 12728 1516 14648 1544 +rect 12728 1417 12756 1516 rect 14642 1504 14648 1516 rect 14700 1504 14706 1556 rect 14737 1547 14795 1553 rect 14737 1513 14749 1547 rect 14783 1544 14795 1547 -rect 14918 1544 14924 1556 -rect 14783 1516 14924 1544 +rect 14826 1544 14832 1556 +rect 14783 1516 14832 1544 rect 14783 1513 14795 1516 rect 14737 1507 14795 1513 -rect 14918 1504 14924 1516 -rect 14976 1504 14982 1556 -rect 14660 1476 14688 1504 -rect 15102 1476 15108 1488 -rect 14660 1448 15108 1476 -rect 15102 1436 15108 1448 -rect 15160 1436 15166 1488 -rect 10612 1380 11284 1408 -rect 8570 1340 8576 1352 -rect 7944 1312 8576 1340 -rect 7469 1303 7527 1309 -rect 8570 1300 8576 1312 -rect 8628 1300 8634 1352 -rect 8846 1340 8852 1352 -rect 8807 1312 8852 1340 -rect 8846 1300 8852 1312 -rect 8904 1300 8910 1352 -rect 10275 1343 10333 1349 -rect 10275 1309 10287 1343 -rect 10321 1340 10333 1343 -rect 10410 1340 10416 1352 -rect 10321 1312 10416 1340 -rect 10321 1309 10333 1312 -rect 10275 1303 10333 1309 -rect 10410 1300 10416 1312 -rect 10468 1340 10474 1352 -rect 10962 1340 10968 1352 -rect 10468 1312 10968 1340 -rect 10468 1300 10474 1312 -rect 10962 1300 10968 1312 -rect 11020 1300 11026 1352 -rect 11256 1326 11284 1380 -rect 13814 1368 13820 1420 -rect 13872 1408 13878 1420 -rect 15289 1411 15347 1417 -rect 15289 1408 15301 1411 -rect 13872 1380 15301 1408 -rect 13872 1368 13878 1380 -rect 15289 1377 15301 1380 -rect 15335 1377 15347 1411 -rect 15289 1371 15347 1377 -rect 12621 1343 12679 1349 -rect 12621 1309 12633 1343 -rect 12667 1309 12679 1343 -rect 12621 1303 12679 1309 -rect 13357 1343 13415 1349 -rect 13357 1309 13369 1343 -rect 13403 1340 13415 1343 -rect 13446 1340 13452 1352 -rect 13403 1312 13452 1340 -rect 13403 1309 13415 1312 -rect 13357 1303 13415 1309 -rect 7558 1272 7564 1284 -rect 7208 1244 7564 1272 -rect 6825 1235 6883 1241 -rect 4341 1207 4399 1213 -rect 4341 1173 4353 1207 -rect 4387 1204 4399 1207 -rect 5166 1204 5172 1216 -rect 4387 1176 5172 1204 -rect 4387 1173 4399 1176 -rect 4341 1167 4399 1173 -rect 5166 1164 5172 1176 -rect 5224 1164 5230 1216 -rect 5350 1204 5356 1216 -rect 5311 1176 5356 1204 -rect 5350 1164 5356 1176 -rect 5408 1164 5414 1216 -rect 5810 1204 5816 1216 -rect 5771 1176 5816 1204 -rect 5810 1164 5816 1176 -rect 5868 1164 5874 1216 -rect 6840 1204 6868 1235 -rect 7558 1232 7564 1244 -rect 7616 1232 7622 1284 -rect 10134 1272 10140 1284 -rect 9890 1244 10140 1272 -rect 10134 1232 10140 1244 -rect 10192 1232 10198 1284 -rect 12342 1272 12348 1284 -rect 12303 1244 12348 1272 -rect 12342 1232 12348 1244 -rect 12400 1232 12406 1284 -rect 12636 1272 12664 1303 -rect 13446 1300 13452 1312 -rect 13504 1300 13510 1352 -rect 13541 1343 13599 1349 -rect 13541 1309 13553 1343 -rect 13587 1340 13599 1343 -rect 14458 1340 14464 1352 -rect 13587 1312 14464 1340 -rect 13587 1309 13599 1312 -rect 13541 1303 13599 1309 -rect 14458 1300 14464 1312 -rect 14516 1300 14522 1352 -rect 15102 1340 15108 1352 -rect 15063 1312 15108 1340 -rect 15102 1300 15108 1312 -rect 15160 1300 15166 1352 -rect 15194 1300 15200 1352 -rect 15252 1340 15258 1352 -rect 15252 1312 15297 1340 -rect 15252 1300 15258 1312 -rect 15378 1300 15384 1352 -rect 15436 1340 15442 1352 -rect 15749 1343 15807 1349 -rect 15749 1340 15761 1343 -rect 15436 1312 15761 1340 -rect 15436 1300 15442 1312 -rect 15749 1309 15761 1312 -rect 15795 1309 15807 1343 -rect 15930 1340 15936 1352 -rect 15891 1312 15936 1340 -rect 15749 1303 15807 1309 -rect 15930 1300 15936 1312 -rect 15988 1300 15994 1352 -rect 16025 1343 16083 1349 -rect 16025 1309 16037 1343 -rect 16071 1340 16083 1343 +rect 14826 1504 14832 1516 +rect 14884 1504 14890 1556 +rect 14182 1436 14188 1488 +rect 14240 1436 14246 1488 +rect 14274 1436 14280 1488 +rect 14332 1476 14338 1488 +rect 14332 1448 15424 1476 +rect 14332 1436 14338 1448 +rect 12713 1411 12771 1417 +rect 12713 1408 12725 1411 +rect 12406 1380 12725 1408 +rect 12713 1377 12725 1380 +rect 12759 1377 12771 1411 +rect 12713 1371 12771 1377 +rect 10505 1343 10563 1349 +rect 10505 1309 10517 1343 +rect 10551 1309 10563 1343 +rect 11241 1343 11299 1349 +rect 11241 1340 11253 1343 +rect 10505 1303 10563 1309 +rect 10888 1312 11253 1340 +rect 9732 1244 9996 1272 +rect 9732 1232 9738 1244 +rect 8481 1207 8539 1213 +rect 8481 1173 8493 1207 +rect 8527 1173 8539 1207 +rect 8481 1167 8539 1173 +rect 8570 1164 8576 1216 +rect 8628 1204 8634 1216 +rect 8849 1207 8907 1213 +rect 8849 1204 8861 1207 +rect 8628 1176 8861 1204 +rect 8628 1164 8634 1176 +rect 8849 1173 8861 1176 +rect 8895 1173 8907 1207 +rect 8849 1167 8907 1173 +rect 8941 1207 8999 1213 +rect 8941 1173 8953 1207 +rect 8987 1204 8999 1207 +rect 9401 1207 9459 1213 +rect 9401 1204 9413 1207 +rect 8987 1176 9413 1204 +rect 8987 1173 8999 1176 +rect 8941 1167 8999 1173 +rect 9401 1173 9413 1176 +rect 9447 1173 9459 1207 +rect 10336 1204 10364 1300 +rect 10413 1275 10471 1281 +rect 10413 1241 10425 1275 +rect 10459 1272 10471 1275 +rect 10888 1272 10916 1312 +rect 11241 1309 11253 1312 +rect 11287 1309 11299 1343 +rect 11241 1303 11299 1309 +rect 11425 1343 11483 1349 +rect 11425 1309 11437 1343 +rect 11471 1340 11483 1343 +rect 11471 1312 12204 1340 +rect 11471 1309 11483 1312 +rect 11425 1303 11483 1309 +rect 10459 1244 10916 1272 +rect 10965 1275 11023 1281 +rect 10459 1241 10471 1244 +rect 10413 1235 10471 1241 +rect 10965 1241 10977 1275 +rect 11011 1241 11023 1275 +rect 11256 1272 11284 1303 +rect 12066 1272 12072 1284 +rect 11256 1244 12072 1272 +rect 10965 1235 11023 1241 +rect 10980 1204 11008 1235 +rect 12066 1232 12072 1244 +rect 12124 1232 12130 1284 +rect 11238 1204 11244 1216 +rect 10336 1176 11008 1204 +rect 11199 1176 11244 1204 +rect 9401 1167 9459 1173 +rect 11238 1164 11244 1176 +rect 11296 1164 11302 1216 +rect 12176 1213 12204 1312 +rect 12250 1300 12256 1352 +rect 12308 1340 12314 1352 +rect 13265 1343 13323 1349 +rect 13265 1340 13277 1343 +rect 12308 1312 13277 1340 +rect 12308 1300 12314 1312 +rect 13265 1309 13277 1312 +rect 13311 1309 13323 1343 +rect 13265 1303 13323 1309 +rect 13449 1343 13507 1349 +rect 13449 1309 13461 1343 +rect 13495 1309 13507 1343 +rect 13449 1303 13507 1309 +rect 12529 1275 12587 1281 +rect 12529 1241 12541 1275 +rect 12575 1272 12587 1275 +rect 12802 1272 12808 1284 +rect 12575 1244 12808 1272 +rect 12575 1241 12587 1244 +rect 12529 1235 12587 1241 +rect 12802 1232 12808 1244 +rect 12860 1232 12866 1284 +rect 13170 1232 13176 1284 +rect 13228 1272 13234 1284 +rect 13464 1272 13492 1303 +rect 13538 1300 13544 1352 +rect 13596 1340 13602 1352 +rect 14001 1343 14059 1349 +rect 14001 1340 14013 1343 +rect 13596 1312 14013 1340 +rect 13596 1300 13602 1312 +rect 14001 1309 14013 1312 +rect 14047 1340 14059 1343 +rect 14090 1340 14096 1352 +rect 14047 1312 14096 1340 +rect 14047 1309 14059 1312 +rect 14001 1303 14059 1309 +rect 14090 1300 14096 1312 +rect 14148 1300 14154 1352 +rect 14200 1349 14228 1436 +rect 15102 1368 15108 1420 +rect 15160 1408 15166 1420 +rect 15396 1417 15424 1448 +rect 15197 1411 15255 1417 +rect 15197 1408 15209 1411 +rect 15160 1380 15209 1408 +rect 15160 1368 15166 1380 +rect 15197 1377 15209 1380 +rect 15243 1377 15255 1411 +rect 15197 1371 15255 1377 +rect 15381 1411 15439 1417 +rect 15381 1377 15393 1411 +rect 15427 1408 15439 1411 +rect 15654 1408 15660 1420 +rect 15427 1380 15660 1408 +rect 15427 1377 15439 1380 +rect 15381 1371 15439 1377 +rect 15654 1368 15660 1380 +rect 15712 1368 15718 1420 +rect 14185 1343 14243 1349 +rect 14185 1309 14197 1343 +rect 14231 1309 14243 1343 +rect 14185 1303 14243 1309 +rect 14277 1343 14335 1349 +rect 14277 1309 14289 1343 +rect 14323 1309 14335 1343 rect 16114 1340 16120 1352 -rect 16071 1312 16120 1340 -rect 16071 1309 16083 1312 -rect 16025 1303 16083 1309 +rect 16027 1312 16120 1340 +rect 14277 1303 14335 1309 +rect 13228 1244 13492 1272 +rect 13228 1232 13234 1244 +rect 13722 1232 13728 1284 +rect 13780 1272 13786 1284 +rect 14292 1272 14320 1303 rect 16114 1300 16120 1312 rect 16172 1300 16178 1352 -rect 16390 1340 16396 1352 -rect 16351 1312 16396 1340 -rect 16390 1300 16396 1312 -rect 16448 1300 16454 1352 -rect 14550 1272 14556 1284 -rect 12636 1244 14556 1272 -rect 14550 1232 14556 1244 -rect 14608 1232 14614 1284 -rect 16666 1232 16672 1284 -rect 16724 1272 16730 1284 -rect 17862 1272 17868 1284 -rect 16724 1244 16790 1272 -rect 17823 1244 17868 1272 -rect 16724 1232 16730 1244 -rect 17862 1232 17868 1244 -rect 17920 1232 17926 1284 -rect 6914 1204 6920 1216 -rect 6827 1176 6920 1204 -rect 6914 1164 6920 1176 -rect 6972 1204 6978 1216 -rect 8202 1204 8208 1216 -rect 6972 1176 8208 1204 -rect 6972 1164 6978 1176 -rect 8202 1164 8208 1176 -rect 8260 1204 8266 1216 -rect 9398 1204 9404 1216 -rect 8260 1176 9404 1204 -rect 8260 1164 8266 1176 -rect 9398 1164 9404 1176 -rect 9456 1164 9462 1216 -rect 10873 1207 10931 1213 -rect 10873 1173 10885 1207 -rect 10919 1204 10931 1207 -rect 11514 1204 11520 1216 -rect 10919 1176 11520 1204 -rect 10919 1173 10931 1176 -rect 10873 1167 10931 1173 -rect 11514 1164 11520 1176 -rect 11572 1164 11578 1216 -rect 13354 1204 13360 1216 -rect 13315 1176 13360 1204 -rect 13354 1164 13360 1176 -rect 13412 1164 13418 1216 -rect 15841 1207 15899 1213 -rect 15841 1173 15853 1207 -rect 15887 1204 15899 1207 -rect 16022 1204 16028 1216 -rect 15887 1176 16028 1204 -rect 15887 1173 15899 1176 -rect 15841 1167 15899 1173 -rect 16022 1164 16028 1176 -rect 16080 1164 16086 1216 +rect 16132 1272 16160 1300 +rect 16390 1272 16396 1284 +rect 13780 1244 16160 1272 +rect 16351 1244 16396 1272 +rect 13780 1232 13786 1244 +rect 16390 1232 16396 1244 +rect 16448 1232 16454 1284 +rect 12161 1207 12219 1213 +rect 12161 1173 12173 1207 +rect 12207 1173 12219 1207 +rect 12618 1204 12624 1216 +rect 12579 1176 12624 1204 +rect 12161 1167 12219 1173 +rect 12618 1164 12624 1176 +rect 12676 1164 12682 1216 +rect 12894 1164 12900 1216 +rect 12952 1204 12958 1216 +rect 13265 1207 13323 1213 +rect 13265 1204 13277 1207 +rect 12952 1176 13277 1204 +rect 12952 1164 12958 1176 +rect 13265 1173 13277 1176 +rect 13311 1173 13323 1207 +rect 13265 1167 13323 1173 +rect 13814 1164 13820 1216 +rect 13872 1204 13878 1216 +rect 14001 1207 14059 1213 +rect 14001 1204 14013 1207 +rect 13872 1176 14013 1204 +rect 13872 1164 13878 1176 +rect 14001 1173 14013 1176 +rect 14047 1173 14059 1207 +rect 14001 1167 14059 1173 +rect 14458 1164 14464 1216 +rect 14516 1204 14522 1216 +rect 14553 1207 14611 1213 +rect 14553 1204 14565 1207 +rect 14516 1176 14565 1204 +rect 14516 1164 14522 1176 +rect 14553 1173 14565 1176 +rect 14599 1173 14611 1207 +rect 14553 1167 14611 1173 +rect 15010 1164 15016 1216 +rect 15068 1204 15074 1216 +rect 15105 1207 15163 1213 +rect 15105 1204 15117 1207 +rect 15068 1176 15117 1204 +rect 15068 1164 15074 1176 +rect 15105 1173 15117 1176 +rect 15151 1173 15163 1207 +rect 15105 1167 15163 1173 +rect 15838 1164 15844 1216 +rect 15896 1204 15902 1216 +rect 16025 1207 16083 1213 +rect 16025 1204 16037 1207 +rect 15896 1176 16037 1204 +rect 15896 1164 15902 1176 +rect 16025 1173 16037 1176 +rect 16071 1204 16083 1207 +rect 16868 1204 16896 1258 +rect 17862 1204 17868 1216 +rect 16071 1176 16896 1204 +rect 17823 1176 17868 1204 +rect 16071 1173 16083 1176 +rect 16025 1167 16083 1173 +rect 17862 1164 17868 1176 +rect 17920 1164 17926 1216 rect 0 1114 18860 1136 rect 0 1062 4660 1114 rect 4712 1062 4724 1114 @@ -8758,430 +9513,441 @@ rect 17240 1062 17252 1114 rect 17304 1062 17316 1114 rect 17368 1062 18860 1114 rect 0 1040 18860 1062 -rect 4295 1003 4353 1009 -rect 4295 969 4307 1003 -rect 4341 1000 4353 1003 -rect 4982 1000 4988 1012 -rect 4341 972 4844 1000 -rect 4943 972 4988 1000 -rect 4341 969 4353 972 -rect 4295 963 4353 969 -rect 2498 864 2504 876 -rect 2459 836 2504 864 -rect 2498 824 2504 836 -rect 2556 824 2562 876 -rect 3896 864 3924 918 -rect 4430 892 4436 944 -rect 4488 932 4494 944 -rect 4816 932 4844 972 -rect 4982 960 4988 972 -rect 5040 960 5046 1012 -rect 5902 960 5908 1012 -rect 5960 1000 5966 1012 -rect 7101 1003 7159 1009 -rect 7101 1000 7113 1003 -rect 5960 972 7113 1000 -rect 5960 960 5966 972 -rect 7101 969 7113 972 -rect 7147 969 7159 1003 -rect 7374 1000 7380 1012 -rect 7335 972 7380 1000 -rect 7101 963 7159 969 -rect 4488 904 4660 932 -rect 4816 904 4936 932 -rect 4488 892 4494 904 -rect 4338 864 4344 876 -rect 3896 836 4344 864 -rect 4338 824 4344 836 -rect 4396 824 4402 876 -rect 4632 873 4660 904 -rect 4908 876 4936 904 -rect 5442 892 5448 944 -rect 5500 892 5506 944 -rect 7116 932 7144 963 -rect 7374 960 7380 972 -rect 7432 960 7438 1012 -rect 7558 960 7564 1012 -rect 7616 1000 7622 1012 -rect 8938 1000 8944 1012 -rect 7616 972 8944 1000 -rect 7616 960 7622 972 -rect 7116 904 7604 932 -rect 4617 867 4675 873 -rect 4617 833 4629 867 -rect 4663 833 4675 867 -rect 4617 827 4675 833 -rect 4706 824 4712 876 -rect 4764 864 4770 876 -rect 4764 836 4809 864 -rect 4764 824 4770 836 -rect 4890 824 4896 876 -rect 4948 864 4954 876 -rect 5074 864 5080 876 -rect 4948 836 4993 864 -rect 5035 836 5080 864 -rect 4948 824 4954 836 -rect 5074 824 5080 836 -rect 5132 824 5138 876 -rect 5353 867 5411 873 -rect 5353 833 5365 867 -rect 5399 864 5411 867 -rect 5460 864 5488 892 -rect 7282 864 7288 876 -rect 5399 836 5488 864 -rect 5399 833 5411 836 -rect 5353 827 5411 833 -rect 2869 799 2927 805 -rect 2869 765 2881 799 -rect 2915 796 2927 799 -rect 4433 799 4491 805 -rect 2915 768 3740 796 -rect 2915 765 2927 768 -rect 2869 759 2927 765 -rect 3712 728 3740 768 -rect 4433 765 4445 799 -rect 4479 796 4491 799 -rect 5626 796 5632 808 -rect 4479 768 5396 796 -rect 5587 768 5632 796 -rect 4479 765 4491 768 -rect 4433 759 4491 765 -rect 5368 740 5396 768 -rect 5626 756 5632 768 -rect 5684 756 5690 808 -rect 6748 796 6776 850 -rect 7243 836 7288 864 -rect 7282 824 7288 836 -rect 7340 824 7346 876 -rect 7466 864 7472 876 -rect 7427 836 7472 864 -rect 7466 824 7472 836 -rect 7524 824 7530 876 -rect 7576 873 7604 904 -rect 7561 867 7619 873 -rect 7561 833 7573 867 -rect 7607 833 7619 867 -rect 7742 864 7748 876 -rect 7703 836 7748 864 -rect 7561 827 7619 833 -rect 7742 824 7748 836 -rect 7800 824 7806 876 -rect 8202 864 8208 876 -rect 8163 836 8208 864 -rect 8202 824 8208 836 -rect 8260 824 8266 876 -rect 8496 873 8524 972 -rect 8938 960 8944 972 -rect 8996 960 9002 1012 -rect 9398 1000 9404 1012 -rect 9359 972 9404 1000 -rect 9398 960 9404 972 -rect 9456 960 9462 1012 -rect 11793 1003 11851 1009 -rect 11793 969 11805 1003 -rect 11839 969 11851 1003 -rect 11793 963 11851 969 -rect 12253 1003 12311 1009 -rect 12253 969 12265 1003 -rect 12299 1000 12311 1003 -rect 12342 1000 12348 1012 -rect 12299 972 12348 1000 -rect 12299 969 12311 972 -rect 12253 963 12311 969 -rect 8570 892 8576 944 -rect 8628 932 8634 944 -rect 9125 935 9183 941 -rect 8628 904 9076 932 -rect 8628 892 8634 904 -rect 8481 867 8539 873 -rect 8481 833 8493 867 -rect 8527 833 8539 867 -rect 8754 864 8760 876 -rect 8715 836 8760 864 -rect 8481 827 8539 833 -rect 8754 824 8760 836 -rect 8812 824 8818 876 -rect 9048 873 9076 904 -rect 9125 901 9137 935 -rect 9171 932 9183 935 -rect 9674 932 9680 944 -rect 9171 904 9680 932 -rect 9171 901 9183 904 -rect 9125 895 9183 901 -rect 9674 892 9680 904 -rect 9732 892 9738 944 -rect 11514 932 11520 944 -rect 11475 904 11520 932 -rect 11514 892 11520 904 -rect 11572 892 11578 944 -rect 11808 932 11836 963 -rect 12342 960 12348 972 -rect 12400 960 12406 1012 -rect 13538 960 13544 1012 -rect 13596 1000 13602 1012 -rect 14553 1003 14611 1009 -rect 14553 1000 14565 1003 -rect 13596 972 14565 1000 -rect 13596 960 13602 972 -rect 14553 969 14565 972 -rect 14599 969 14611 1003 -rect 16666 1000 16672 1012 -rect 14553 963 14611 969 -rect 16224 972 16672 1000 -rect 11808 904 12112 932 -rect 9033 867 9091 873 -rect 9033 833 9045 867 -rect 9079 833 9091 867 -rect 9306 864 9312 876 -rect 9267 836 9312 864 -rect 9033 827 9091 833 -rect 9306 824 9312 836 -rect 9364 824 9370 876 -rect 9953 867 10011 873 -rect 9953 833 9965 867 -rect 9999 833 10011 867 -rect 10134 864 10140 876 -rect 10095 836 10140 864 -rect 9953 827 10011 833 -rect 8294 796 8300 808 -rect 6748 768 8300 796 -rect 4525 731 4583 737 -rect 4525 728 4537 731 -rect 3712 700 4537 728 -rect 4525 697 4537 700 -rect 4571 697 4583 731 -rect 4525 691 4583 697 -rect 5350 688 5356 740 -rect 5408 688 5414 740 -rect 2222 620 2228 672 -rect 2280 660 2286 672 -rect 2317 663 2375 669 -rect 2317 660 2329 663 -rect 2280 632 2329 660 -rect 2280 620 2286 632 -rect 2317 629 2329 632 -rect 2363 660 2375 663 -rect 4338 660 4344 672 -rect 2363 632 4344 660 -rect 2363 629 2375 632 -rect 2317 623 2375 629 -rect 4338 620 4344 632 -rect 4396 660 4402 672 -rect 5261 663 5319 669 -rect 5261 660 5273 663 -rect 4396 632 5273 660 -rect 4396 620 4402 632 -rect 5261 629 5273 632 -rect 5307 660 5319 663 -rect 6748 660 6776 768 -rect 8294 756 8300 768 -rect 8352 756 8358 808 -rect 9968 796 9996 827 -rect 10134 824 10140 836 -rect 10192 824 10198 876 -rect 10410 864 10416 876 -rect 10371 836 10416 864 -rect 10410 824 10416 836 -rect 10468 824 10474 876 -rect 10226 796 10232 808 -rect 8588 768 10232 796 -rect 8588 737 8616 768 -rect 10226 756 10232 768 -rect 10284 796 10290 808 -rect 11532 796 11560 892 -rect 11698 864 11704 876 -rect 11659 836 11704 864 -rect 11698 824 11704 836 -rect 11756 824 11762 876 -rect 11790 824 11796 876 -rect 11848 864 11854 876 -rect 12084 873 12112 904 -rect 12544 904 13216 932 +rect 2774 960 2780 1012 +rect 2832 1000 2838 1012 +rect 2869 1003 2927 1009 +rect 2869 1000 2881 1003 +rect 2832 972 2881 1000 +rect 2832 960 2838 972 +rect 2869 969 2881 972 +rect 2915 969 2927 1003 +rect 2869 963 2927 969 +rect 2961 1003 3019 1009 +rect 2961 969 2973 1003 +rect 3007 1000 3019 1003 +rect 3329 1003 3387 1009 +rect 3329 1000 3341 1003 +rect 3007 972 3341 1000 +rect 3007 969 3019 972 +rect 2961 963 3019 969 +rect 3329 969 3341 972 +rect 3375 969 3387 1003 +rect 3329 963 3387 969 +rect 3418 960 3424 1012 +rect 3476 1000 3482 1012 +rect 3697 1003 3755 1009 +rect 3697 1000 3709 1003 +rect 3476 972 3709 1000 +rect 3476 960 3482 972 +rect 3697 969 3709 972 +rect 3743 969 3755 1003 +rect 3697 963 3755 969 +rect 5810 960 5816 1012 +rect 5868 1000 5874 1012 +rect 6825 1003 6883 1009 +rect 6825 1000 6837 1003 +rect 5868 972 6837 1000 +rect 5868 960 5874 972 +rect 6825 969 6837 972 +rect 6871 969 6883 1003 +rect 9122 1000 9128 1012 +rect 6825 963 6883 969 +rect 6932 972 9128 1000 +rect 2222 941 2228 944 +rect 2179 935 2228 941 +rect 382 864 388 876 +rect 343 836 388 864 +rect 382 824 388 836 +rect 440 824 446 876 +rect 753 867 811 873 +rect 753 833 765 867 +rect 799 864 811 867 +rect 842 864 848 876 +rect 799 836 848 864 +rect 799 833 811 836 +rect 753 827 811 833 +rect 842 824 848 836 +rect 900 824 906 876 +rect 1780 864 1808 918 +rect 2179 901 2191 935 +rect 2225 901 2228 935 +rect 2179 895 2228 901 +rect 2222 892 2228 895 +rect 2280 892 2286 944 +rect 6932 932 6960 972 +rect 8404 944 8432 972 +rect 9122 960 9128 972 +rect 9180 1000 9186 1012 +rect 9306 1000 9312 1012 +rect 9180 972 9312 1000 +rect 9180 960 9186 972 +rect 9306 960 9312 972 +rect 9364 960 9370 1012 +rect 13722 1000 13728 1012 +rect 9876 972 13728 1000 +rect 6302 918 6960 932 +rect 6288 904 6960 918 +rect 2590 864 2596 876 +rect 1780 836 2596 864 +rect 2590 824 2596 836 +rect 2648 824 2654 876 +rect 4893 867 4951 873 +rect 4893 833 4905 867 +rect 4939 864 4951 867 +rect 5166 864 5172 876 +rect 4939 836 5172 864 +rect 4939 833 4951 836 +rect 4893 827 4951 833 +rect 5166 824 5172 836 +rect 5224 824 5230 876 +rect 3145 799 3203 805 +rect 3145 765 3157 799 +rect 3191 796 3203 799 +rect 3602 796 3608 808 +rect 3191 768 3608 796 +rect 3191 765 3203 768 +rect 3145 759 3203 765 +rect 3602 756 3608 768 +rect 3660 756 3666 808 +rect 3786 796 3792 808 +rect 3747 768 3792 796 +rect 3786 756 3792 768 +rect 3844 756 3850 808 +rect 3970 796 3976 808 +rect 3931 768 3976 796 +rect 3970 756 3976 768 +rect 4028 756 4034 808 +rect 5258 796 5264 808 +rect 5219 768 5264 796 +rect 5258 756 5264 768 +rect 5316 756 5322 808 +rect 1302 620 1308 672 +rect 1360 660 1366 672 +rect 2501 663 2559 669 +rect 2501 660 2513 663 +rect 1360 632 2513 660 +rect 1360 620 1366 632 +rect 2501 629 2513 632 +rect 2547 629 2559 663 +rect 2501 623 2559 629 +rect 3878 620 3884 672 +rect 3936 660 3942 672 +rect 4709 663 4767 669 +rect 4709 660 4721 663 +rect 3936 632 4721 660 +rect 3936 620 3942 632 +rect 4709 629 4721 632 +rect 4755 660 4767 663 +rect 6288 660 6316 904 +rect 8386 892 8392 944 +rect 8444 892 8450 944 +rect 8846 932 8852 944 +rect 8807 904 8852 932 +rect 8846 892 8852 904 +rect 8904 892 8910 944 +rect 9876 932 9904 972 +rect 13722 960 13728 972 +rect 13780 960 13786 1012 +rect 13909 1003 13967 1009 +rect 13909 969 13921 1003 +rect 13955 1000 13967 1003 +rect 13955 972 14320 1000 +rect 13955 969 13967 972 +rect 13909 963 13967 969 +rect 12158 932 12164 944 +rect 9140 904 9904 932 +rect 7006 864 7012 876 +rect 6967 836 7012 864 +rect 7006 824 7012 836 +rect 7064 824 7070 876 +rect 9140 873 9168 904 +rect 9876 873 9904 904 +rect 9968 904 10626 932 +rect 12119 904 12164 932 +rect 9125 867 9183 873 +rect 9125 833 9137 867 +rect 9171 833 9183 867 +rect 9125 827 9183 833 +rect 9217 867 9275 873 +rect 9217 833 9229 867 +rect 9263 833 9275 867 +rect 9217 827 9275 833 +rect 9861 867 9919 873 +rect 9861 833 9873 867 +rect 9907 833 9919 867 +rect 9861 827 9919 833 +rect 7374 796 7380 808 +rect 7287 768 7380 796 +rect 7374 756 7380 768 +rect 7432 796 7438 808 +rect 8754 796 8760 808 +rect 7432 768 8760 796 +rect 7432 756 7438 768 +rect 8754 756 8760 768 +rect 8812 796 8818 808 +rect 9232 796 9260 827 +rect 8812 768 9260 796 +rect 8812 756 8818 768 +rect 9306 756 9312 808 +rect 9364 796 9370 808 +rect 9769 799 9827 805 +rect 9769 796 9781 799 +rect 9364 768 9781 796 +rect 9364 756 9370 768 +rect 9769 765 9781 768 +rect 9815 796 9827 799 +rect 9968 796 9996 904 +rect 12158 892 12164 904 +rect 12216 892 12222 944 +rect 12894 932 12900 944 +rect 12855 904 12900 932 +rect 12894 892 12900 904 +rect 12952 892 12958 944 +rect 13004 904 13584 932 +rect 12342 874 12348 876 +rect 12268 873 12348 874 rect 12069 867 12127 873 -rect 11848 836 11893 864 -rect 11848 824 11854 836 -rect 12069 833 12081 867 +rect 12069 864 12081 867 +rect 11624 836 12081 864 +rect 9815 768 9996 796 +rect 10137 799 10195 805 +rect 9815 765 9827 768 +rect 9769 759 9827 765 +rect 10137 765 10149 799 +rect 10183 796 10195 799 +rect 10594 796 10600 808 +rect 10183 768 10600 796 +rect 10183 765 10195 768 +rect 10137 759 10195 765 +rect 10594 756 10600 768 +rect 10652 756 10658 808 +rect 6362 688 6368 740 +rect 6420 728 6426 740 +rect 6687 731 6745 737 +rect 6687 728 6699 731 +rect 6420 700 6699 728 +rect 6420 688 6426 700 +rect 6687 697 6699 700 +rect 6733 728 6745 731 +rect 7466 728 7472 740 +rect 6733 700 7472 728 +rect 6733 697 6745 700 +rect 6687 691 6745 697 +rect 7466 688 7472 700 +rect 7524 688 7530 740 +rect 11624 672 11652 836 +rect 12069 833 12081 836 rect 12115 833 12127 867 rect 12069 827 12127 833 -rect 12158 824 12164 876 -rect 12216 864 12222 876 -rect 12544 873 12572 904 -rect 12253 867 12311 873 -rect 12253 864 12265 867 -rect 12216 836 12265 864 -rect 12216 824 12222 836 -rect 12253 833 12265 836 -rect 12299 833 12311 867 +rect 12253 867 12348 873 +rect 12253 833 12265 867 +rect 12299 846 12348 867 +rect 12299 833 12311 846 rect 12253 827 12311 833 -rect 12529 867 12587 873 -rect 12529 833 12541 867 -rect 12575 833 12587 867 -rect 12986 864 12992 876 -rect 12947 836 12992 864 -rect 12529 827 12587 833 -rect 12544 796 12572 827 -rect 12986 824 12992 836 -rect 13044 824 13050 876 -rect 13188 873 13216 904 -rect 14826 892 14832 944 -rect 14884 932 14890 944 -rect 15013 935 15071 941 -rect 15013 932 15025 935 -rect 14884 904 15025 932 -rect 14884 892 14890 904 -rect 15013 901 15025 904 -rect 15059 901 15071 935 -rect 16224 918 16252 972 -rect 16666 960 16672 972 -rect 16724 960 16730 1012 -rect 16942 960 16948 1012 -rect 17000 1000 17006 1012 -rect 17037 1003 17095 1009 -rect 17037 1000 17049 1003 -rect 17000 972 17049 1000 -rect 17000 960 17006 972 -rect 17037 969 17049 972 -rect 17083 969 17095 1003 -rect 17494 1000 17500 1012 -rect 17455 972 17500 1000 -rect 17037 963 17095 969 -rect 17494 960 17500 972 -rect 17552 960 17558 1012 -rect 18230 960 18236 1012 -rect 18288 1000 18294 1012 -rect 18325 1003 18383 1009 -rect 18325 1000 18337 1003 -rect 18288 972 18337 1000 -rect 18288 960 18294 972 -rect 18325 969 18337 972 -rect 18371 969 18383 1003 -rect 18325 963 18383 969 -rect 15013 895 15071 901 -rect 13173 867 13231 873 -rect 13173 833 13185 867 -rect 13219 864 13231 867 -rect 13262 864 13268 876 -rect 13219 836 13268 864 -rect 13219 833 13231 836 -rect 13173 827 13231 833 -rect 13262 824 13268 836 -rect 13320 824 13326 876 -rect 13722 864 13728 876 -rect 13683 836 13728 864 -rect 13722 824 13728 836 -rect 13780 824 13786 876 -rect 17310 824 17316 876 -rect 17368 864 17374 876 -rect 17405 867 17463 873 -rect 17405 864 17417 867 -rect 17368 836 17417 864 -rect 17368 824 17374 836 -rect 17405 833 17417 836 -rect 17451 833 17463 867 -rect 17405 827 17463 833 +rect 12084 796 12112 827 +rect 12342 824 12348 846 +rect 12400 824 12406 876 +rect 12437 870 12495 873 +rect 12437 867 12664 870 +rect 12437 833 12449 867 +rect 12483 842 12664 867 +rect 12802 864 12808 876 +rect 12483 833 12495 842 +rect 12437 827 12495 833 +rect 12636 796 12664 842 +rect 12715 836 12808 864 +rect 12802 824 12808 836 +rect 12860 864 12866 876 +rect 13004 864 13032 904 +rect 13170 864 13176 876 +rect 12860 836 13032 864 +rect 13131 836 13176 864 +rect 12860 824 12866 836 +rect 13170 824 13176 836 +rect 13228 824 13234 876 +rect 13556 873 13584 904 +rect 13814 892 13820 944 +rect 13872 932 13878 944 +rect 14292 932 14320 972 +rect 14366 960 14372 1012 +rect 14424 1000 14430 1012 +rect 16390 1000 16396 1012 +rect 14424 972 15976 1000 +rect 16351 972 16396 1000 +rect 14424 960 14430 972 +rect 13872 904 14228 932 +rect 14292 904 14596 932 +rect 13872 892 13878 904 +rect 14200 873 14228 904 +rect 13265 867 13323 873 +rect 13265 833 13277 867 +rect 13311 833 13323 867 +rect 13265 827 13323 833 +rect 13357 867 13415 873 +rect 13357 833 13369 867 +rect 13403 833 13415 867 +rect 13357 827 13415 833 +rect 13541 867 13599 873 +rect 13541 833 13553 867 +rect 13587 864 13599 867 +rect 13633 867 13691 873 +rect 13633 864 13645 867 +rect 13587 836 13645 864 +rect 13587 833 13599 836 +rect 13541 827 13599 833 +rect 13633 833 13645 836 +rect 13679 833 13691 867 +rect 13633 827 13691 833 +rect 14001 867 14059 873 +rect 14001 833 14013 867 +rect 14047 833 14059 867 +rect 14001 827 14059 833 +rect 14185 867 14243 873 +rect 14185 833 14197 867 +rect 14231 833 14243 867 +rect 14458 864 14464 876 +rect 14419 836 14464 864 +rect 14185 827 14243 833 +rect 12084 768 12664 796 +rect 11790 688 11796 740 +rect 11848 728 11854 740 +rect 13280 728 13308 827 +rect 11848 700 13308 728 +rect 11848 688 11854 700 +rect 4755 632 6316 660 +rect 4755 629 4767 632 +rect 4709 623 4767 629 +rect 8846 620 8852 672 +rect 8904 660 8910 672 +rect 9217 663 9275 669 +rect 9217 660 9229 663 +rect 8904 632 9229 660 +rect 8904 620 8910 632 +rect 9217 629 9229 632 +rect 9263 629 9275 663 +rect 11606 660 11612 672 +rect 11567 632 11612 660 +rect 9217 623 9275 629 +rect 11606 620 11612 632 +rect 11664 620 11670 672 +rect 11698 620 11704 672 +rect 11756 660 11762 672 +rect 13372 660 13400 827 +rect 14016 796 14044 827 +rect 14458 824 14464 836 +rect 14516 824 14522 876 +rect 14568 864 14596 904 +rect 15838 892 15844 944 +rect 15896 892 15902 944 +rect 14568 836 14964 864 +rect 13464 768 14044 796 +rect 14093 799 14151 805 +rect 13464 737 13492 768 +rect 14093 765 14105 799 +rect 14139 796 14151 799 +rect 14829 799 14887 805 +rect 14829 796 14841 799 +rect 14139 768 14841 796 +rect 14139 765 14151 768 +rect 14093 759 14151 765 +rect 14829 765 14841 768 +rect 14875 765 14887 799 +rect 14936 796 14964 836 +rect 15194 796 15200 808 +rect 14936 768 15200 796 +rect 14829 759 14887 765 +rect 15194 756 15200 768 +rect 15252 796 15258 808 +rect 15856 796 15884 892 +rect 15948 864 15976 972 +rect 16390 960 16396 972 +rect 16448 960 16454 1012 +rect 16482 960 16488 1012 +rect 16540 1000 16546 1012 +rect 16853 1003 16911 1009 +rect 16853 1000 16865 1003 +rect 16540 972 16865 1000 +rect 16540 960 16546 972 +rect 16853 969 16865 972 +rect 16899 969 16911 1003 +rect 16853 963 16911 969 +rect 17313 1003 17371 1009 +rect 17313 969 17325 1003 +rect 17359 1000 17371 1003 +rect 17402 1000 17408 1012 +rect 17359 972 17408 1000 +rect 17359 969 17371 972 +rect 17313 963 17371 969 +rect 17402 960 17408 972 +rect 17460 960 17466 1012 +rect 16574 864 16580 876 +rect 15948 836 16580 864 +rect 16574 824 16580 836 +rect 16632 824 16638 876 +rect 16669 867 16727 873 +rect 16669 833 16681 867 +rect 16715 864 16727 867 +rect 17218 864 17224 876 +rect 16715 836 17224 864 +rect 16715 833 16727 836 +rect 16669 827 16727 833 +rect 17218 824 17224 836 +rect 17276 824 17282 876 rect 18233 867 18291 873 rect 18233 833 18245 867 rect 18279 864 18291 867 -rect 18506 864 18512 876 -rect 18279 836 18512 864 +rect 18322 864 18328 876 +rect 18279 836 18328 864 rect 18279 833 18291 836 rect 18233 827 18291 833 -rect 18506 824 18512 836 -rect 18564 824 18570 876 -rect 10284 768 10456 796 -rect 11532 768 12572 796 -rect 12621 799 12679 805 -rect 10284 756 10290 768 -rect 7745 731 7803 737 -rect 7745 697 7757 731 -rect 7791 728 7803 731 -rect 8021 731 8079 737 -rect 8021 728 8033 731 -rect 7791 700 8033 728 -rect 7791 697 7803 700 -rect 7745 691 7803 697 -rect 8021 697 8033 700 -rect 8067 697 8079 731 -rect 8021 691 8079 697 -rect 8573 731 8631 737 -rect 8573 697 8585 731 -rect 8619 697 8631 731 -rect 9674 728 9680 740 -rect 9587 700 9680 728 -rect 8573 691 8631 697 -rect 9674 688 9680 700 -rect 9732 728 9738 740 -rect 10321 731 10379 737 -rect 10321 728 10333 731 -rect 9732 700 10333 728 -rect 9732 688 9738 700 -rect 10321 697 10333 700 -rect 10367 697 10379 731 -rect 10428 728 10456 768 -rect 12621 765 12633 799 -rect 12667 796 12679 799 -rect 13538 796 13544 808 -rect 12667 768 13544 796 -rect 12667 765 12679 768 -rect 12621 759 12679 765 -rect 13538 756 13544 768 -rect 13596 756 13602 808 -rect 14274 796 14280 808 -rect 14235 768 14280 796 -rect 14274 756 14280 768 -rect 14332 756 14338 808 -rect 14550 756 14556 808 -rect 14608 796 14614 808 -rect 14737 799 14795 805 -rect 14737 796 14749 799 -rect 14608 768 14749 796 -rect 14608 756 14614 768 -rect 14737 765 14749 768 -rect 14783 796 14795 799 -rect 16206 796 16212 808 -rect 14783 768 16212 796 -rect 14783 765 14795 768 -rect 14737 759 14795 765 -rect 16206 756 16212 768 -rect 16264 756 16270 808 -rect 17586 756 17592 808 -rect 17644 796 17650 808 -rect 17644 768 17689 796 -rect 17644 756 17650 768 -rect 11790 728 11796 740 -rect 10428 700 11796 728 -rect 10321 691 10379 697 -rect 11790 688 11796 700 -rect 11848 688 11854 740 -rect 12894 728 12900 740 -rect 12855 700 12900 728 -rect 12894 688 12900 700 -rect 12952 688 12958 740 -rect 14458 688 14464 740 -rect 14516 728 14522 740 -rect 14516 700 14688 728 -rect 14516 688 14522 700 -rect 5307 632 6776 660 -rect 5307 629 5319 632 -rect 5261 623 5319 629 -rect 9122 620 9128 672 -rect 9180 660 9186 672 -rect 9217 663 9275 669 -rect 9217 660 9229 663 -rect 9180 632 9229 660 -rect 9180 620 9186 632 -rect 9217 629 9229 632 -rect 9263 629 9275 663 -rect 9950 660 9956 672 -rect 9911 632 9956 660 -rect 9217 623 9275 629 -rect 9950 620 9956 632 -rect 10008 620 10014 672 -rect 14660 660 14688 700 -rect 16482 660 16488 672 -rect 14660 632 16488 660 -rect 16482 620 16488 632 -rect 16540 620 16546 672 +rect 18322 824 18328 836 +rect 18380 824 18386 876 +rect 15252 768 15884 796 +rect 16393 799 16451 805 +rect 15252 756 15258 768 +rect 16393 765 16405 799 +rect 16439 796 16451 799 +rect 16942 796 16948 808 +rect 16439 768 16948 796 +rect 16439 765 16451 768 +rect 16393 759 16451 765 +rect 16942 756 16948 768 +rect 17000 756 17006 808 +rect 17405 799 17463 805 +rect 17405 765 17417 799 +rect 17451 765 17463 799 +rect 18506 796 18512 808 +rect 18467 768 18512 796 +rect 17405 759 17463 765 +rect 13449 731 13507 737 +rect 13449 697 13461 731 +rect 13495 697 13507 731 +rect 13449 691 13507 697 +rect 15654 688 15660 740 +rect 15712 728 15718 740 +rect 17420 728 17448 759 +rect 18506 756 18512 768 +rect 18564 756 18570 808 +rect 15712 700 17448 728 +rect 15712 688 15718 700 +rect 11756 632 13400 660 +rect 13633 663 13691 669 +rect 11756 620 11762 632 +rect 13633 629 13645 663 +rect 13679 660 13691 663 +rect 14734 660 14740 672 +rect 13679 632 14740 660 +rect 13679 629 13691 632 +rect 13633 623 13691 629 +rect 14734 620 14740 632 +rect 14792 660 14798 672 +rect 16255 663 16313 669 +rect 16255 660 16267 663 +rect 14792 632 16267 660 +rect 14792 620 14798 632 +rect 16255 629 16267 632 +rect 16301 629 16313 663 +rect 16255 623 16313 629 rect 0 570 18860 592 rect 0 518 3110 570 rect 3162 518 3174 570 @@ -9210,225 +9976,308 @@ rect 15690 518 15702 570 rect 15754 518 15766 570 rect 15818 518 18860 570 rect 0 496 18860 518 -rect 5537 459 5595 465 -rect 5537 425 5549 459 -rect 5583 456 5595 459 -rect 5626 456 5632 468 -rect 5583 428 5632 456 -rect 5583 425 5595 428 -rect 5537 419 5595 425 -rect 5626 416 5632 428 -rect 5684 416 5690 468 -rect 6181 459 6239 465 -rect 6181 425 6193 459 -rect 6227 456 6239 459 -rect 7282 456 7288 468 -rect 6227 428 7288 456 -rect 6227 425 6239 428 -rect 6181 419 6239 425 -rect 5445 391 5503 397 -rect 5445 357 5457 391 -rect 5491 388 5503 391 -rect 6196 388 6224 419 -rect 7282 416 7288 428 -rect 7340 416 7346 468 -rect 8846 416 8852 468 -rect 8904 456 8910 468 -rect 9125 459 9183 465 -rect 9125 456 9137 459 -rect 8904 428 9137 456 -rect 8904 416 8910 428 -rect 9125 425 9137 428 -rect 9171 425 9183 459 -rect 13446 456 13452 468 -rect 13407 428 13452 456 -rect 9125 419 9183 425 -rect 13446 416 13452 428 -rect 13504 416 13510 468 -rect 13538 416 13544 468 -rect 13596 456 13602 468 -rect 13596 428 13641 456 -rect 13596 416 13602 428 -rect 15194 416 15200 468 -rect 15252 456 15258 468 -rect 15657 459 15715 465 -rect 15657 456 15669 459 -rect 15252 428 15669 456 -rect 15252 416 15258 428 -rect 15657 425 15669 428 -rect 15703 425 15715 459 -rect 15657 419 15715 425 -rect 16114 416 16120 468 -rect 16172 456 16178 468 -rect 16209 459 16267 465 -rect 16209 456 16221 459 -rect 16172 428 16221 456 -rect 16172 416 16178 428 -rect 16209 425 16221 428 -rect 16255 425 16267 459 -rect 17310 456 17316 468 -rect 17271 428 17316 456 -rect 16209 419 16267 425 -rect 17310 416 17316 428 -rect 17368 416 17374 468 -rect 12250 388 12256 400 -rect 5491 360 6224 388 -rect 12211 360 12256 388 -rect 5491 357 5503 360 -rect 5445 351 5503 357 -rect 12250 348 12256 360 -rect 12308 348 12314 400 -rect 15933 391 15991 397 -rect 15933 357 15945 391 -rect 15979 388 15991 391 -rect 16390 388 16396 400 -rect 15979 360 16396 388 -rect 15979 357 15991 360 -rect 15933 351 15991 357 -rect 16390 348 16396 360 -rect 16448 348 16454 400 -rect 5810 280 5816 332 -rect 5868 320 5874 332 -rect 5868 292 6316 320 -rect 5868 280 5874 292 -rect 5166 212 5172 264 -rect 5224 252 5230 264 -rect 5353 255 5411 261 -rect 5353 252 5365 255 -rect 5224 224 5365 252 -rect 5224 212 5230 224 -rect 5353 221 5365 224 -rect 5399 221 5411 255 -rect 5902 252 5908 264 -rect 5863 224 5908 252 -rect 5353 215 5411 221 -rect 5902 212 5908 224 -rect 5960 212 5966 264 -rect 6288 261 6316 292 -rect 12360 292 13768 320 -rect 6089 255 6147 261 -rect 6089 221 6101 255 -rect 6135 221 6147 255 -rect 6089 215 6147 221 -rect 6273 255 6331 261 -rect 6273 221 6285 255 -rect 6319 221 6331 255 -rect 9122 252 9128 264 -rect 9083 224 9128 252 -rect 6273 215 6331 221 -rect 4706 144 4712 196 -rect 4764 184 4770 196 -rect 6104 184 6132 215 -rect 9122 212 9128 224 -rect 9180 212 9186 264 -rect 9217 255 9275 261 -rect 9217 221 9229 255 -rect 9263 252 9275 255 -rect 9950 252 9956 264 -rect 9263 224 9956 252 -rect 9263 221 9275 224 -rect 9217 215 9275 221 -rect 9950 212 9956 224 -rect 10008 212 10014 264 -rect 11790 212 11796 264 -rect 11848 252 11854 264 -rect 12360 261 12388 292 -rect 12069 255 12127 261 -rect 12069 252 12081 255 -rect 11848 224 12081 252 -rect 11848 212 11854 224 -rect 12069 221 12081 224 -rect 12115 221 12127 255 -rect 12069 215 12127 221 +rect 1489 459 1547 465 +rect 1489 425 1501 459 +rect 1535 456 1547 459 +rect 1578 456 1584 468 +rect 1535 428 1584 456 +rect 1535 425 1547 428 +rect 1489 419 1547 425 +rect 1578 416 1584 428 +rect 1636 416 1642 468 +rect 2590 456 2596 468 +rect 2551 428 2596 456 +rect 2590 416 2596 428 +rect 2648 416 2654 468 +rect 3421 459 3479 465 +rect 3421 425 3433 459 +rect 3467 456 3479 459 +rect 3786 456 3792 468 +rect 3467 428 3792 456 +rect 3467 425 3479 428 +rect 3421 419 3479 425 +rect 3786 416 3792 428 +rect 3844 416 3850 468 +rect 4893 459 4951 465 +rect 4893 425 4905 459 +rect 4939 456 4951 459 +rect 5258 456 5264 468 +rect 4939 428 5264 456 +rect 4939 425 4951 428 +rect 4893 419 4951 425 +rect 5258 416 5264 428 +rect 5316 416 5322 468 +rect 6365 459 6423 465 +rect 6365 425 6377 459 +rect 6411 456 6423 459 +rect 6546 456 6552 468 +rect 6411 428 6552 456 +rect 6411 425 6423 428 +rect 6365 419 6423 425 +rect 6546 416 6552 428 +rect 6604 416 6610 468 +rect 7098 456 7104 468 +rect 6840 428 7104 456 +rect 5534 388 5540 400 +rect 5092 360 5540 388 +rect 3237 323 3295 329 +rect 3237 289 3249 323 +rect 3283 320 3295 323 +rect 3510 320 3516 332 +rect 3283 292 3516 320 +rect 3283 289 3295 292 +rect 3237 283 3295 289 +rect 3510 280 3516 292 +rect 3568 280 3574 332 +rect 5092 329 5120 360 +rect 5534 348 5540 360 +rect 5592 348 5598 400 +rect 5077 323 5135 329 +rect 5077 289 5089 323 +rect 5123 289 5135 323 +rect 5077 283 5135 289 +rect 5169 323 5227 329 +rect 5169 289 5181 323 +rect 5215 320 5227 323 +rect 6086 320 6092 332 +rect 5215 292 6092 320 +rect 5215 289 5227 292 +rect 5169 283 5227 289 +rect 6086 280 6092 292 +rect 6144 280 6150 332 +rect 6840 329 6868 428 +rect 7098 416 7104 428 +rect 7156 456 7162 468 +rect 7285 459 7343 465 +rect 7285 456 7297 459 +rect 7156 428 7297 456 +rect 7156 416 7162 428 +rect 7285 425 7297 428 +rect 7331 425 7343 459 +rect 7285 419 7343 425 +rect 7561 459 7619 465 +rect 7561 425 7573 459 +rect 7607 456 7619 459 +rect 8386 456 8392 468 +rect 7607 428 8392 456 +rect 7607 425 7619 428 +rect 7561 419 7619 425 +rect 8386 416 8392 428 +rect 8444 416 8450 468 +rect 8481 459 8539 465 +rect 8481 425 8493 459 +rect 8527 456 8539 459 +rect 8570 456 8576 468 +rect 8527 428 8576 456 +rect 8527 425 8539 428 +rect 8481 419 8539 425 +rect 8570 416 8576 428 +rect 8628 416 8634 468 +rect 10594 456 10600 468 +rect 10555 428 10600 456 +rect 10594 416 10600 428 +rect 10652 416 10658 468 +rect 12713 459 12771 465 +rect 12713 425 12725 459 +rect 12759 456 12771 459 +rect 13078 456 13084 468 +rect 12759 428 13084 456 +rect 12759 425 12771 428 +rect 12713 419 12771 425 +rect 13078 416 13084 428 +rect 13136 416 13142 468 +rect 15010 456 15016 468 +rect 14971 428 15016 456 +rect 15010 416 15016 428 +rect 15068 416 15074 468 +rect 16942 456 16948 468 +rect 16903 428 16948 456 +rect 16942 416 16948 428 +rect 17000 416 17006 468 +rect 17218 456 17224 468 +rect 17179 428 17224 456 +rect 17218 416 17224 428 +rect 17276 416 17282 468 +rect 18506 456 18512 468 +rect 18467 428 18512 456 +rect 18506 416 18512 428 +rect 18564 416 18570 468 +rect 12989 391 13047 397 +rect 12989 388 13001 391 +rect 12452 360 13001 388 +rect 6825 323 6883 329 +rect 6825 289 6837 323 +rect 6871 289 6883 323 +rect 6825 283 6883 289 +rect 7009 323 7067 329 +rect 7009 289 7021 323 +rect 7055 320 7067 323 +rect 9030 320 9036 332 +rect 7055 292 9036 320 +rect 7055 289 7067 292 +rect 7009 283 7067 289 +rect 9030 280 9036 292 +rect 9088 280 9094 332 +rect 11238 320 11244 332 +rect 10520 292 11244 320 +rect 1302 252 1308 264 +rect 1263 224 1308 252 +rect 1302 212 1308 224 +rect 1360 212 1366 264 +rect 2958 212 2964 264 +rect 3016 252 3022 264 +rect 3145 255 3203 261 +rect 3145 252 3157 255 +rect 3016 224 3157 252 +rect 3016 212 3022 224 +rect 3145 221 3157 224 +rect 3191 221 3203 255 +rect 3145 215 3203 221 +rect 5537 255 5595 261 +rect 5537 221 5549 255 +rect 5583 252 5595 255 +rect 7282 252 7288 264 +rect 5583 224 7288 252 +rect 5583 221 5595 224 +rect 5537 215 5595 221 +rect 7282 212 7288 224 +rect 7340 212 7346 264 +rect 8846 252 8852 264 +rect 8807 224 8852 252 +rect 8846 212 8852 224 +rect 8904 212 8910 264 +rect 8941 255 8999 261 +rect 8941 221 8953 255 +rect 8987 252 8999 255 +rect 9858 252 9864 264 +rect 8987 224 9864 252 +rect 8987 221 8999 224 +rect 8941 215 8999 221 +rect 9858 212 9864 224 +rect 9916 212 9922 264 +rect 10520 261 10548 292 +rect 11238 280 11244 292 +rect 11296 280 11302 332 +rect 12452 329 12480 360 +rect 12989 357 13001 360 +rect 13035 357 13047 391 +rect 12989 351 13047 357 +rect 14844 360 17356 388 +rect 12437 323 12495 329 +rect 12437 289 12449 323 +rect 12483 289 12495 323 +rect 13170 320 13176 332 +rect 12437 283 12495 289 +rect 13004 292 13176 320 +rect 10505 255 10563 261 +rect 10505 221 10517 255 +rect 10551 221 10563 255 +rect 10505 215 10563 221 +rect 10689 255 10747 261 +rect 10689 221 10701 255 +rect 10735 221 10747 255 +rect 10689 215 10747 221 +rect 6733 187 6791 193 +rect 6733 153 6745 187 +rect 6779 184 6791 187 +rect 6914 184 6920 196 +rect 6779 156 6920 184 +rect 6779 153 6791 156 +rect 6733 147 6791 153 +rect 6914 144 6920 156 +rect 6972 144 6978 196 +rect 10704 184 10732 215 +rect 10778 212 10784 264 +rect 10836 252 10842 264 +rect 10873 255 10931 261 +rect 10873 252 10885 255 +rect 10836 224 10885 252 +rect 10836 212 10842 224 +rect 10873 221 10885 224 +rect 10919 221 10931 255 +rect 10873 215 10931 221 +rect 11149 255 11207 261 +rect 11149 221 11161 255 +rect 11195 252 11207 255 +rect 11606 252 11612 264 +rect 11195 224 11612 252 +rect 11195 221 11207 224 +rect 11149 215 11207 221 +rect 11606 212 11612 224 +rect 11664 252 11670 264 rect 12345 255 12403 261 -rect 12345 221 12357 255 +rect 12345 252 12357 255 +rect 11664 224 12357 252 +rect 11664 212 11670 224 +rect 12345 221 12357 224 rect 12391 221 12403 255 -rect 13262 252 13268 264 -rect 13223 224 13268 252 +rect 12802 252 12808 264 +rect 12763 224 12808 252 rect 12345 215 12403 221 -rect 13262 212 13268 224 -rect 13320 212 13326 264 -rect 13464 261 13492 292 -rect 13740 264 13768 292 -rect 16482 280 16488 332 -rect 16540 320 16546 332 -rect 16945 323 17003 329 -rect 16945 320 16957 323 -rect 16540 292 16957 320 -rect 16540 280 16546 292 -rect 16945 289 16957 292 -rect 16991 289 17003 323 -rect 16945 283 17003 289 -rect 13449 255 13507 261 -rect 13449 221 13461 255 -rect 13495 221 13507 255 -rect 13449 215 13507 221 -rect 13541 255 13599 261 -rect 13541 221 13553 255 -rect 13587 221 13599 255 -rect 13722 252 13728 264 -rect 13683 224 13728 252 -rect 13541 215 13599 221 -rect 4764 156 6132 184 -rect 4764 144 4770 156 -rect 9030 144 9036 196 -rect 9088 184 9094 196 -rect 9401 187 9459 193 -rect 9401 184 9413 187 -rect 9088 156 9413 184 -rect 9088 144 9094 156 -rect 9401 153 9413 156 -rect 9447 153 9459 187 -rect 9401 147 9459 153 -rect 11698 144 11704 196 -rect 11756 184 11762 196 -rect 12161 187 12219 193 -rect 12161 184 12173 187 -rect 11756 156 12173 184 -rect 11756 144 11762 156 -rect 12161 153 12173 156 -rect 12207 153 12219 187 -rect 12161 147 12219 153 -rect 12986 144 12992 196 -rect 13044 184 13050 196 -rect 13556 184 13584 215 -rect 13722 212 13728 224 -rect 13780 212 13786 264 -rect 15838 252 15844 264 -rect 15799 224 15844 252 -rect 15838 212 15844 224 -rect 15896 212 15902 264 -rect 16022 252 16028 264 -rect 15983 224 16028 252 -rect 16022 212 16028 224 -rect 16080 212 16086 264 -rect 16206 252 16212 264 -rect 16167 224 16212 252 -rect 16206 212 16212 224 -rect 16264 212 16270 264 +rect 12802 212 12808 224 +rect 12860 212 12866 264 +rect 13004 261 13032 292 +rect 13170 280 13176 292 +rect 13228 320 13234 332 +rect 14844 329 14872 360 +rect 14829 323 14887 329 +rect 14829 320 14841 323 +rect 13228 292 14841 320 +rect 13228 280 13234 292 +rect 14829 289 14841 292 +rect 14875 289 14887 323 +rect 14829 283 14887 289 +rect 16666 280 16672 332 +rect 16724 320 16730 332 +rect 16724 292 17080 320 +rect 16724 280 16730 292 +rect 12989 255 13047 261 +rect 12989 221 13001 255 +rect 13035 221 13047 255 +rect 14734 252 14740 264 +rect 14695 224 14740 252 +rect 12989 215 13047 221 +rect 14734 212 14740 224 +rect 14792 212 14798 264 +rect 16574 212 16580 264 +rect 16632 252 16638 264 +rect 17052 261 17080 292 +rect 17328 261 17356 360 +rect 16853 255 16911 261 +rect 16853 252 16865 255 +rect 16632 224 16865 252 +rect 16632 212 16638 224 +rect 16853 221 16865 224 +rect 16899 221 16911 255 +rect 16853 215 16911 221 rect 17037 255 17095 261 rect 17037 221 17049 255 -rect 17083 252 17095 255 -rect 17862 252 17868 264 -rect 17083 224 17868 252 -rect 17083 221 17095 224 +rect 17083 221 17095 255 rect 17037 215 17095 221 +rect 17313 255 17371 261 +rect 17313 221 17325 255 +rect 17359 252 17371 255 +rect 17862 252 17868 264 +rect 17359 224 17868 252 +rect 17359 221 17371 224 +rect 17313 215 17371 221 rect 17862 212 17868 224 rect 17920 212 17926 264 -rect 14458 184 14464 196 -rect 13044 156 14464 184 -rect 13044 144 13050 156 -rect 14458 144 14464 156 -rect 14516 144 14522 196 -rect 5350 76 5356 128 -rect 5408 116 5414 128 -rect 5813 119 5871 125 -rect 5813 116 5825 119 -rect 5408 88 5825 116 -rect 5408 76 5414 88 -rect 5813 85 5825 88 -rect 5859 85 5871 119 -rect 5813 79 5871 85 +rect 10965 187 11023 193 +rect 10704 156 10916 184 +rect 10888 125 10916 156 +rect 10965 153 10977 187 +rect 11011 184 11023 187 +rect 11330 184 11336 196 +rect 11011 156 11336 184 +rect 11011 153 11023 156 +rect 10965 147 11023 153 +rect 11330 144 11336 156 +rect 11388 144 11394 196 +rect 10873 119 10931 125 +rect 10873 85 10885 119 +rect 10919 85 10931 119 +rect 10873 79 10931 85 rect 0 26 18860 48 rect 0 -26 4660 26 rect 4712 -26 4724 26 @@ -9484,55 +10333,56 @@ rect 17188 10854 17240 10906 rect 17252 10854 17304 10906 rect 17316 10854 17368 10906 rect 7104 10752 7156 10804 -rect 296 10616 348 10668 -rect 4160 10616 4212 10668 +rect 8852 10752 8904 10804 rect 9956 10752 10008 10804 -rect 7656 10659 7708 10668 -rect 7656 10625 7665 10659 -rect 7665 10625 7699 10659 -rect 7699 10625 7708 10659 -rect 7656 10616 7708 10625 -rect 8944 10616 8996 10668 -rect 11336 10684 11388 10736 rect 12808 10752 12860 10804 -rect 11244 10659 11296 10668 -rect 11244 10625 11253 10659 -rect 11253 10625 11287 10659 -rect 11287 10625 11296 10659 -rect 11244 10616 11296 10625 -rect 3516 10548 3568 10600 -rect 2688 10480 2740 10532 -rect 1308 10412 1360 10464 -rect 7012 10480 7064 10532 -rect 8760 10548 8812 10600 -rect 11060 10591 11112 10600 -rect 11060 10557 11069 10591 -rect 11069 10557 11103 10591 -rect 11103 10557 11112 10591 -rect 11060 10548 11112 10557 -rect 10692 10480 10744 10532 -rect 4436 10412 4488 10464 -rect 8668 10412 8720 10464 -rect 9220 10412 9272 10464 -rect 11520 10412 11572 10464 +rect 10876 10727 10928 10736 +rect 10876 10693 10885 10727 +rect 10885 10693 10919 10727 +rect 10919 10693 10928 10727 +rect 10876 10684 10928 10693 +rect 13084 10684 13136 10736 +rect 2136 10659 2188 10668 +rect 2136 10625 2145 10659 +rect 2145 10625 2179 10659 +rect 2179 10625 2188 10659 +rect 2136 10616 2188 10625 +rect 3516 10616 3568 10668 +rect 6092 10616 6144 10668 +rect 2044 10548 2096 10600 +rect 3792 10591 3844 10600 +rect 3792 10557 3801 10591 +rect 3801 10557 3835 10591 +rect 3835 10557 3844 10591 +rect 3792 10548 3844 10557 +rect 8944 10591 8996 10600 +rect 8944 10557 8953 10591 +rect 8953 10557 8987 10591 +rect 8987 10557 8996 10591 +rect 8944 10548 8996 10557 +rect 11888 10616 11940 10668 +rect 12900 10616 12952 10668 +rect 11244 10548 11296 10600 +rect 1952 10412 2004 10464 +rect 5264 10412 5316 10464 +rect 6000 10412 6052 10464 +rect 7656 10412 7708 10464 rect 12808 10548 12860 10600 -rect 15200 10616 15252 10668 +rect 15108 10616 15160 10668 rect 18052 10659 18104 10668 rect 18052 10625 18061 10659 rect 18061 10625 18095 10659 rect 18095 10625 18104 10659 rect 18052 10616 18104 10625 -rect 13176 10480 13228 10532 -rect 14372 10480 14424 10532 rect 18788 10616 18840 10668 -rect 13360 10412 13412 10464 +rect 13268 10412 13320 10464 rect 14464 10455 14516 10464 rect 14464 10421 14473 10455 rect 14473 10421 14507 10455 rect 14507 10421 14516 10455 rect 14464 10412 14516 10421 -rect 14832 10412 14884 10464 -rect 15292 10412 15344 10464 +rect 14740 10412 14792 10464 +rect 15200 10412 15252 10464 rect 16948 10455 17000 10464 rect 16948 10421 16957 10455 rect 16957 10421 16991 10455 @@ -9563,89 +10413,80 @@ rect 15574 10310 15626 10362 rect 15638 10310 15690 10362 rect 15702 10310 15754 10362 rect 15766 10310 15818 10362 -rect 3516 10251 3568 10260 -rect 3516 10217 3525 10251 -rect 3525 10217 3559 10251 -rect 3559 10217 3568 10251 -rect 3516 10208 3568 10217 -rect 7656 10208 7708 10260 -rect 1308 10115 1360 10124 -rect 1308 10081 1317 10115 -rect 1317 10081 1351 10115 -rect 1351 10081 1360 10115 -rect 1308 10072 1360 10081 -rect 2596 10072 2648 10124 -rect 4344 10140 4396 10192 -rect 4896 10140 4948 10192 -rect 8760 10183 8812 10192 -rect 8760 10149 8769 10183 -rect 8769 10149 8803 10183 -rect 8803 10149 8812 10183 -rect 8760 10140 8812 10149 -rect 10692 10183 10744 10192 -rect 10692 10149 10701 10183 -rect 10701 10149 10735 10183 -rect 10735 10149 10744 10183 -rect 10692 10140 10744 10149 -rect 11244 10208 11296 10260 -rect 13176 10208 13228 10260 -rect 14924 10208 14976 10260 -rect 15200 10208 15252 10260 -rect 18052 10208 18104 10260 -rect 2688 9936 2740 9988 -rect 2228 9868 2280 9920 -rect 4160 10072 4212 10124 -rect 4068 9936 4120 9988 -rect 4896 10047 4948 10056 -rect 4896 10013 4905 10047 -rect 4905 10013 4939 10047 -rect 4939 10013 4948 10047 -rect 5448 10072 5500 10124 -rect 6368 10072 6420 10124 -rect 4896 10004 4948 10013 -rect 5540 10047 5592 10056 -rect 5540 10013 5549 10047 -rect 5549 10013 5583 10047 -rect 5583 10013 5592 10047 -rect 5540 10004 5592 10013 -rect 6184 10004 6236 10056 +rect 3516 10208 3568 10260 +rect 3792 10208 3844 10260 +rect 6920 10208 6972 10260 +rect 8852 10183 8904 10192 +rect 296 10004 348 10056 +rect 1952 10115 2004 10124 +rect 1952 10081 1961 10115 +rect 1961 10081 1995 10115 +rect 1995 10081 2004 10115 +rect 1952 10072 2004 10081 +rect 6000 10072 6052 10124 +rect 940 10047 992 10056 +rect 940 10013 949 10047 +rect 949 10013 983 10047 +rect 983 10013 992 10047 +rect 940 10004 992 10013 +rect 388 9979 440 9988 +rect 388 9945 397 9979 +rect 397 9945 431 9979 +rect 431 9945 440 9979 +rect 388 9936 440 9945 +rect 1216 10004 1268 10056 +rect 3700 10047 3752 10056 +rect 3700 10013 3709 10047 +rect 3709 10013 3743 10047 +rect 3743 10013 3752 10047 +rect 3700 10004 3752 10013 +rect 3884 10047 3936 10056 +rect 3884 10013 3893 10047 +rect 3893 10013 3927 10047 +rect 3927 10013 3936 10047 +rect 3884 10004 3936 10013 rect 6460 10047 6512 10056 rect 6460 10013 6469 10047 rect 6469 10013 6503 10047 rect 6503 10013 6512 10047 rect 6460 10004 6512 10013 -rect 8668 10047 8720 10056 -rect 8668 10013 8677 10047 -rect 8677 10013 8711 10047 -rect 8711 10013 8720 10047 -rect 8668 10004 8720 10013 -rect 7012 9936 7064 9988 -rect 4528 9868 4580 9920 -rect 5724 9868 5776 9920 -rect 7656 9868 7708 9920 -rect 8484 9911 8536 9920 -rect 8484 9877 8493 9911 -rect 8493 9877 8527 9911 -rect 8527 9877 8536 9911 -rect 8484 9868 8536 9877 -rect 9956 10072 10008 10124 -rect 13360 10115 13412 10124 -rect 13360 10081 13369 10115 -rect 13369 10081 13403 10115 -rect 13403 10081 13412 10115 -rect 13360 10072 13412 10081 +rect 8852 10149 8861 10183 +rect 8861 10149 8895 10183 +rect 8895 10149 8904 10183 +rect 8852 10140 8904 10149 +rect 12808 10208 12860 10260 +rect 13820 10208 13872 10260 +rect 15108 10208 15160 10260 +rect 18052 10208 18104 10260 +rect 9220 10072 9272 10124 +rect 11520 10072 11572 10124 +rect 13268 10115 13320 10124 +rect 13268 10081 13277 10115 +rect 13277 10081 13311 10115 +rect 13311 10081 13320 10115 +rect 13268 10072 13320 10081 rect 14464 10072 14516 10124 rect 16948 10072 17000 10124 rect 16488 10004 16540 10056 rect 18604 10004 18656 10056 -rect 9220 9979 9272 9988 -rect 9220 9945 9229 9979 -rect 9229 9945 9263 9979 -rect 9263 9945 9272 9979 -rect 9220 9936 9272 9945 -rect 9680 9936 9732 9988 -rect 9864 9868 9916 9920 -rect 14372 9868 14424 9920 +rect 664 9868 716 9920 +rect 1584 9979 1636 9988 +rect 1584 9945 1593 9979 +rect 1593 9945 1627 9979 +rect 1627 9945 1636 9979 +rect 1584 9936 1636 9945 +rect 2596 9868 2648 9920 +rect 7472 9936 7524 9988 +rect 3608 9868 3660 9920 +rect 10232 9868 10284 9920 +rect 10692 9911 10744 9920 +rect 10692 9877 10701 9911 +rect 10701 9877 10735 9911 +rect 10735 9877 10744 9911 +rect 10692 9868 10744 9877 +rect 10876 9936 10928 9988 +rect 12440 9868 12492 9920 +rect 16120 9868 16172 9920 rect 18328 9911 18380 9920 rect 18328 9877 18337 9911 rect 18337 9877 18371 9911 @@ -9676,97 +10517,111 @@ rect 17124 9766 17176 9818 rect 17188 9766 17240 9818 rect 17252 9766 17304 9818 rect 17316 9766 17368 9818 -rect 1952 9596 2004 9648 +rect 2136 9664 2188 9716 +rect 2964 9664 3016 9716 +rect 5264 9707 5316 9716 +rect 5264 9673 5273 9707 +rect 5273 9673 5307 9707 +rect 5307 9673 5316 9707 +rect 5264 9664 5316 9673 +rect 6460 9707 6512 9716 +rect 2228 9596 2280 9648 +rect 388 9528 440 9580 +rect 664 9571 716 9580 +rect 664 9537 673 9571 +rect 673 9537 707 9571 +rect 707 9537 716 9571 +rect 664 9528 716 9537 +rect 1584 9528 1636 9580 rect 2688 9596 2740 9648 -rect 4160 9664 4212 9716 -rect 3056 9596 3108 9648 -rect 4068 9596 4120 9648 -rect 5356 9639 5408 9648 -rect 2136 9571 2188 9580 -rect 2136 9537 2145 9571 -rect 2145 9537 2179 9571 -rect 2179 9537 2188 9571 -rect 2136 9528 2188 9537 -rect 2504 9571 2556 9580 -rect 296 9503 348 9512 -rect 296 9469 305 9503 -rect 305 9469 339 9503 -rect 339 9469 348 9503 -rect 296 9460 348 9469 -rect 2504 9537 2513 9571 -rect 2513 9537 2547 9571 -rect 2547 9537 2556 9571 -rect 5356 9605 5365 9639 -rect 5365 9605 5399 9639 -rect 5399 9605 5408 9639 -rect 5356 9596 5408 9605 -rect 2504 9528 2556 9537 -rect 3056 9460 3108 9512 -rect 2688 9324 2740 9376 -rect 4528 9528 4580 9580 -rect 5448 9571 5500 9580 -rect 5448 9537 5457 9571 -rect 5457 9537 5491 9571 -rect 5491 9537 5500 9571 -rect 5448 9528 5500 9537 -rect 6460 9664 6512 9716 -rect 8944 9664 8996 9716 -rect 5724 9639 5776 9648 -rect 5724 9605 5733 9639 -rect 5733 9605 5767 9639 -rect 5767 9605 5776 9639 -rect 5724 9596 5776 9605 -rect 6184 9639 6236 9648 -rect 6184 9605 6193 9639 -rect 6193 9605 6227 9639 -rect 6227 9605 6236 9639 -rect 6184 9596 6236 9605 -rect 6368 9596 6420 9648 -rect 8484 9596 8536 9648 -rect 9680 9596 9732 9648 -rect 10048 9639 10100 9648 -rect 10048 9605 10057 9639 -rect 10057 9605 10091 9639 -rect 10091 9605 10100 9639 -rect 10048 9596 10100 9605 -rect 10692 9596 10744 9648 -rect 6092 9528 6144 9580 -rect 7656 9528 7708 9580 -rect 4344 9460 4396 9512 -rect 6000 9460 6052 9512 -rect 3608 9435 3660 9444 -rect 3608 9401 3617 9435 -rect 3617 9401 3651 9435 -rect 3651 9401 3660 9435 -rect 3608 9392 3660 9401 -rect 5264 9324 5316 9376 -rect 7564 9460 7616 9512 -rect 9956 9528 10008 9580 -rect 11796 9528 11848 9580 -rect 14372 9664 14424 9716 -rect 14464 9664 14516 9716 +rect 1216 9460 1268 9512 +rect 2596 9571 2648 9580 +rect 2596 9537 2605 9571 +rect 2605 9537 2639 9571 +rect 2639 9537 2648 9571 +rect 2596 9528 2648 9537 +rect 2872 9571 2924 9580 +rect 2872 9537 2881 9571 +rect 2881 9537 2915 9571 +rect 2915 9537 2924 9571 +rect 3700 9596 3752 9648 +rect 2872 9528 2924 9537 +rect 3516 9528 3568 9580 +rect 5632 9596 5684 9648 +rect 6460 9673 6469 9707 +rect 6469 9673 6503 9707 +rect 6503 9673 6512 9707 +rect 6460 9664 6512 9673 +rect 11244 9707 11296 9716 +rect 6644 9596 6696 9648 +rect 8852 9596 8904 9648 +rect 11244 9673 11253 9707 +rect 11253 9673 11287 9707 +rect 11287 9673 11296 9707 +rect 11244 9664 11296 9673 +rect 12440 9664 12492 9716 rect 16580 9664 16632 9716 -rect 9680 9392 9732 9444 +rect 9864 9596 9916 9648 +rect 16120 9596 16172 9648 +rect 2228 9367 2280 9376 +rect 2228 9333 2237 9367 +rect 2237 9333 2271 9367 +rect 2271 9333 2280 9367 +rect 2228 9324 2280 9333 +rect 2872 9392 2924 9444 +rect 3884 9392 3936 9444 +rect 5448 9503 5500 9512 +rect 5448 9469 5457 9503 +rect 5457 9469 5491 9503 +rect 5491 9469 5500 9503 +rect 5448 9460 5500 9469 +rect 3976 9324 4028 9376 +rect 5172 9392 5224 9444 +rect 6000 9528 6052 9580 +rect 6920 9528 6972 9580 +rect 7472 9571 7524 9580 +rect 7472 9537 7481 9571 +rect 7481 9537 7515 9571 +rect 7515 9537 7524 9571 +rect 7472 9528 7524 9537 +rect 9772 9528 9824 9580 +rect 14740 9571 14792 9580 +rect 14740 9537 14749 9571 +rect 14749 9537 14783 9571 +rect 14783 9537 14792 9571 +rect 14740 9528 14792 9537 +rect 15200 9528 15252 9580 +rect 6092 9460 6144 9512 +rect 8484 9460 8536 9512 +rect 9036 9460 9088 9512 +rect 8852 9392 8904 9444 +rect 9864 9392 9916 9444 +rect 12256 9460 12308 9512 +rect 18328 9460 18380 9512 +rect 4896 9367 4948 9376 +rect 4896 9333 4905 9367 +rect 4905 9333 4939 9367 +rect 4939 9333 4948 9367 +rect 4896 9324 4948 9333 +rect 5540 9324 5592 9376 +rect 7472 9324 7524 9376 +rect 9036 9324 9088 9376 rect 9772 9367 9824 9376 rect 9772 9333 9781 9367 rect 9781 9333 9815 9367 rect 9815 9333 9824 9367 rect 9772 9324 9824 9333 -rect 11152 9460 11204 9512 -rect 11612 9460 11664 9512 -rect 12808 9460 12860 9512 -rect 14832 9571 14884 9580 -rect 14832 9537 14841 9571 -rect 14841 9537 14875 9571 -rect 14875 9537 14884 9571 -rect 14832 9528 14884 9537 -rect 15292 9528 15344 9580 -rect 18328 9460 18380 9512 -rect 11428 9324 11480 9376 -rect 13360 9324 13412 9376 -rect 13452 9324 13504 9376 -rect 14464 9324 14516 9376 -rect 16672 9324 16724 9376 +rect 9956 9324 10008 9376 +rect 11336 9324 11388 9376 +rect 12164 9367 12216 9376 +rect 12164 9333 12173 9367 +rect 12173 9333 12207 9367 +rect 12207 9333 12216 9367 +rect 12164 9324 12216 9333 +rect 14740 9392 14792 9444 +rect 12992 9324 13044 9376 +rect 15292 9324 15344 9376 +rect 16120 9324 16172 9376 rect 3110 9222 3162 9274 rect 3174 9222 3226 9274 rect 3238 9222 3290 9274 @@ -9792,76 +10647,115 @@ rect 15574 9222 15626 9274 rect 15638 9222 15690 9274 rect 15702 9222 15754 9274 rect 15766 9222 15818 9274 +rect 940 9120 992 9172 rect 1400 9163 1452 9172 rect 1400 9129 1409 9163 rect 1409 9129 1443 9163 rect 1443 9129 1452 9163 rect 1400 9120 1452 9129 -rect 2964 9120 3016 9172 -rect 10692 9163 10744 9172 -rect 10692 9129 10701 9163 -rect 10701 9129 10735 9163 -rect 10735 9129 10744 9163 -rect 10692 9120 10744 9129 -rect 11796 9120 11848 9172 -rect 14188 9120 14240 9172 -rect 14464 9120 14516 9172 -rect 1952 9027 2004 9036 -rect 1952 8993 1961 9027 -rect 1961 8993 1995 9027 -rect 1995 8993 2004 9027 -rect 1952 8984 2004 8993 -rect 4528 8984 4580 9036 +rect 6000 9120 6052 9172 +rect 8484 9163 8536 9172 +rect 8484 9129 8493 9163 +rect 8493 9129 8527 9163 +rect 8527 9129 8536 9163 +rect 8484 9120 8536 9129 +rect 11888 9163 11940 9172 +rect 2596 9052 2648 9104 +rect 6644 9052 6696 9104 +rect 7196 9052 7248 9104 +rect 10508 9095 10560 9104 +rect 2136 8984 2188 9036 +rect 3700 8984 3752 9036 rect 1400 8916 1452 8968 -rect 2688 8916 2740 8968 -rect 4436 8959 4488 8968 -rect 4436 8925 4445 8959 -rect 4445 8925 4479 8959 -rect 4479 8925 4488 8959 -rect 4436 8916 4488 8925 -rect 4988 8916 5040 8968 -rect 5264 8916 5316 8968 -rect 6092 8916 6144 8968 -rect 8392 8916 8444 8968 -rect 8484 8916 8536 8968 -rect 10692 8984 10744 9036 -rect 10140 8959 10192 8968 -rect 10140 8925 10149 8959 -rect 10149 8925 10183 8959 -rect 10183 8925 10192 8959 -rect 10140 8916 10192 8925 +rect 2228 8916 2280 8968 +rect 3608 8916 3660 8968 +rect 4896 8916 4948 8968 +rect 5540 8959 5592 8968 +rect 5540 8925 5549 8959 +rect 5549 8925 5583 8959 +rect 5583 8925 5592 8959 +rect 5540 8916 5592 8925 +rect 5632 8959 5684 8968 +rect 5632 8925 5641 8959 +rect 5641 8925 5675 8959 +rect 5675 8925 5684 8959 +rect 8852 8984 8904 9036 +rect 10508 9061 10517 9095 +rect 10517 9061 10551 9095 +rect 10551 9061 10560 9095 +rect 10508 9052 10560 9061 +rect 10324 9027 10376 9036 +rect 5632 8916 5684 8925 +rect 7288 8916 7340 8968 +rect 7472 8916 7524 8968 +rect 2320 8848 2372 8900 +rect 2136 8780 2188 8832 +rect 2780 8848 2832 8900 +rect 2596 8780 2648 8832 +rect 4068 8848 4120 8900 +rect 5448 8848 5500 8900 +rect 6092 8848 6144 8900 +rect 6552 8848 6604 8900 +rect 7104 8891 7156 8900 +rect 7104 8857 7113 8891 +rect 7113 8857 7147 8891 +rect 7147 8857 7156 8891 +rect 7104 8848 7156 8857 +rect 8116 8916 8168 8968 +rect 10324 8993 10333 9027 +rect 10333 8993 10367 9027 +rect 10367 8993 10376 9027 +rect 10324 8984 10376 8993 +rect 8484 8848 8536 8900 +rect 9036 8848 9088 8900 +rect 9404 8916 9456 8968 +rect 5724 8780 5776 8832 +rect 7564 8780 7616 8832 +rect 9864 8848 9916 8900 rect 10784 8916 10836 8968 -rect 11244 8959 11296 8968 -rect 11244 8925 11253 8959 -rect 11253 8925 11287 8959 -rect 11287 8925 11296 8959 -rect 11244 8916 11296 8925 -rect 13360 8984 13412 9036 +rect 11888 9129 11897 9163 +rect 11897 9129 11931 9163 +rect 11931 9129 11940 9163 +rect 11888 9120 11940 9129 +rect 12072 9120 12124 9172 +rect 11428 9027 11480 9036 +rect 11428 8993 11437 9027 +rect 11437 8993 11471 9027 +rect 11471 8993 11480 9027 +rect 11428 8984 11480 8993 +rect 11336 8916 11388 8968 +rect 12440 9052 12492 9104 +rect 12900 9052 12952 9104 +rect 12808 8984 12860 9036 rect 14464 8984 14516 9036 -rect 16672 8984 16724 9036 -rect 13452 8959 13504 8968 -rect 4344 8848 4396 8900 -rect 8300 8848 8352 8900 -rect 1952 8780 2004 8832 -rect 2504 8780 2556 8832 -rect 3608 8780 3660 8832 -rect 4160 8780 4212 8832 -rect 4436 8780 4488 8832 -rect 5264 8780 5316 8832 -rect 8576 8780 8628 8832 -rect 9128 8848 9180 8900 -rect 9496 8848 9548 8900 -rect 11796 8848 11848 8900 -rect 13452 8925 13461 8959 -rect 13461 8925 13495 8959 -rect 13495 8925 13504 8959 -rect 13452 8916 13504 8925 -rect 15844 8916 15896 8968 -rect 11980 8780 12032 8832 -rect 14188 8848 14240 8900 -rect 16488 8848 16540 8900 -rect 14372 8780 14424 8832 -rect 18052 8780 18104 8832 +rect 11980 8848 12032 8900 +rect 12716 8959 12768 8968 +rect 12716 8925 12725 8959 +rect 12725 8925 12759 8959 +rect 12759 8925 12768 8959 +rect 12716 8916 12768 8925 +rect 9956 8780 10008 8832 +rect 10140 8823 10192 8832 +rect 10140 8789 10149 8823 +rect 10149 8789 10183 8823 +rect 10183 8789 10192 8823 +rect 10140 8780 10192 8789 +rect 12072 8780 12124 8832 +rect 12256 8780 12308 8832 +rect 12624 8780 12676 8832 +rect 17408 9120 17460 9172 +rect 14740 8984 14792 9036 +rect 13176 8848 13228 8900 +rect 13636 8780 13688 8832 +rect 15200 8848 15252 8900 +rect 14924 8780 14976 8832 +rect 15936 8916 15988 8968 +rect 17868 8959 17920 8968 +rect 17868 8925 17877 8959 +rect 17877 8925 17911 8959 +rect 17911 8925 17920 8959 +rect 17868 8916 17920 8925 +rect 16212 8780 16264 8832 rect 4660 8678 4712 8730 rect 4724 8678 4776 8730 rect 4788 8678 4840 8730 @@ -9887,122 +10781,132 @@ rect 17124 8678 17176 8730 rect 17188 8678 17240 8730 rect 17252 8678 17304 8730 rect 17316 8678 17368 8730 -rect 2136 8619 2188 8628 -rect 2136 8585 2145 8619 -rect 2145 8585 2179 8619 -rect 2179 8585 2188 8619 -rect 2136 8576 2188 8585 -rect 4160 8619 4212 8628 -rect 4160 8585 4169 8619 -rect 4169 8585 4203 8619 -rect 4203 8585 4212 8619 -rect 4160 8576 4212 8585 -rect 4344 8576 4396 8628 -rect 5264 8619 5316 8628 -rect 5264 8585 5273 8619 -rect 5273 8585 5307 8619 -rect 5307 8585 5316 8619 -rect 5264 8576 5316 8585 -rect 9496 8619 9548 8628 -rect 1952 8508 2004 8560 +rect 2044 8576 2096 8628 +rect 2320 8576 2372 8628 +rect 6644 8576 6696 8628 +rect 2228 8508 2280 8560 rect 2136 8483 2188 8492 rect 2136 8449 2145 8483 rect 2145 8449 2179 8483 rect 2179 8449 2188 8483 rect 2136 8440 2188 8449 +rect 2596 8508 2648 8560 +rect 2780 8508 2832 8560 +rect 2688 8483 2740 8492 +rect 2688 8449 2697 8483 +rect 2697 8449 2731 8483 +rect 2731 8449 2740 8483 +rect 2688 8440 2740 8449 rect 296 8415 348 8424 rect 296 8381 305 8415 rect 305 8381 339 8415 rect 339 8381 348 8415 rect 296 8372 348 8381 -rect 4068 8508 4120 8560 -rect 4436 8508 4488 8560 -rect 5356 8508 5408 8560 -rect 7472 8508 7524 8560 -rect 9496 8585 9505 8619 -rect 9505 8585 9539 8619 -rect 9539 8585 9548 8619 -rect 9496 8576 9548 8585 -rect 9680 8576 9732 8628 -rect 11244 8576 11296 8628 -rect 11520 8619 11572 8628 -rect 11520 8585 11529 8619 -rect 11529 8585 11563 8619 -rect 11563 8585 11572 8619 -rect 11520 8576 11572 8585 -rect 2688 8483 2740 8492 -rect 2228 8304 2280 8356 -rect 2688 8449 2697 8483 -rect 2697 8449 2731 8483 -rect 2731 8449 2740 8483 -rect 2688 8440 2740 8449 -rect 2780 8483 2832 8492 -rect 2780 8449 2789 8483 -rect 2789 8449 2823 8483 -rect 2823 8449 2832 8483 -rect 2780 8440 2832 8449 -rect 2412 8372 2464 8424 -rect 4528 8440 4580 8492 -rect 4988 8372 5040 8424 -rect 5172 8304 5224 8356 -rect 2964 8279 3016 8288 -rect 2964 8245 2973 8279 -rect 2973 8245 3007 8279 -rect 3007 8245 3016 8279 -rect 2964 8236 3016 8245 -rect 7288 8440 7340 8492 -rect 10140 8508 10192 8560 -rect 10600 8508 10652 8560 -rect 8392 8440 8444 8492 -rect 8576 8440 8628 8492 -rect 12900 8508 12952 8560 -rect 14556 8576 14608 8628 -rect 13452 8508 13504 8560 -rect 15844 8576 15896 8628 -rect 15108 8508 15160 8560 -rect 8668 8372 8720 8424 +rect 572 8415 624 8424 +rect 572 8381 581 8415 +rect 581 8381 615 8415 +rect 615 8381 624 8415 +rect 572 8372 624 8381 +rect 2872 8372 2924 8424 +rect 5172 8483 5224 8492 +rect 5172 8449 5181 8483 +rect 5181 8449 5215 8483 +rect 5215 8449 5224 8483 +rect 5172 8440 5224 8449 +rect 5540 8508 5592 8560 +rect 6552 8508 6604 8560 +rect 8760 8576 8812 8628 +rect 8944 8576 8996 8628 +rect 9588 8576 9640 8628 +rect 10232 8576 10284 8628 +rect 10692 8576 10744 8628 +rect 12164 8576 12216 8628 +rect 12716 8576 12768 8628 +rect 13176 8619 13228 8628 +rect 13176 8585 13185 8619 +rect 13185 8585 13219 8619 +rect 13219 8585 13228 8619 +rect 13176 8576 13228 8585 +rect 13636 8619 13688 8628 +rect 13636 8585 13645 8619 +rect 13645 8585 13679 8619 +rect 13679 8585 13688 8619 +rect 13636 8576 13688 8585 +rect 14464 8576 14516 8628 +rect 5724 8483 5776 8492 +rect 5724 8449 5733 8483 +rect 5733 8449 5767 8483 +rect 5767 8449 5776 8483 +rect 7656 8508 7708 8560 +rect 5724 8440 5776 8449 +rect 3700 8415 3752 8424 +rect 3700 8381 3709 8415 +rect 3709 8381 3743 8415 +rect 3743 8381 3752 8415 +rect 3700 8372 3752 8381 +rect 3884 8304 3936 8356 +rect 4068 8304 4120 8356 +rect 5816 8372 5868 8424 +rect 2044 8279 2096 8288 +rect 2044 8245 2053 8279 +rect 2053 8245 2087 8279 +rect 2087 8245 2096 8279 +rect 2044 8236 2096 8245 +rect 2964 8236 3016 8288 +rect 5172 8236 5224 8288 +rect 5908 8279 5960 8288 +rect 5908 8245 5917 8279 +rect 5917 8245 5951 8279 +rect 5951 8245 5960 8279 +rect 10508 8508 10560 8560 +rect 8208 8440 8260 8492 +rect 7196 8372 7248 8424 +rect 7472 8415 7524 8424 +rect 7472 8381 7481 8415 +rect 7481 8381 7515 8415 +rect 7515 8381 7524 8415 +rect 7472 8372 7524 8381 +rect 8760 8372 8812 8424 +rect 9404 8372 9456 8424 +rect 9588 8440 9640 8492 +rect 9864 8440 9916 8492 +rect 11796 8440 11848 8492 +rect 10600 8372 10652 8424 +rect 11520 8372 11572 8424 rect 11980 8440 12032 8492 -rect 12808 8440 12860 8492 -rect 13360 8483 13412 8492 -rect 13360 8449 13369 8483 -rect 13369 8449 13403 8483 -rect 13403 8449 13412 8483 -rect 13360 8440 13412 8449 -rect 11704 8372 11756 8424 -rect 6092 8236 6144 8288 -rect 7656 8236 7708 8288 -rect 7932 8279 7984 8288 -rect 7932 8245 7941 8279 -rect 7941 8245 7975 8279 -rect 7975 8245 7984 8279 -rect 8576 8279 8628 8288 -rect 7932 8236 7984 8245 -rect 8576 8245 8585 8279 -rect 8585 8245 8619 8279 -rect 8619 8245 8628 8279 -rect 8576 8236 8628 8245 -rect 8668 8236 8720 8288 -rect 10784 8236 10836 8288 -rect 11336 8304 11388 8356 -rect 12164 8372 12216 8424 -rect 13268 8415 13320 8424 -rect 13268 8381 13277 8415 -rect 13277 8381 13311 8415 -rect 13311 8381 13320 8415 -rect 13268 8372 13320 8381 -rect 14372 8440 14424 8492 -rect 14464 8372 14516 8424 -rect 16672 8415 16724 8424 -rect 16672 8381 16681 8415 -rect 16681 8381 16715 8415 -rect 16715 8381 16724 8415 -rect 16672 8372 16724 8381 -rect 17868 8372 17920 8424 +rect 12348 8508 12400 8560 +rect 12900 8483 12952 8492 +rect 12900 8449 12909 8483 +rect 12909 8449 12943 8483 +rect 12943 8449 12952 8483 +rect 16212 8508 16264 8560 +rect 16948 8508 17000 8560 +rect 12900 8440 12952 8449 +rect 14924 8440 14976 8492 +rect 13820 8415 13872 8424 +rect 13820 8381 13829 8415 +rect 13829 8381 13863 8415 +rect 13863 8381 13872 8415 +rect 13820 8372 13872 8381 +rect 11152 8304 11204 8356 +rect 12348 8304 12400 8356 +rect 12624 8304 12676 8356 +rect 5908 8236 5960 8245 +rect 10140 8236 10192 8288 +rect 11428 8236 11480 8288 +rect 11888 8236 11940 8288 +rect 12900 8304 12952 8356 +rect 14004 8304 14056 8356 +rect 14648 8304 14700 8356 +rect 16580 8372 16632 8424 rect 18604 8440 18656 8492 -rect 12716 8304 12768 8356 -rect 11244 8236 11296 8288 -rect 12256 8236 12308 8288 -rect 14280 8236 14332 8288 +rect 17408 8415 17460 8424 +rect 17408 8381 17417 8415 +rect 17417 8381 17451 8415 +rect 17451 8381 17460 8415 +rect 17408 8372 17460 8381 +rect 14832 8236 14884 8288 +rect 16764 8236 16816 8288 rect 3110 8134 3162 8186 rect 3174 8134 3226 8186 rect 3238 8134 3290 8186 @@ -10028,140 +10932,89 @@ rect 15574 8134 15626 8186 rect 15638 8134 15690 8186 rect 15702 8134 15754 8186 rect 15766 8134 15818 8186 +rect 572 8032 624 8084 rect 2136 8032 2188 8084 -rect 2688 8032 2740 8084 +rect 2320 8032 2372 8084 +rect 2504 8075 2556 8084 +rect 2504 8041 2513 8075 +rect 2513 8041 2547 8075 +rect 2547 8041 2556 8075 +rect 2504 8032 2556 8041 rect 4252 8032 4304 8084 rect 9772 8032 9824 8084 -rect 12900 8032 12952 8084 -rect 13360 8032 13412 8084 -rect 15108 8075 15160 8084 -rect 15108 8041 15117 8075 -rect 15117 8041 15151 8075 -rect 15151 8041 15160 8075 -rect 15108 8032 15160 8041 -rect 16488 8032 16540 8084 -rect 2780 7964 2832 8016 -rect 5080 7964 5132 8016 -rect 5356 7964 5408 8016 -rect 7656 8007 7708 8016 -rect 7656 7973 7665 8007 -rect 7665 7973 7699 8007 -rect 7699 7973 7708 8007 -rect 7656 7964 7708 7973 -rect 7932 8007 7984 8016 -rect 7932 7973 7941 8007 -rect 7941 7973 7975 8007 -rect 7975 7973 7984 8007 -rect 7932 7964 7984 7973 -rect 2228 7896 2280 7948 -rect 2504 7939 2556 7948 -rect 2504 7905 2513 7939 -rect 2513 7905 2547 7939 -rect 2547 7905 2556 7939 -rect 2504 7896 2556 7905 -rect 3516 7896 3568 7948 -rect 5264 7939 5316 7948 -rect 5264 7905 5273 7939 -rect 5273 7905 5307 7939 -rect 5307 7905 5316 7939 -rect 5264 7896 5316 7905 -rect 2136 7871 2188 7880 -rect 2136 7837 2145 7871 -rect 2145 7837 2179 7871 -rect 2179 7837 2188 7871 -rect 2136 7828 2188 7837 -rect 2320 7871 2372 7880 -rect 2320 7837 2329 7871 -rect 2329 7837 2363 7871 -rect 2363 7837 2372 7871 -rect 2320 7828 2372 7837 -rect 2964 7871 3016 7880 -rect 2964 7837 2973 7871 -rect 2973 7837 3007 7871 -rect 3007 7837 3016 7871 -rect 2964 7828 3016 7837 -rect 4988 7828 5040 7880 -rect 6644 7896 6696 7948 -rect 7288 7939 7340 7948 -rect 7288 7905 7297 7939 -rect 7297 7905 7331 7939 -rect 7331 7905 7340 7939 -rect 7288 7896 7340 7905 -rect 8300 7896 8352 7948 -rect 8484 7939 8536 7948 -rect 8484 7905 8493 7939 -rect 8493 7905 8527 7939 -rect 8527 7905 8536 7939 -rect 8484 7896 8536 7905 -rect 9128 7896 9180 7948 -rect 11336 7964 11388 8016 +rect 11796 8075 11848 8084 +rect 11796 8041 11805 8075 +rect 11805 8041 11839 8075 +rect 11839 8041 11848 8075 +rect 11796 8032 11848 8041 +rect 1308 7939 1360 7948 +rect 1308 7905 1317 7939 +rect 1317 7905 1351 7939 +rect 1351 7905 1360 7939 +rect 1308 7896 1360 7905 +rect 3700 7964 3752 8016 +rect 5540 7964 5592 8016 +rect 2964 7896 3016 7948 +rect 7564 7896 7616 7948 +rect 8852 7939 8904 7948 +rect 8852 7905 8861 7939 +rect 8861 7905 8895 7939 +rect 8895 7905 8904 7939 +rect 8852 7896 8904 7905 rect 11428 7939 11480 7948 rect 11428 7905 11437 7939 rect 11437 7905 11471 7939 rect 11471 7905 11480 7939 rect 11428 7896 11480 7905 -rect 12808 7964 12860 8016 +rect 1952 7828 2004 7880 +rect 3700 7828 3752 7880 rect 6092 7828 6144 7880 -rect 7472 7871 7524 7880 -rect 7472 7837 7481 7871 -rect 7481 7837 7515 7871 -rect 7515 7837 7524 7871 -rect 7472 7828 7524 7837 -rect 8116 7871 8168 7880 -rect 8116 7837 8125 7871 -rect 8125 7837 8159 7871 -rect 8159 7837 8168 7871 -rect 8116 7828 8168 7837 -rect 11980 7828 12032 7880 -rect 5356 7760 5408 7812 -rect 6552 7760 6604 7812 -rect 8392 7760 8444 7812 -rect 2504 7692 2556 7744 -rect 4160 7692 4212 7744 -rect 10048 7760 10100 7812 -rect 10140 7760 10192 7812 -rect 11796 7760 11848 7812 +rect 11152 7828 11204 7880 +rect 11704 7871 11756 7880 +rect 11704 7837 11713 7871 +rect 11713 7837 11747 7871 +rect 11747 7837 11756 7871 +rect 11704 7828 11756 7837 +rect 11888 7871 11940 7880 +rect 11888 7837 11897 7871 +rect 11897 7837 11931 7871 +rect 11931 7837 11940 7871 +rect 11888 7828 11940 7837 +rect 2504 7760 2556 7812 +rect 7380 7760 7432 7812 +rect 18512 8032 18564 8084 +rect 12072 7964 12124 8016 +rect 13636 7964 13688 8016 +rect 15936 7964 15988 8016 +rect 14372 7939 14424 7948 +rect 3792 7692 3844 7744 +rect 6920 7692 6972 7744 +rect 7104 7692 7156 7744 +rect 8208 7692 8260 7744 +rect 9128 7692 9180 7744 rect 11244 7692 11296 7744 -rect 12256 7871 12308 7880 -rect 12256 7837 12265 7871 -rect 12265 7837 12299 7871 -rect 12299 7837 12308 7871 -rect 13728 7896 13780 7948 -rect 14280 7939 14332 7948 -rect 14280 7905 14289 7939 -rect 14289 7905 14323 7939 -rect 14323 7905 14332 7939 -rect 14280 7896 14332 7905 -rect 12256 7828 12308 7837 -rect 13544 7828 13596 7880 -rect 14556 7871 14608 7880 -rect 14556 7837 14565 7871 -rect 14565 7837 14599 7871 -rect 14599 7837 14608 7871 -rect 14556 7828 14608 7837 -rect 16672 7896 16724 7948 -rect 13268 7760 13320 7812 -rect 13636 7760 13688 7812 -rect 13820 7760 13872 7812 -rect 14372 7760 14424 7812 -rect 16120 7803 16172 7812 -rect 16120 7769 16129 7803 -rect 16129 7769 16163 7803 -rect 16163 7769 16172 7803 -rect 16120 7760 16172 7769 -rect 16580 7760 16632 7812 -rect 17500 7760 17552 7812 -rect 13452 7692 13504 7744 -rect 14648 7735 14700 7744 -rect 14648 7701 14657 7735 -rect 14657 7701 14691 7735 -rect 14691 7701 14700 7735 -rect 14648 7692 14700 7701 -rect 15016 7735 15068 7744 -rect 15016 7701 15025 7735 -rect 15025 7701 15059 7735 -rect 15059 7701 15068 7735 -rect 15016 7692 15068 7701 +rect 14372 7905 14381 7939 +rect 14381 7905 14415 7939 +rect 14415 7905 14424 7939 +rect 14372 7896 14424 7905 +rect 12164 7760 12216 7812 +rect 14004 7828 14056 7880 +rect 14648 7871 14700 7880 +rect 14648 7837 14657 7871 +rect 14657 7837 14691 7871 +rect 14691 7837 14700 7871 +rect 14648 7828 14700 7837 +rect 14832 7828 14884 7880 +rect 16580 7896 16632 7948 +rect 16764 7896 16816 7948 +rect 17868 7871 17920 7880 +rect 14740 7760 14792 7812 +rect 12256 7692 12308 7744 +rect 17868 7837 17877 7871 +rect 17877 7837 17911 7871 +rect 17911 7837 17920 7871 +rect 17868 7828 17920 7837 +rect 16304 7760 16356 7812 rect 4660 7590 4712 7642 rect 4724 7590 4776 7642 rect 4788 7590 4840 7642 @@ -10187,153 +11040,148 @@ rect 17124 7590 17176 7642 rect 17188 7590 17240 7642 rect 17252 7590 17304 7642 rect 17316 7590 17368 7642 -rect 2136 7488 2188 7540 -rect 2412 7488 2464 7540 -rect 5448 7488 5500 7540 -rect 7196 7488 7248 7540 -rect 9128 7488 9180 7540 -rect 10692 7531 10744 7540 -rect 10692 7497 10701 7531 -rect 10701 7497 10735 7531 -rect 10735 7497 10744 7531 -rect 10692 7488 10744 7497 -rect 11244 7488 11296 7540 -rect 11704 7531 11756 7540 -rect 11704 7497 11713 7531 -rect 11713 7497 11747 7531 -rect 11747 7497 11756 7531 -rect 11704 7488 11756 7497 -rect 13728 7531 13780 7540 -rect 13728 7497 13737 7531 -rect 13737 7497 13771 7531 -rect 13771 7497 13780 7531 -rect 13728 7488 13780 7497 -rect 1952 7420 2004 7472 -rect 2044 7352 2096 7404 +rect 1308 7488 1360 7540 +rect 1952 7463 2004 7472 +rect 1952 7429 1961 7463 +rect 1961 7429 1995 7463 +rect 1995 7429 2004 7463 +rect 1952 7420 2004 7429 +rect 3792 7420 3844 7472 +rect 2044 7395 2096 7404 +rect 2044 7361 2053 7395 +rect 2053 7361 2087 7395 +rect 2087 7361 2096 7395 +rect 2044 7352 2096 7361 +rect 2136 7395 2188 7404 +rect 2136 7361 2145 7395 +rect 2145 7361 2179 7395 +rect 2179 7361 2188 7395 +rect 2136 7352 2188 7361 +rect 2320 7395 2372 7404 +rect 2320 7361 2329 7395 +rect 2329 7361 2363 7395 +rect 2363 7361 2372 7395 +rect 2320 7352 2372 7361 rect 2504 7352 2556 7404 -rect 2872 7420 2924 7472 -rect 296 7327 348 7336 -rect 296 7293 305 7327 -rect 305 7293 339 7327 -rect 339 7293 348 7327 -rect 296 7284 348 7293 -rect 572 7327 624 7336 -rect 572 7293 581 7327 -rect 581 7293 615 7327 -rect 615 7293 624 7327 -rect 572 7284 624 7293 -rect 3516 7352 3568 7404 rect 4068 7395 4120 7404 rect 4068 7361 4077 7395 rect 4077 7361 4111 7395 rect 4111 7361 4120 7395 rect 4068 7352 4120 7361 -rect 4252 7395 4304 7404 -rect 4252 7361 4261 7395 -rect 4261 7361 4295 7395 -rect 4295 7361 4304 7395 -rect 4252 7352 4304 7361 -rect 5264 7420 5316 7472 -rect 8392 7420 8444 7472 -rect 4896 7352 4948 7404 -rect 4988 7352 5040 7404 -rect 5356 7395 5408 7404 -rect 5356 7361 5365 7395 -rect 5365 7361 5399 7395 -rect 5399 7361 5408 7395 -rect 5356 7352 5408 7361 -rect 5632 7284 5684 7336 -rect 6920 7352 6972 7404 -rect 7104 7352 7156 7404 -rect 5908 7284 5960 7336 -rect 6644 7284 6696 7336 -rect 8668 7352 8720 7404 -rect 8852 7395 8904 7404 -rect 8852 7361 8861 7395 -rect 8861 7361 8895 7395 -rect 8895 7361 8904 7395 -rect 8852 7352 8904 7361 -rect 9864 7352 9916 7404 -rect 10508 7352 10560 7404 -rect 8024 7327 8076 7336 -rect 8024 7293 8033 7327 -rect 8033 7293 8067 7327 -rect 8067 7293 8076 7327 -rect 8024 7284 8076 7293 -rect 5816 7216 5868 7268 +rect 2596 7327 2648 7336 +rect 2596 7293 2605 7327 +rect 2605 7293 2639 7327 +rect 2639 7293 2648 7327 +rect 2596 7284 2648 7293 +rect 3884 7284 3936 7336 +rect 4344 7352 4396 7404 +rect 4896 7395 4948 7404 +rect 4896 7361 4905 7395 +rect 4905 7361 4939 7395 +rect 4939 7361 4948 7395 +rect 4896 7352 4948 7361 +rect 5632 7488 5684 7540 +rect 7288 7488 7340 7540 +rect 8484 7531 8536 7540 +rect 8484 7497 8493 7531 +rect 8493 7497 8527 7531 +rect 8527 7497 8536 7531 +rect 8484 7488 8536 7497 +rect 8852 7488 8904 7540 +rect 8944 7488 8996 7540 +rect 11244 7488 11296 7540 +rect 12072 7488 12124 7540 +rect 4804 7284 4856 7336 +rect 5172 7398 5224 7404 +rect 5172 7364 5181 7398 +rect 5181 7364 5215 7398 +rect 5215 7364 5224 7398 +rect 5172 7352 5224 7364 +rect 5356 7352 5408 7404 +rect 5724 7352 5776 7404 +rect 5908 7395 5960 7404 +rect 5908 7361 5917 7395 +rect 5917 7361 5951 7395 +rect 5951 7361 5960 7395 +rect 5908 7352 5960 7361 +rect 5540 7284 5592 7336 +rect 6000 7284 6052 7336 +rect 6552 7284 6604 7336 +rect 7656 7420 7708 7472 +rect 8116 7420 8168 7472 +rect 7012 7284 7064 7336 +rect 7380 7284 7432 7336 +rect 8208 7284 8260 7336 +rect 9128 7352 9180 7404 +rect 11060 7395 11112 7404 +rect 11060 7361 11069 7395 +rect 11069 7361 11103 7395 +rect 11103 7361 11112 7395 +rect 11060 7352 11112 7361 +rect 11704 7352 11756 7404 +rect 8944 7327 8996 7336 +rect 8944 7293 8953 7327 +rect 8953 7293 8987 7327 +rect 8987 7293 8996 7327 +rect 8944 7284 8996 7293 rect 9036 7327 9088 7336 rect 9036 7293 9045 7327 rect 9045 7293 9079 7327 rect 9079 7293 9088 7327 rect 9036 7284 9088 7293 -rect 10876 7395 10928 7404 -rect 10876 7361 10885 7395 -rect 10885 7361 10919 7395 -rect 10919 7361 10928 7395 -rect 10876 7352 10928 7361 -rect 11336 7352 11388 7404 -rect 11060 7284 11112 7336 -rect 11796 7352 11848 7404 -rect 12256 7352 12308 7404 -rect 13452 7395 13504 7404 -rect 13452 7361 13461 7395 -rect 13461 7361 13495 7395 -rect 13495 7361 13504 7395 -rect 13452 7352 13504 7361 -rect 13636 7395 13688 7404 -rect 13636 7361 13645 7395 -rect 13645 7361 13679 7395 -rect 13679 7361 13688 7395 -rect 13636 7352 13688 7361 -rect 13728 7417 13780 7438 -rect 13728 7386 13735 7417 -rect 13735 7386 13769 7417 -rect 13769 7386 13780 7417 -rect 14004 7420 14056 7472 -rect 14372 7420 14424 7472 -rect 14556 7420 14608 7472 -rect 15108 7420 15160 7472 -rect 13912 7352 13964 7404 -rect 14648 7352 14700 7404 -rect 12164 7284 12216 7336 -rect 15016 7284 15068 7336 -rect 16304 7327 16356 7336 -rect 16304 7293 16313 7327 -rect 16313 7293 16347 7327 -rect 16347 7293 16356 7327 -rect 16304 7284 16356 7293 -rect 10048 7216 10100 7268 -rect 10232 7216 10284 7268 +rect 10324 7284 10376 7336 rect 2964 7148 3016 7200 -rect 5540 7191 5592 7200 -rect 5540 7157 5549 7191 -rect 5549 7157 5583 7191 -rect 5583 7157 5592 7191 -rect 5540 7148 5592 7157 -rect 7380 7191 7432 7200 -rect 7380 7157 7389 7191 -rect 7389 7157 7423 7191 -rect 7423 7157 7432 7191 -rect 7380 7148 7432 7157 -rect 8300 7191 8352 7200 -rect 8300 7157 8309 7191 -rect 8309 7157 8343 7191 -rect 8343 7157 8352 7191 -rect 8300 7148 8352 7157 -rect 8484 7191 8536 7200 -rect 8484 7157 8493 7191 -rect 8493 7157 8527 7191 -rect 8527 7157 8536 7191 -rect 8484 7148 8536 7157 -rect 10968 7191 11020 7200 -rect 10968 7157 10977 7191 -rect 10977 7157 11011 7191 -rect 11011 7157 11020 7191 -rect 10968 7148 11020 7157 -rect 13728 7148 13780 7200 -rect 14004 7148 14056 7200 -rect 14372 7148 14424 7200 -rect 18512 7148 18564 7200 +rect 4252 7191 4304 7200 +rect 4252 7157 4261 7191 +rect 4261 7157 4295 7191 +rect 4295 7157 4304 7191 +rect 4252 7148 4304 7157 +rect 7380 7148 7432 7200 +rect 7564 7148 7616 7200 +rect 11428 7284 11480 7336 +rect 10784 7216 10836 7268 +rect 12164 7352 12216 7404 +rect 12256 7284 12308 7336 +rect 12900 7352 12952 7404 +rect 14188 7463 14240 7472 +rect 14188 7429 14197 7463 +rect 14197 7429 14231 7463 +rect 14231 7429 14240 7463 +rect 14188 7420 14240 7429 +rect 14004 7395 14056 7404 +rect 14372 7420 14424 7472 +rect 12808 7284 12860 7336 +rect 14004 7361 14032 7395 +rect 14032 7361 14056 7395 +rect 14004 7352 14056 7361 +rect 14464 7395 14516 7404 +rect 14464 7361 14473 7395 +rect 14473 7361 14507 7395 +rect 14507 7361 14516 7395 +rect 14464 7352 14516 7361 +rect 16948 7395 17000 7404 +rect 16948 7361 16957 7395 +rect 16957 7361 16991 7395 +rect 16991 7361 17000 7395 +rect 16948 7352 17000 7361 +rect 14096 7216 14148 7268 +rect 9036 7148 9088 7200 +rect 11428 7191 11480 7200 +rect 11428 7157 11437 7191 +rect 11437 7157 11471 7191 +rect 11471 7157 11480 7191 +rect 11428 7148 11480 7157 +rect 12164 7191 12216 7200 +rect 12164 7157 12173 7191 +rect 12173 7157 12207 7191 +rect 12207 7157 12216 7191 +rect 12164 7148 12216 7157 +rect 12256 7191 12308 7200 +rect 12256 7157 12265 7191 +rect 12265 7157 12299 7191 +rect 12299 7157 12308 7191 +rect 12256 7148 12308 7157 +rect 16304 7148 16356 7200 rect 3110 7046 3162 7098 rect 3174 7046 3226 7098 rect 3238 7046 3290 7098 @@ -10359,131 +11207,87 @@ rect 15574 7046 15626 7098 rect 15638 7046 15690 7098 rect 15702 7046 15754 7098 rect 15766 7046 15818 7098 -rect 1952 6944 2004 6996 -rect 6920 6987 6972 6996 -rect 6920 6953 6929 6987 -rect 6929 6953 6963 6987 -rect 6963 6953 6972 6987 -rect 6920 6944 6972 6953 -rect 7472 6944 7524 6996 -rect 10048 6944 10100 6996 -rect 10968 6944 11020 6996 -rect 15108 6944 15160 6996 -rect 15844 6944 15896 6996 -rect 16120 6987 16172 6996 -rect 16120 6953 16129 6987 -rect 16129 6953 16163 6987 -rect 16163 6953 16172 6987 -rect 16120 6944 16172 6953 -rect 2320 6876 2372 6928 -rect 4252 6876 4304 6928 -rect 4068 6808 4120 6860 -rect 5264 6876 5316 6928 -rect 5816 6876 5868 6928 -rect 2872 6783 2924 6792 -rect 2872 6749 2881 6783 -rect 2881 6749 2915 6783 -rect 2915 6749 2924 6783 -rect 2872 6740 2924 6749 -rect 2964 6740 3016 6792 -rect 5356 6808 5408 6860 -rect 572 6672 624 6724 -rect 2780 6715 2832 6724 -rect 2780 6681 2789 6715 -rect 2789 6681 2823 6715 -rect 2823 6681 2832 6715 -rect 4896 6740 4948 6792 -rect 5080 6783 5132 6792 -rect 5080 6749 5089 6783 -rect 5089 6749 5123 6783 -rect 5123 6749 5132 6783 -rect 5080 6740 5132 6749 -rect 5632 6783 5684 6792 -rect 5632 6749 5641 6783 -rect 5641 6749 5675 6783 -rect 5675 6749 5684 6783 -rect 5632 6740 5684 6749 -rect 5724 6783 5776 6792 -rect 5724 6749 5733 6783 -rect 5733 6749 5767 6783 -rect 5767 6749 5776 6783 -rect 8116 6876 8168 6928 -rect 9036 6876 9088 6928 -rect 7380 6808 7432 6860 -rect 7472 6808 7524 6860 -rect 8024 6808 8076 6860 -rect 5724 6740 5776 6749 -rect 2780 6672 2832 6681 -rect 2596 6604 2648 6656 -rect 7656 6740 7708 6792 -rect 8392 6808 8444 6860 -rect 10508 6808 10560 6860 -rect 10692 6808 10744 6860 -rect 10876 6808 10928 6860 -rect 10232 6783 10284 6792 -rect 10232 6749 10241 6783 -rect 10241 6749 10275 6783 -rect 10275 6749 10284 6783 -rect 10232 6740 10284 6749 -rect 10416 6740 10468 6792 -rect 11060 6740 11112 6792 -rect 11796 6783 11848 6792 -rect 11796 6749 11805 6783 -rect 11805 6749 11839 6783 -rect 11839 6749 11848 6783 -rect 11796 6740 11848 6749 -rect 8484 6672 8536 6724 -rect 9680 6672 9732 6724 -rect 10784 6672 10836 6724 +rect 3884 6944 3936 6996 +rect 6552 6944 6604 6996 +rect 7380 6944 7432 6996 +rect 8208 6944 8260 6996 +rect 10784 6944 10836 6996 +rect 12256 6944 12308 6996 +rect 14096 6987 14148 6996 +rect 14096 6953 14105 6987 +rect 14105 6953 14139 6987 +rect 14139 6953 14148 6987 +rect 14096 6944 14148 6953 +rect 4436 6876 4488 6928 +rect 2504 6808 2556 6860 +rect 3700 6808 3752 6860 +rect 3976 6808 4028 6860 +rect 2412 6783 2464 6792 +rect 572 6604 624 6656 +rect 2412 6749 2421 6783 +rect 2421 6749 2455 6783 +rect 2455 6749 2464 6783 +rect 2412 6740 2464 6749 +rect 2872 6740 2924 6792 +rect 4896 6808 4948 6860 +rect 5172 6876 5224 6928 +rect 7012 6876 7064 6928 +rect 4344 6672 4396 6724 +rect 4528 6672 4580 6724 +rect 5356 6740 5408 6792 +rect 6000 6740 6052 6792 +rect 7288 6808 7340 6860 +rect 10324 6740 10376 6792 +rect 11428 6740 11480 6792 +rect 12808 6808 12860 6860 +rect 4804 6672 4856 6724 +rect 5724 6672 5776 6724 +rect 2964 6604 3016 6656 +rect 7012 6672 7064 6724 +rect 8852 6715 8904 6724 +rect 8852 6681 8861 6715 +rect 8861 6681 8895 6715 +rect 8895 6681 8904 6715 +rect 8852 6672 8904 6681 +rect 10692 6672 10744 6724 +rect 8760 6604 8812 6656 rect 10968 6672 11020 6724 -rect 6828 6647 6880 6656 -rect 6828 6613 6837 6647 -rect 6837 6613 6871 6647 -rect 6871 6613 6880 6647 -rect 6828 6604 6880 6613 -rect 7196 6604 7248 6656 -rect 7472 6604 7524 6656 -rect 10416 6647 10468 6656 -rect 10416 6613 10425 6647 -rect 10425 6613 10459 6647 -rect 10459 6613 10468 6647 -rect 10416 6604 10468 6613 -rect 10600 6604 10652 6656 -rect 11244 6647 11296 6656 -rect 11244 6613 11253 6647 -rect 11253 6613 11287 6647 -rect 11287 6613 11296 6647 -rect 11244 6604 11296 6613 -rect 12348 6604 12400 6656 +rect 12900 6740 12952 6792 rect 13544 6740 13596 6792 -rect 14464 6808 14516 6860 -rect 14372 6783 14424 6792 -rect 14372 6749 14381 6783 -rect 14381 6749 14415 6783 -rect 14415 6749 14424 6783 -rect 14372 6740 14424 6749 -rect 18328 6808 18380 6860 -rect 17868 6783 17920 6792 -rect 17868 6749 17877 6783 -rect 17877 6749 17911 6783 -rect 17911 6749 17920 6783 -rect 17868 6740 17920 6749 +rect 14280 6876 14332 6928 +rect 14372 6808 14424 6860 +rect 16948 6808 17000 6860 +rect 17868 6851 17920 6860 +rect 17868 6817 17877 6851 +rect 17877 6817 17911 6851 +rect 17911 6817 17920 6851 +rect 17868 6808 17920 6817 +rect 14004 6672 14056 6724 rect 18512 6783 18564 6792 rect 18512 6749 18521 6783 rect 18521 6749 18555 6783 rect 18555 6749 18564 6783 rect 18512 6740 18564 6749 -rect 13728 6647 13780 6656 -rect 13728 6613 13737 6647 -rect 13737 6613 13771 6647 -rect 13771 6613 13780 6647 -rect 13728 6604 13780 6613 -rect 15844 6672 15896 6724 -rect 15200 6647 15252 6656 -rect 15200 6613 15209 6647 -rect 15209 6613 15243 6647 -rect 15243 6613 15252 6647 -rect 15200 6604 15252 6613 +rect 15844 6715 15896 6724 +rect 15844 6681 15853 6715 +rect 15853 6681 15887 6715 +rect 15887 6681 15896 6715 +rect 15844 6672 15896 6681 +rect 16304 6672 16356 6724 +rect 17500 6672 17552 6724 +rect 12808 6604 12860 6656 +rect 14556 6604 14608 6656 +rect 15108 6647 15160 6656 +rect 15108 6613 15117 6647 +rect 15117 6613 15151 6647 +rect 15151 6613 15160 6647 +rect 15108 6604 15160 6613 +rect 15936 6604 15988 6656 +rect 18328 6647 18380 6656 +rect 18328 6613 18337 6647 +rect 18337 6613 18371 6647 +rect 18371 6613 18380 6647 +rect 18328 6604 18380 6613 rect 4660 6502 4712 6554 rect 4724 6502 4776 6554 rect 4788 6502 4840 6554 @@ -10509,149 +11313,122 @@ rect 17124 6502 17176 6554 rect 17188 6502 17240 6554 rect 17252 6502 17304 6554 rect 17316 6502 17368 6554 -rect 1952 6400 2004 6452 -rect 2504 6400 2556 6452 -rect 2780 6400 2832 6452 -rect 2964 6400 3016 6452 -rect 3700 6443 3752 6452 -rect 3700 6409 3709 6443 -rect 3709 6409 3743 6443 -rect 3743 6409 3752 6443 -rect 3700 6400 3752 6409 -rect 5264 6443 5316 6452 -rect 5264 6409 5273 6443 -rect 5273 6409 5307 6443 -rect 5307 6409 5316 6443 -rect 5264 6400 5316 6409 -rect 5724 6400 5776 6452 -rect 5908 6443 5960 6452 -rect 5908 6409 5917 6443 -rect 5917 6409 5951 6443 -rect 5951 6409 5960 6443 -rect 5908 6400 5960 6409 -rect 6644 6443 6696 6452 -rect 6644 6409 6653 6443 -rect 6653 6409 6687 6443 -rect 6687 6409 6696 6443 -rect 6644 6400 6696 6409 -rect 7472 6400 7524 6452 -rect 2596 6307 2648 6316 -rect 2596 6273 2605 6307 -rect 2605 6273 2639 6307 -rect 2639 6273 2648 6307 -rect 2596 6264 2648 6273 -rect 3516 6332 3568 6384 +rect 2412 6400 2464 6452 +rect 2596 6443 2648 6452 +rect 2596 6409 2605 6443 +rect 2605 6409 2639 6443 +rect 2639 6409 2648 6443 +rect 2596 6400 2648 6409 +rect 4252 6400 4304 6452 +rect 8852 6400 8904 6452 +rect 13544 6400 13596 6452 +rect 15108 6400 15160 6452 +rect 572 6375 624 6384 +rect 572 6341 581 6375 +rect 581 6341 615 6375 +rect 615 6341 624 6375 +rect 572 6332 624 6341 +rect 2228 6332 2280 6384 +rect 4344 6332 4396 6384 +rect 2688 6307 2740 6316 rect 296 6239 348 6248 rect 296 6205 305 6239 rect 305 6205 339 6239 rect 339 6205 348 6239 rect 296 6196 348 6205 -rect 572 6239 624 6248 -rect 572 6205 581 6239 -rect 581 6205 615 6239 -rect 615 6205 624 6239 -rect 572 6196 624 6205 -rect 3424 6307 3476 6316 -rect 3424 6273 3433 6307 -rect 3433 6273 3467 6307 -rect 3467 6273 3476 6307 -rect 3424 6264 3476 6273 -rect 2964 6196 3016 6248 -rect 5632 6264 5684 6316 -rect 6000 6307 6052 6316 -rect 5172 6196 5224 6248 -rect 5448 6239 5500 6248 -rect 5448 6205 5457 6239 -rect 5457 6205 5491 6239 -rect 5491 6205 5500 6239 -rect 6000 6273 6009 6307 -rect 6009 6273 6043 6307 -rect 6043 6273 6052 6307 -rect 6000 6264 6052 6273 -rect 6552 6332 6604 6384 -rect 10232 6400 10284 6452 -rect 10600 6400 10652 6452 -rect 11888 6443 11940 6452 -rect 11888 6409 11897 6443 -rect 11897 6409 11931 6443 -rect 11931 6409 11940 6443 -rect 11888 6400 11940 6409 -rect 9772 6332 9824 6384 -rect 10416 6332 10468 6384 -rect 9036 6264 9088 6316 -rect 9680 6307 9732 6316 -rect 9680 6273 9689 6307 -rect 9689 6273 9723 6307 -rect 9723 6273 9732 6307 -rect 9680 6264 9732 6273 -rect 5448 6196 5500 6205 -rect 7380 6196 7432 6248 -rect 7656 6196 7708 6248 -rect 10600 6264 10652 6316 -rect 10692 6264 10744 6316 -rect 13820 6400 13872 6452 -rect 14372 6400 14424 6452 -rect 15200 6400 15252 6452 -rect 18052 6443 18104 6452 -rect 12348 6375 12400 6384 -rect 12348 6341 12357 6375 -rect 12357 6341 12391 6375 -rect 12391 6341 12400 6375 -rect 12348 6332 12400 6341 -rect 13728 6332 13780 6384 -rect 10140 6239 10192 6248 -rect 10140 6205 10149 6239 -rect 10149 6205 10183 6239 -rect 10183 6205 10192 6239 -rect 10140 6196 10192 6205 -rect 7104 6171 7156 6180 -rect 7104 6137 7113 6171 -rect 7113 6137 7147 6171 -rect 7147 6137 7156 6171 -rect 7104 6128 7156 6137 -rect 8116 6128 8168 6180 -rect 9864 6171 9916 6180 -rect 2872 6060 2924 6112 -rect 9128 6060 9180 6112 -rect 9864 6137 9873 6171 -rect 9873 6137 9907 6171 -rect 9907 6137 9916 6171 -rect 9864 6128 9916 6137 -rect 10048 6128 10100 6180 -rect 11888 6196 11940 6248 -rect 18052 6409 18061 6443 -rect 18061 6409 18095 6443 -rect 18095 6409 18104 6443 -rect 18052 6400 18104 6409 -rect 13544 6128 13596 6180 +rect 2688 6273 2697 6307 +rect 2697 6273 2731 6307 +rect 2731 6273 2740 6307 +rect 2688 6264 2740 6273 +rect 2780 6264 2832 6316 +rect 4068 6264 4120 6316 +rect 2872 6196 2924 6248 +rect 3700 6239 3752 6248 +rect 3700 6205 3709 6239 +rect 3709 6205 3743 6239 +rect 3743 6205 3752 6239 +rect 3700 6196 3752 6205 +rect 4528 6196 4580 6248 +rect 2504 6128 2556 6180 +rect 5448 6128 5500 6180 +rect 5632 6128 5684 6180 +rect 6000 6264 6052 6316 +rect 7656 6307 7708 6316 +rect 7656 6273 7665 6307 +rect 7665 6273 7699 6307 +rect 7699 6273 7708 6307 +rect 7656 6264 7708 6273 +rect 12164 6332 12216 6384 +rect 16212 6400 16264 6452 +rect 16948 6443 17000 6452 +rect 16948 6409 16957 6443 +rect 16957 6409 16991 6443 +rect 16991 6409 17000 6443 +rect 16948 6400 17000 6409 +rect 8024 6307 8076 6316 +rect 8024 6273 8033 6307 +rect 8033 6273 8067 6307 +rect 8067 6273 8076 6307 +rect 8024 6264 8076 6273 +rect 13820 6307 13872 6316 +rect 6552 6239 6604 6248 +rect 6552 6205 6561 6239 +rect 6561 6205 6595 6239 +rect 6595 6205 6604 6239 +rect 6552 6196 6604 6205 +rect 7564 6196 7616 6248 +rect 8392 6196 8444 6248 +rect 8944 6128 8996 6180 +rect 13820 6273 13829 6307 +rect 13829 6273 13863 6307 +rect 13863 6273 13872 6307 +rect 13820 6264 13872 6273 +rect 14648 6264 14700 6316 +rect 16304 6264 16356 6316 +rect 16488 6264 16540 6316 +rect 12808 6239 12860 6248 +rect 12808 6205 12817 6239 +rect 12817 6205 12851 6239 +rect 12851 6205 12860 6239 +rect 12808 6196 12860 6205 rect 14372 6196 14424 6248 -rect 17316 6239 17368 6248 -rect 17316 6205 17325 6239 -rect 17325 6205 17359 6239 -rect 17359 6205 17368 6239 -rect 17316 6196 17368 6205 -rect 17500 6239 17552 6248 -rect 17500 6205 17509 6239 -rect 17509 6205 17543 6239 -rect 17543 6205 17552 6239 -rect 17500 6196 17552 6205 -rect 18144 6239 18196 6248 -rect 18144 6205 18153 6239 -rect 18153 6205 18187 6239 -rect 18187 6205 18196 6239 -rect 18144 6196 18196 6205 -rect 18328 6239 18380 6248 -rect 18328 6205 18337 6239 -rect 18337 6205 18371 6239 -rect 18371 6205 18380 6239 -rect 18328 6196 18380 6205 -rect 10416 6060 10468 6112 -rect 14096 6103 14148 6112 -rect 14096 6069 14105 6103 -rect 14105 6069 14139 6103 -rect 14139 6069 14148 6103 -rect 14096 6060 14148 6069 -rect 16396 6060 16448 6112 +rect 14464 6196 14516 6248 +rect 16580 6196 16632 6248 +rect 17408 6196 17460 6248 +rect 16212 6128 16264 6180 +rect 2228 6103 2280 6112 +rect 2228 6069 2237 6103 +rect 2237 6069 2271 6103 +rect 2271 6069 2280 6103 +rect 2228 6060 2280 6069 +rect 2964 6060 3016 6112 +rect 4436 6060 4488 6112 +rect 5540 6060 5592 6112 +rect 8300 6103 8352 6112 +rect 8300 6069 8309 6103 +rect 8309 6069 8343 6103 +rect 8343 6069 8352 6103 +rect 8300 6060 8352 6069 +rect 8576 6060 8628 6112 +rect 8668 6103 8720 6112 +rect 8668 6069 8677 6103 +rect 8677 6069 8711 6103 +rect 8711 6069 8720 6103 +rect 9036 6103 9088 6112 +rect 8668 6060 8720 6069 +rect 9036 6069 9045 6103 +rect 9045 6069 9079 6103 +rect 9079 6069 9088 6103 +rect 9036 6060 9088 6069 +rect 10692 6060 10744 6112 +rect 11704 6103 11756 6112 +rect 11704 6069 11713 6103 +rect 11713 6069 11747 6103 +rect 11747 6069 11756 6103 +rect 11704 6060 11756 6069 +rect 14280 6060 14332 6112 +rect 14740 6060 14792 6112 +rect 15844 6060 15896 6112 rect 3110 5958 3162 6010 rect 3174 5958 3226 6010 rect 3238 5958 3290 6010 @@ -10677,93 +11454,86 @@ rect 15574 5958 15626 6010 rect 15638 5958 15690 6010 rect 15702 5958 15754 6010 rect 15766 5958 15818 6010 -rect 572 5856 624 5908 -rect 5540 5856 5592 5908 -rect 6000 5856 6052 5908 -rect 3424 5831 3476 5840 -rect 3424 5797 3433 5831 -rect 3433 5797 3467 5831 -rect 3467 5797 3476 5831 -rect 3424 5788 3476 5797 +rect 2872 5856 2924 5908 +rect 4436 5856 4488 5908 +rect 6552 5899 6604 5908 rect 296 5720 348 5772 -rect 3700 5763 3752 5772 -rect 3700 5729 3709 5763 -rect 3709 5729 3743 5763 -rect 3743 5729 3752 5763 -rect 3700 5720 3752 5729 -rect 6092 5763 6144 5772 -rect 6092 5729 6101 5763 -rect 6101 5729 6135 5763 -rect 6135 5729 6144 5763 -rect 6092 5720 6144 5729 -rect 6828 5720 6880 5772 -rect 7656 5856 7708 5908 -rect 8852 5856 8904 5908 -rect 9680 5856 9732 5908 -rect 2780 5652 2832 5704 -rect 2964 5652 3016 5704 -rect 3976 5695 4028 5704 -rect 3976 5661 3985 5695 -rect 3985 5661 4019 5695 -rect 4019 5661 4028 5695 -rect 3976 5652 4028 5661 -rect 5448 5652 5500 5704 -rect 10048 5720 10100 5772 -rect 10416 5763 10468 5772 -rect 10416 5729 10425 5763 -rect 10425 5729 10459 5763 -rect 10459 5729 10468 5763 -rect 10416 5720 10468 5729 -rect 10692 5763 10744 5772 -rect 10692 5729 10701 5763 -rect 10701 5729 10735 5763 -rect 10735 5729 10744 5763 -rect 10692 5720 10744 5729 -rect 11244 5856 11296 5908 -rect 15844 5899 15896 5908 -rect 15844 5865 15853 5899 -rect 15853 5865 15887 5899 -rect 15887 5865 15896 5899 -rect 15844 5856 15896 5865 -rect 17316 5856 17368 5908 -rect 11244 5720 11296 5772 -rect 14096 5720 14148 5772 -rect 14740 5763 14792 5772 -rect 14740 5729 14749 5763 -rect 14749 5729 14783 5763 -rect 14783 5729 14792 5763 -rect 14740 5720 14792 5729 -rect 16396 5763 16448 5772 -rect 16396 5729 16405 5763 -rect 16405 5729 16439 5763 -rect 16439 5729 16448 5763 -rect 16396 5720 16448 5729 -rect 14372 5695 14424 5704 -rect 14372 5661 14381 5695 -rect 14381 5661 14415 5695 -rect 14415 5661 14424 5695 -rect 14372 5652 14424 5661 -rect 572 5627 624 5636 -rect 572 5593 581 5627 -rect 581 5593 615 5627 -rect 615 5593 624 5627 -rect 572 5584 624 5593 -rect 7012 5584 7064 5636 -rect 11888 5584 11940 5636 -rect 13820 5584 13872 5636 -rect 15016 5584 15068 5636 -rect 16304 5652 16356 5704 -rect 18144 5856 18196 5908 -rect 2872 5516 2924 5568 -rect 3700 5559 3752 5568 -rect 3700 5525 3709 5559 -rect 3709 5525 3743 5559 -rect 3743 5525 3752 5559 -rect 3700 5516 3752 5525 -rect 8116 5516 8168 5568 -rect 9772 5516 9824 5568 -rect 15384 5516 15436 5568 -rect 15844 5516 15896 5568 +rect 6092 5720 6144 5772 +rect 6552 5865 6561 5899 +rect 6561 5865 6595 5899 +rect 6595 5865 6604 5899 +rect 6552 5856 6604 5865 +rect 8300 5899 8352 5908 +rect 8300 5865 8309 5899 +rect 8309 5865 8343 5899 +rect 8343 5865 8352 5899 +rect 8300 5856 8352 5865 +rect 6276 5788 6328 5840 +rect 1216 5584 1268 5636 +rect 5724 5652 5776 5704 +rect 4436 5627 4488 5636 +rect 2228 5516 2280 5568 +rect 4436 5593 4445 5627 +rect 4445 5593 4479 5627 +rect 4479 5593 4488 5627 +rect 4436 5584 4488 5593 +rect 8024 5652 8076 5704 +rect 8668 5652 8720 5704 +rect 15384 5856 15436 5908 +rect 16948 5856 17000 5908 +rect 11428 5720 11480 5772 +rect 14464 5788 14516 5840 +rect 14280 5763 14332 5772 +rect 14280 5729 14289 5763 +rect 14289 5729 14323 5763 +rect 14323 5729 14332 5763 +rect 14280 5720 14332 5729 +rect 16488 5788 16540 5840 +rect 15844 5720 15896 5772 +rect 17500 5720 17552 5772 +rect 18328 5720 18380 5772 +rect 14556 5695 14608 5704 +rect 3516 5516 3568 5568 +rect 8208 5584 8260 5636 +rect 11704 5584 11756 5636 +rect 5908 5559 5960 5568 +rect 5908 5525 5917 5559 +rect 5917 5525 5951 5559 +rect 5951 5525 5960 5559 +rect 5908 5516 5960 5525 +rect 9312 5516 9364 5568 +rect 10692 5516 10744 5568 +rect 12348 5516 12400 5568 +rect 12808 5516 12860 5568 +rect 14556 5661 14565 5695 +rect 14565 5661 14599 5695 +rect 14599 5661 14608 5695 +rect 14556 5652 14608 5661 +rect 14648 5584 14700 5636 +rect 13820 5559 13872 5568 +rect 13820 5525 13829 5559 +rect 13829 5525 13863 5559 +rect 13863 5525 13872 5559 +rect 13820 5516 13872 5525 +rect 14372 5516 14424 5568 +rect 16212 5652 16264 5704 +rect 17868 5695 17920 5704 +rect 17868 5661 17877 5695 +rect 17877 5661 17911 5695 +rect 17911 5661 17920 5695 +rect 17868 5652 17920 5661 +rect 18512 5695 18564 5704 +rect 18512 5661 18521 5695 +rect 18521 5661 18555 5695 +rect 18555 5661 18564 5695 +rect 18512 5652 18564 5661 +rect 16120 5584 16172 5636 +rect 15016 5559 15068 5568 +rect 15016 5525 15025 5559 +rect 15025 5525 15059 5559 +rect 15059 5525 15068 5559 +rect 15016 5516 15068 5525 +rect 18052 5516 18104 5568 rect 4660 5414 4712 5466 rect 4724 5414 4776 5466 rect 4788 5414 4840 5466 @@ -10789,76 +11559,96 @@ rect 17124 5414 17176 5466 rect 17188 5414 17240 5466 rect 17252 5414 17304 5466 rect 17316 5414 17368 5466 -rect 2504 5355 2556 5364 -rect 2504 5321 2513 5355 -rect 2513 5321 2547 5355 -rect 2547 5321 2556 5355 -rect 2504 5312 2556 5321 -rect 3976 5312 4028 5364 -rect 9772 5355 9824 5364 -rect 9772 5321 9781 5355 -rect 9781 5321 9815 5355 -rect 9815 5321 9824 5355 -rect 9772 5312 9824 5321 -rect 9956 5312 10008 5364 -rect 11336 5312 11388 5364 -rect 11612 5312 11664 5364 -rect 7012 5244 7064 5296 -rect 8116 5244 8168 5296 -rect 9128 5244 9180 5296 -rect 11244 5244 11296 5296 -rect 11888 5287 11940 5296 -rect 11888 5253 11897 5287 -rect 11897 5253 11931 5287 -rect 11931 5253 11940 5287 -rect 18144 5312 18196 5364 -rect 11888 5244 11940 5253 -rect 14280 5244 14332 5296 -rect 15292 5244 15344 5296 -rect 15660 5244 15712 5296 -rect 15844 5244 15896 5296 -rect 572 5176 624 5228 -rect 2596 5176 2648 5228 -rect 6000 5176 6052 5228 -rect 7564 5219 7616 5228 -rect 7564 5185 7573 5219 -rect 7573 5185 7607 5219 -rect 7607 5185 7616 5219 -rect 7564 5176 7616 5185 -rect 10600 5219 10652 5228 -rect 10600 5185 10609 5219 -rect 10609 5185 10643 5219 -rect 10643 5185 10652 5219 -rect 10600 5176 10652 5185 -rect 14924 5219 14976 5228 -rect 3700 5108 3752 5160 -rect 8484 5108 8536 5160 -rect 10048 5108 10100 5160 -rect 10508 5108 10560 5160 -rect 14924 5185 14933 5219 -rect 14933 5185 14967 5219 -rect 14967 5185 14976 5219 -rect 14924 5176 14976 5185 -rect 18512 5219 18564 5228 -rect 18512 5185 18521 5219 -rect 18521 5185 18555 5219 -rect 18555 5185 18564 5219 -rect 18512 5176 18564 5185 -rect 13820 5151 13872 5160 -rect 13820 5117 13829 5151 -rect 13829 5117 13863 5151 -rect 13863 5117 13872 5151 -rect 13820 5108 13872 5117 -rect 2504 4972 2556 5024 -rect 4436 4972 4488 5024 -rect 5448 4972 5500 5024 -rect 7012 4972 7064 5024 -rect 9036 4972 9088 5024 -rect 16672 5015 16724 5024 -rect 16672 4981 16681 5015 -rect 16681 4981 16715 5015 -rect 16715 4981 16724 5015 -rect 16672 4972 16724 4981 +rect 1216 5355 1268 5364 +rect 1216 5321 1225 5355 +rect 1225 5321 1259 5355 +rect 1259 5321 1268 5355 +rect 1216 5312 1268 5321 +rect 2780 5312 2832 5364 +rect 2964 5355 3016 5364 +rect 2964 5321 2973 5355 +rect 2973 5321 3007 5355 +rect 3007 5321 3016 5355 +rect 2964 5312 3016 5321 +rect 4436 5312 4488 5364 +rect 2504 5244 2556 5296 +rect 2872 5219 2924 5228 +rect 2872 5185 2881 5219 +rect 2881 5185 2915 5219 +rect 2915 5185 2924 5219 +rect 2872 5176 2924 5185 +rect 2688 5108 2740 5160 +rect 3608 5176 3660 5228 +rect 5540 5312 5592 5364 +rect 6092 5312 6144 5364 +rect 7472 5312 7524 5364 +rect 8484 5312 8536 5364 +rect 9220 5312 9272 5364 +rect 11336 5355 11388 5364 +rect 11336 5321 11345 5355 +rect 11345 5321 11379 5355 +rect 11379 5321 11388 5355 +rect 11336 5312 11388 5321 +rect 11520 5312 11572 5364 +rect 12532 5312 12584 5364 +rect 6000 5244 6052 5296 +rect 9312 5244 9364 5296 +rect 13452 5312 13504 5364 +rect 13268 5244 13320 5296 +rect 5908 5219 5960 5228 +rect 3884 5108 3936 5160 +rect 5908 5185 5917 5219 +rect 5917 5185 5951 5219 +rect 5951 5185 5960 5219 +rect 5908 5176 5960 5185 +rect 8852 5176 8904 5228 +rect 9036 5176 9088 5228 +rect 5448 5151 5500 5160 +rect 5448 5117 5457 5151 +rect 5457 5117 5491 5151 +rect 5491 5117 5500 5151 +rect 5448 5108 5500 5117 +rect 8208 5108 8260 5160 +rect 8576 5108 8628 5160 +rect 9220 5040 9272 5092 +rect 10784 5219 10836 5228 +rect 10784 5185 10802 5219 +rect 10802 5185 10836 5219 +rect 10784 5176 10836 5185 +rect 11428 5176 11480 5228 +rect 11704 5219 11756 5228 +rect 11704 5185 11713 5219 +rect 11713 5185 11747 5219 +rect 11747 5185 11756 5219 +rect 11704 5176 11756 5185 +rect 14464 5219 14516 5228 +rect 14464 5185 14473 5219 +rect 14473 5185 14507 5219 +rect 14507 5185 14516 5219 +rect 14464 5176 14516 5185 +rect 17960 5312 18012 5364 +rect 16120 5244 16172 5296 +rect 16212 5244 16264 5296 +rect 15016 5108 15068 5160 +rect 15384 5108 15436 5160 +rect 17408 5176 17460 5228 +rect 16948 5108 17000 5160 +rect 4068 4972 4120 5024 +rect 8392 4972 8444 5024 +rect 11520 5015 11572 5024 +rect 11520 4981 11529 5015 +rect 11529 4981 11563 5015 +rect 11563 4981 11572 5015 +rect 11520 4972 11572 4981 +rect 11796 5015 11848 5024 +rect 11796 4981 11805 5015 +rect 11805 4981 11839 5015 +rect 11839 4981 11848 5015 +rect 11796 4972 11848 4981 +rect 14372 4972 14424 5024 +rect 15200 4972 15252 5024 +rect 15292 4972 15344 5024 +rect 16580 5040 16632 5092 rect 3110 4870 3162 4922 rect 3174 4870 3226 4922 rect 3238 4870 3290 4922 @@ -10884,88 +11674,97 @@ rect 15574 4870 15626 4922 rect 15638 4870 15690 4922 rect 15702 4870 15754 4922 rect 15766 4870 15818 4922 -rect 14372 4768 14424 4820 -rect 14556 4768 14608 4820 -rect 2964 4675 3016 4684 -rect 2964 4641 2973 4675 -rect 2973 4641 3007 4675 -rect 3007 4641 3016 4675 -rect 2964 4632 3016 4641 -rect 11244 4700 11296 4752 -rect 15292 4700 15344 4752 -rect 6000 4632 6052 4684 -rect 8300 4632 8352 4684 -rect 8944 4675 8996 4684 -rect 8944 4641 8953 4675 -rect 8953 4641 8987 4675 -rect 8987 4641 8996 4675 -rect 8944 4632 8996 4641 -rect 10048 4632 10100 4684 +rect 5540 4768 5592 4820 +rect 8208 4811 8260 4820 +rect 3976 4632 4028 4684 +rect 1124 4564 1176 4616 +rect 1308 4607 1360 4616 +rect 1308 4573 1317 4607 +rect 1317 4573 1351 4607 +rect 1351 4573 1360 4607 +rect 1308 4564 1360 4573 +rect 4068 4607 4120 4616 +rect 4068 4573 4077 4607 +rect 4077 4573 4111 4607 +rect 4111 4573 4120 4607 +rect 4068 4564 4120 4573 +rect 5816 4700 5868 4752 +rect 5632 4675 5684 4684 +rect 5632 4641 5641 4675 +rect 5641 4641 5675 4675 +rect 5675 4641 5684 4675 +rect 5632 4632 5684 4641 +rect 6092 4675 6144 4684 +rect 6092 4641 6101 4675 +rect 6101 4641 6135 4675 +rect 6135 4641 6144 4675 +rect 6092 4632 6144 4641 +rect 8208 4777 8217 4811 +rect 8217 4777 8251 4811 +rect 8251 4777 8260 4811 +rect 8208 4768 8260 4777 +rect 8852 4768 8904 4820 +rect 9128 4632 9180 4684 +rect 9220 4675 9272 4684 +rect 9220 4641 9229 4675 +rect 9229 4641 9263 4675 +rect 9263 4641 9272 4675 +rect 9220 4632 9272 4641 +rect 1860 4496 1912 4548 +rect 3516 4496 3568 4548 +rect 4988 4496 5040 4548 +rect 8208 4607 8260 4616 +rect 5448 4496 5500 4548 +rect 3056 4471 3108 4480 +rect 3056 4437 3065 4471 +rect 3065 4437 3099 4471 +rect 3099 4437 3108 4471 +rect 3056 4428 3108 4437 +rect 3700 4471 3752 4480 +rect 3700 4437 3709 4471 +rect 3709 4437 3743 4471 +rect 3743 4437 3752 4471 +rect 3700 4428 3752 4437 +rect 8208 4573 8217 4607 +rect 8217 4573 8251 4607 +rect 8251 4573 8260 4607 +rect 8208 4564 8260 4573 rect 11336 4675 11388 4684 rect 11336 4641 11345 4675 rect 11345 4641 11379 4675 rect 11379 4641 11388 4675 rect 11336 4632 11388 4641 -rect 11428 4675 11480 4684 -rect 11428 4641 11437 4675 -rect 11437 4641 11471 4675 -rect 11471 4641 11480 4675 -rect 14740 4675 14792 4684 -rect 11428 4632 11480 4641 -rect 14740 4641 14749 4675 -rect 14749 4641 14783 4675 -rect 14783 4641 14792 4675 -rect 14740 4632 14792 4641 -rect 15016 4675 15068 4684 -rect 15016 4641 15025 4675 -rect 15025 4641 15059 4675 -rect 15059 4641 15068 4675 -rect 15016 4632 15068 4641 -rect 6184 4564 6236 4616 -rect 6552 4564 6604 4616 -rect 7012 4607 7064 4616 -rect 7012 4573 7021 4607 -rect 7021 4573 7055 4607 -rect 7055 4573 7064 4607 -rect 7012 4564 7064 4573 -rect 9036 4607 9088 4616 -rect 9036 4573 9045 4607 -rect 9045 4573 9079 4607 -rect 9079 4573 9088 4607 -rect 9036 4564 9088 4573 -rect 12256 4564 12308 4616 -rect 4436 4496 4488 4548 -rect 11336 4496 11388 4548 -rect 14280 4496 14332 4548 -rect 14648 4496 14700 4548 -rect 15384 4539 15436 4548 -rect 6092 4471 6144 4480 -rect 6092 4437 6101 4471 -rect 6101 4437 6135 4471 -rect 6135 4437 6144 4471 -rect 6092 4428 6144 4437 -rect 6736 4471 6788 4480 -rect 6736 4437 6745 4471 -rect 6745 4437 6779 4471 -rect 6779 4437 6788 4471 -rect 6736 4428 6788 4437 -rect 7656 4428 7708 4480 -rect 13176 4428 13228 4480 -rect 13728 4428 13780 4480 -rect 14464 4428 14516 4480 -rect 15384 4505 15393 4539 -rect 15393 4505 15427 4539 -rect 15427 4505 15436 4539 -rect 15384 4496 15436 4505 -rect 16672 4632 16724 4684 -rect 15844 4607 15896 4616 -rect 15844 4573 15853 4607 -rect 15853 4573 15887 4607 -rect 15887 4573 15896 4607 -rect 15844 4564 15896 4573 -rect 15752 4496 15804 4548 -rect 16580 4496 16632 4548 -rect 15936 4428 15988 4480 +rect 11520 4675 11572 4684 +rect 11520 4641 11529 4675 +rect 11529 4641 11563 4675 +rect 11563 4641 11572 4675 +rect 11520 4632 11572 4641 +rect 13084 4632 13136 4684 +rect 16580 4632 16632 4684 +rect 17500 4675 17552 4684 +rect 17500 4641 17509 4675 +rect 17509 4641 17543 4675 +rect 17543 4641 17552 4675 +rect 17500 4632 17552 4641 +rect 13268 4564 13320 4616 +rect 15016 4564 15068 4616 +rect 15844 4564 15896 4616 +rect 7012 4496 7064 4548 +rect 8944 4496 8996 4548 +rect 7380 4428 7432 4480 +rect 9128 4428 9180 4480 +rect 13728 4539 13780 4548 +rect 10784 4428 10836 4480 +rect 13728 4505 13737 4539 +rect 13737 4505 13771 4539 +rect 13771 4505 13780 4539 +rect 13728 4496 13780 4505 +rect 12440 4428 12492 4480 +rect 13452 4428 13504 4480 +rect 15384 4496 15436 4548 +rect 15200 4428 15252 4480 +rect 16120 4428 16172 4480 +rect 17776 4428 17828 4480 rect 4660 4326 4712 4378 rect 4724 4326 4776 4378 rect 4788 4326 4840 4378 @@ -10991,121 +11790,99 @@ rect 17124 4326 17176 4378 rect 17188 4326 17240 4378 rect 17252 4326 17304 4378 rect 17316 4326 17368 4378 -rect 2504 4224 2556 4276 -rect 2964 4267 3016 4276 -rect 2964 4233 2973 4267 -rect 2973 4233 3007 4267 -rect 3007 4233 3016 4267 -rect 2964 4224 3016 4233 -rect 2596 4131 2648 4140 -rect 2596 4097 2605 4131 -rect 2605 4097 2639 4131 -rect 2639 4097 2648 4131 -rect 2596 4088 2648 4097 -rect 296 4063 348 4072 -rect 296 4029 305 4063 -rect 305 4029 339 4063 -rect 339 4029 348 4063 -rect 296 4020 348 4029 -rect 848 4020 900 4072 -rect 2780 4131 2832 4140 -rect 2780 4097 2789 4131 -rect 2789 4097 2823 4131 -rect 2823 4097 2832 4131 -rect 2780 4088 2832 4097 -rect 2688 3952 2740 4004 -rect 3608 4063 3660 4072 -rect 3608 4029 3617 4063 -rect 3617 4029 3651 4063 -rect 3651 4029 3660 4063 -rect 3608 4020 3660 4029 -rect 2320 3884 2372 3936 -rect 4344 3884 4396 3936 -rect 5540 4224 5592 4276 -rect 6000 4224 6052 4276 -rect 7656 4267 7708 4276 -rect 7656 4233 7665 4267 -rect 7665 4233 7699 4267 -rect 7699 4233 7708 4267 -rect 7656 4224 7708 4233 -rect 8944 4224 8996 4276 -rect 10048 4267 10100 4276 -rect 10048 4233 10057 4267 -rect 10057 4233 10091 4267 -rect 10091 4233 10100 4267 -rect 10048 4224 10100 4233 -rect 11428 4224 11480 4276 -rect 12164 4224 12216 4276 +rect 3700 4224 3752 4276 +rect 5632 4267 5684 4276 +rect 5632 4233 5641 4267 +rect 5641 4233 5675 4267 +rect 5675 4233 5684 4267 +rect 5632 4224 5684 4233 +rect 7012 4224 7064 4276 +rect 8208 4224 8260 4276 rect 13728 4224 13780 4276 -rect 14648 4224 14700 4276 -rect 14924 4224 14976 4276 -rect 15844 4224 15896 4276 -rect 5632 4156 5684 4208 -rect 7012 4088 7064 4140 +rect 17960 4267 18012 4276 +rect 17960 4233 17969 4267 +rect 17969 4233 18003 4267 +rect 18003 4233 18012 4267 +rect 17960 4224 18012 4233 +rect 1860 4156 1912 4208 +rect 3056 4199 3108 4208 +rect 3056 4165 3065 4199 +rect 3065 4165 3099 4199 +rect 3099 4165 3108 4199 +rect 3056 4156 3108 4165 +rect 3608 4156 3660 4208 +rect 4988 4156 5040 4208 +rect 848 4063 900 4072 +rect 848 4029 857 4063 +rect 857 4029 891 4063 +rect 891 4029 900 4063 +rect 848 4020 900 4029 +rect 3792 4131 3844 4140 +rect 1308 4020 1360 4072 +rect 3792 4097 3801 4131 +rect 3801 4097 3835 4131 +rect 3835 4097 3844 4131 +rect 3792 4088 3844 4097 +rect 3884 4131 3936 4140 +rect 3884 4097 3893 4131 +rect 3893 4097 3927 4131 +rect 3927 4097 3936 4131 +rect 3884 4088 3936 4097 +rect 5540 4088 5592 4140 +rect 7380 4088 7432 4140 rect 8208 4088 8260 4140 -rect 6092 4020 6144 4072 -rect 8300 4020 8352 4072 -rect 8760 4088 8812 4140 -rect 9220 4063 9272 4072 -rect 9220 4029 9229 4063 -rect 9229 4029 9263 4063 -rect 9263 4029 9272 4063 -rect 9220 4020 9272 4029 -rect 9864 4020 9916 4072 -rect 10232 4063 10284 4072 -rect 10232 4029 10241 4063 -rect 10241 4029 10275 4063 -rect 10275 4029 10284 4063 -rect 10232 4020 10284 4029 -rect 11336 4131 11388 4140 -rect 11336 4097 11345 4131 -rect 11345 4097 11379 4131 -rect 11379 4097 11388 4131 -rect 11336 4088 11388 4097 -rect 13176 4131 13228 4140 -rect 13176 4097 13185 4131 -rect 13185 4097 13219 4131 -rect 13219 4097 13228 4131 -rect 13176 4088 13228 4097 -rect 14556 4156 14608 4208 -rect 15752 4156 15804 4208 -rect 6000 3884 6052 3936 -rect 7288 3927 7340 3936 -rect 7288 3893 7297 3927 -rect 7297 3893 7331 3927 -rect 7331 3893 7340 3927 -rect 7288 3884 7340 3893 -rect 11336 3952 11388 4004 -rect 12992 4020 13044 4072 -rect 13728 4088 13780 4140 -rect 15108 4088 15160 4140 -rect 18512 4131 18564 4140 -rect 18512 4097 18521 4131 -rect 18521 4097 18555 4131 -rect 18555 4097 18564 4131 -rect 18512 4088 18564 4097 -rect 13452 4020 13504 4072 -rect 14372 4020 14424 4072 -rect 14832 4063 14884 4072 -rect 14832 4029 14841 4063 -rect 14841 4029 14875 4063 -rect 14875 4029 14884 4063 -rect 14832 4020 14884 4029 -rect 14556 3952 14608 4004 -rect 8668 3884 8720 3936 -rect 8760 3927 8812 3936 -rect 8760 3893 8769 3927 -rect 8769 3893 8803 3927 -rect 8803 3893 8812 3927 -rect 8760 3884 8812 3893 -rect 9128 3884 9180 3936 -rect 12808 3884 12860 3936 -rect 14096 3927 14148 3936 -rect 14096 3893 14105 3927 -rect 14105 3893 14139 3927 -rect 14139 3893 14148 3927 -rect 14096 3884 14148 3893 -rect 16120 3884 16172 3936 +rect 11796 4156 11848 4208 +rect 12256 4156 12308 4208 +rect 13452 4156 13504 4208 +rect 13820 4156 13872 4208 +rect 8852 4131 8904 4140 +rect 8852 4097 8861 4131 +rect 8861 4097 8895 4131 +rect 8895 4097 8904 4131 +rect 8852 4088 8904 4097 +rect 10784 4088 10836 4140 +rect 11244 4131 11296 4140 +rect 11244 4097 11253 4131 +rect 11253 4097 11287 4131 +rect 11287 4097 11296 4131 +rect 11244 4088 11296 4097 +rect 12440 4088 12492 4140 +rect 16120 4156 16172 4208 +rect 1124 3884 1176 3936 +rect 4896 4020 4948 4072 +rect 5356 4020 5408 4072 +rect 8944 4063 8996 4072 +rect 8944 4029 8953 4063 +rect 8953 4029 8987 4063 +rect 8987 4029 8996 4063 +rect 8944 4020 8996 4029 +rect 11336 4063 11388 4072 +rect 11336 4029 11345 4063 +rect 11345 4029 11379 4063 +rect 11379 4029 11388 4063 +rect 11336 4020 11388 4029 +rect 13176 4020 13228 4072 +rect 13820 4020 13872 4072 +rect 14556 4088 14608 4140 +rect 15292 4088 15344 4140 +rect 2688 3884 2740 3936 +rect 3884 3884 3936 3936 +rect 4068 3884 4120 3936 +rect 6828 3884 6880 3936 +rect 11704 3884 11756 3936 +rect 12900 3952 12952 4004 +rect 15016 4020 15068 4072 +rect 15200 4063 15252 4072 +rect 15200 4029 15209 4063 +rect 15209 4029 15243 4063 +rect 15243 4029 15252 4063 +rect 15200 4020 15252 4029 +rect 15936 4020 15988 4072 +rect 16580 4088 16632 4140 +rect 18328 4088 18380 4140 +rect 16396 4020 16448 4072 +rect 14740 3884 14792 3936 +rect 18052 3952 18104 4004 rect 3110 3782 3162 3834 rect 3174 3782 3226 3834 rect 3238 3782 3290 3834 @@ -11131,140 +11908,105 @@ rect 15574 3782 15626 3834 rect 15638 3782 15690 3834 rect 15702 3782 15754 3834 rect 15766 3782 15818 3834 -rect 848 3723 900 3732 -rect 848 3689 857 3723 -rect 857 3689 891 3723 -rect 891 3689 900 3723 -rect 848 3680 900 3689 -rect 6552 3680 6604 3732 -rect 6736 3680 6788 3732 -rect 8484 3723 8536 3732 -rect 8484 3689 8493 3723 -rect 8493 3689 8527 3723 -rect 8527 3689 8536 3723 -rect 8484 3680 8536 3689 -rect 8576 3680 8628 3732 -rect 13084 3680 13136 3732 -rect 13544 3680 13596 3732 -rect 2596 3612 2648 3664 -rect 6828 3612 6880 3664 +rect 848 3680 900 3732 +rect 3792 3680 3844 3732 +rect 11152 3680 11204 3732 +rect 11244 3680 11296 3732 +rect 12256 3680 12308 3732 +rect 4528 3612 4580 3664 +rect 2872 3544 2924 3596 +rect 2688 3476 2740 3528 +rect 3976 3544 4028 3596 rect 8208 3612 8260 3664 -rect 11244 3655 11296 3664 -rect 2228 3587 2280 3596 -rect 2228 3553 2237 3587 -rect 2237 3553 2271 3587 -rect 2271 3553 2280 3587 -rect 2228 3544 2280 3553 -rect 2320 3476 2372 3528 -rect 2596 3476 2648 3528 -rect 2780 3476 2832 3528 -rect 6000 3544 6052 3596 -rect 8760 3587 8812 3596 -rect 8760 3553 8769 3587 -rect 8769 3553 8803 3587 -rect 8803 3553 8812 3587 -rect 8760 3544 8812 3553 -rect 5908 3519 5960 3528 -rect 5908 3485 5917 3519 -rect 5917 3485 5951 3519 -rect 5951 3485 5960 3519 -rect 5908 3476 5960 3485 -rect 7288 3476 7340 3528 -rect 8668 3519 8720 3528 -rect 8668 3485 8677 3519 -rect 8677 3485 8711 3519 -rect 8711 3485 8720 3519 -rect 8668 3476 8720 3485 -rect 8944 3476 8996 3528 -rect 11244 3621 11253 3655 -rect 11253 3621 11287 3655 -rect 11287 3621 11296 3655 -rect 11244 3612 11296 3621 -rect 12164 3612 12216 3664 -rect 12532 3612 12584 3664 +rect 15200 3680 15252 3732 +rect 4068 3519 4120 3528 +rect 2596 3340 2648 3392 +rect 2688 3340 2740 3392 +rect 4068 3485 4077 3519 +rect 4077 3485 4111 3519 +rect 4111 3485 4120 3519 +rect 4068 3476 4120 3485 +rect 6644 3544 6696 3596 +rect 7012 3544 7064 3596 rect 11796 3544 11848 3596 -rect 12348 3544 12400 3596 -rect 9772 3519 9824 3528 -rect 9772 3485 9781 3519 -rect 9781 3485 9815 3519 -rect 9815 3485 9824 3519 -rect 9772 3476 9824 3485 -rect 10416 3476 10468 3528 -rect 11244 3476 11296 3528 -rect 12716 3476 12768 3528 -rect 14464 3680 14516 3732 -rect 15108 3680 15160 3732 -rect 15292 3680 15344 3732 -rect 16120 3587 16172 3596 -rect 16120 3553 16129 3587 -rect 16129 3553 16163 3587 -rect 16163 3553 16172 3587 -rect 16120 3544 16172 3553 -rect 17500 3587 17552 3596 -rect 17500 3553 17509 3587 -rect 17509 3553 17543 3587 -rect 17543 3553 17552 3587 -rect 17500 3544 17552 3553 -rect 13452 3519 13504 3528 -rect 13452 3485 13461 3519 -rect 13461 3485 13495 3519 -rect 13495 3485 13504 3519 -rect 13452 3476 13504 3485 -rect 13728 3519 13780 3528 -rect 13728 3485 13737 3519 -rect 13737 3485 13771 3519 -rect 13771 3485 13780 3519 -rect 13728 3476 13780 3485 -rect 13820 3519 13872 3528 -rect 13820 3485 13829 3519 -rect 13829 3485 13863 3519 -rect 13863 3485 13872 3519 -rect 13820 3476 13872 3485 -rect 15844 3476 15896 3528 -rect 3608 3408 3660 3460 -rect 5264 3408 5316 3460 +rect 13268 3587 13320 3596 +rect 4896 3519 4948 3528 +rect 4896 3485 4905 3519 +rect 4905 3485 4939 3519 +rect 4939 3485 4948 3519 +rect 4896 3476 4948 3485 +rect 5264 3519 5316 3528 +rect 5264 3485 5273 3519 +rect 5273 3485 5307 3519 +rect 5307 3485 5316 3519 +rect 5264 3476 5316 3485 +rect 5816 3476 5868 3528 +rect 6828 3519 6880 3528 +rect 6828 3485 6837 3519 +rect 6837 3485 6871 3519 +rect 6871 3485 6880 3519 +rect 6828 3476 6880 3485 +rect 8392 3476 8444 3528 +rect 8484 3519 8536 3528 +rect 8484 3485 8493 3519 +rect 8493 3485 8527 3519 +rect 8527 3485 8536 3519 +rect 8484 3476 8536 3485 +rect 10048 3476 10100 3528 +rect 13268 3553 13277 3587 +rect 13277 3553 13311 3587 +rect 13311 3553 13320 3587 +rect 13268 3544 13320 3553 +rect 14740 3587 14792 3596 +rect 14740 3553 14749 3587 +rect 14749 3553 14783 3587 +rect 14783 3553 14792 3587 +rect 14740 3544 14792 3553 +rect 12900 3519 12952 3528 +rect 12900 3485 12909 3519 +rect 12909 3485 12943 3519 +rect 12943 3485 12952 3519 +rect 12900 3476 12952 3485 +rect 4436 3408 4488 3460 rect 7012 3408 7064 3460 -rect 2228 3340 2280 3392 -rect 3148 3383 3200 3392 -rect 3148 3349 3157 3383 -rect 3157 3349 3191 3383 -rect 3191 3349 3200 3383 -rect 3148 3340 3200 3349 -rect 3516 3383 3568 3392 -rect 3516 3349 3525 3383 -rect 3525 3349 3559 3383 -rect 3559 3349 3568 3383 -rect 3516 3340 3568 3349 -rect 5448 3340 5500 3392 -rect 8300 3408 8352 3460 -rect 7380 3340 7432 3392 -rect 7472 3340 7524 3392 -rect 9036 3408 9088 3460 -rect 9956 3408 10008 3460 -rect 11520 3451 11572 3460 -rect 11520 3417 11529 3451 -rect 11529 3417 11563 3451 -rect 11563 3417 11572 3451 -rect 11520 3408 11572 3417 -rect 11796 3408 11848 3460 -rect 14096 3451 14148 3460 -rect 14096 3417 14130 3451 -rect 14130 3417 14148 3451 -rect 14096 3408 14148 3417 -rect 9404 3340 9456 3392 -rect 9772 3340 9824 3392 -rect 11336 3383 11388 3392 -rect 11336 3349 11345 3383 -rect 11345 3349 11379 3383 -rect 11379 3349 11388 3383 -rect 11336 3340 11388 3349 -rect 12532 3340 12584 3392 -rect 12624 3383 12676 3392 -rect 12624 3349 12633 3383 -rect 12633 3349 12667 3383 -rect 12667 3349 12676 3383 -rect 16580 3408 16632 3460 -rect 12624 3340 12676 3349 +rect 7564 3408 7616 3460 +rect 6552 3340 6604 3392 +rect 6736 3383 6788 3392 +rect 6736 3349 6745 3383 +rect 6745 3349 6779 3383 +rect 6779 3349 6788 3383 +rect 6736 3340 6788 3349 +rect 8116 3408 8168 3460 +rect 9220 3408 9272 3460 +rect 11704 3340 11756 3392 +rect 12072 3340 12124 3392 +rect 13820 3340 13872 3392 +rect 14924 3476 14976 3528 +rect 15844 3519 15896 3528 +rect 15844 3485 15853 3519 +rect 15853 3485 15887 3519 +rect 15887 3485 15896 3519 +rect 15844 3476 15896 3485 +rect 18512 3519 18564 3528 +rect 18512 3485 18521 3519 +rect 18521 3485 18555 3519 +rect 18555 3485 18564 3519 +rect 18512 3476 18564 3485 +rect 16120 3451 16172 3460 +rect 14648 3383 14700 3392 +rect 14648 3349 14657 3383 +rect 14657 3349 14691 3383 +rect 14691 3349 14700 3383 +rect 14648 3340 14700 3349 +rect 14740 3340 14792 3392 +rect 15844 3340 15896 3392 +rect 16120 3417 16129 3451 +rect 16129 3417 16163 3451 +rect 16163 3417 16172 3451 +rect 16120 3408 16172 3417 +rect 16396 3408 16448 3460 +rect 17408 3408 17460 3460 +rect 16856 3340 16908 3392 rect 4660 3238 4712 3290 rect 4724 3238 4776 3290 rect 4788 3238 4840 3290 @@ -11290,145 +12032,131 @@ rect 17124 3238 17176 3290 rect 17188 3238 17240 3290 rect 17252 3238 17304 3290 rect 17316 3238 17368 3290 -rect 1952 3136 2004 3188 -rect 2504 3136 2556 3188 -rect 5724 3136 5776 3188 -rect 5264 3111 5316 3120 -rect 5264 3077 5273 3111 -rect 5273 3077 5307 3111 -rect 5307 3077 5316 3111 -rect 5264 3068 5316 3077 -rect 5448 3111 5500 3120 -rect 5448 3077 5457 3111 -rect 5457 3077 5491 3111 -rect 5491 3077 5500 3111 -rect 5448 3068 5500 3077 -rect 7380 3136 7432 3188 -rect 8208 3136 8260 3188 -rect 8576 3179 8628 3188 -rect 8576 3145 8585 3179 -rect 8585 3145 8619 3179 -rect 8619 3145 8628 3179 -rect 8576 3136 8628 3145 -rect 8760 3136 8812 3188 -rect 9956 3136 10008 3188 -rect 10416 3179 10468 3188 -rect 10416 3145 10425 3179 -rect 10425 3145 10459 3179 -rect 10459 3145 10468 3179 -rect 10416 3136 10468 3145 -rect 6920 3068 6972 3120 -rect 7196 3068 7248 3120 -rect 8944 3068 8996 3120 -rect 296 3043 348 3052 -rect 296 3009 305 3043 -rect 305 3009 339 3043 -rect 339 3009 348 3043 -rect 296 3000 348 3009 -rect 2044 3000 2096 3052 +rect 1308 3136 1360 3188 +rect 1860 3068 1912 3120 +rect 2688 3068 2740 3120 rect 572 2975 624 2984 rect 572 2941 581 2975 rect 581 2941 615 2975 rect 615 2941 624 2975 rect 572 2932 624 2941 -rect 2596 2932 2648 2984 -rect 3148 2932 3200 2984 -rect 5908 3000 5960 3052 -rect 8300 3043 8352 3052 -rect 8300 3009 8309 3043 -rect 8309 3009 8343 3043 -rect 8343 3009 8352 3043 -rect 8300 3000 8352 3009 -rect 9404 3000 9456 3052 -rect 2872 2864 2924 2916 -rect 3516 2864 3568 2916 -rect 5724 2864 5776 2916 -rect 5908 2864 5960 2916 -rect 6092 2975 6144 2984 -rect 6092 2941 6101 2975 -rect 6101 2941 6135 2975 -rect 6135 2941 6144 2975 -rect 6092 2932 6144 2941 -rect 6828 2932 6880 2984 -rect 2044 2839 2096 2848 -rect 2044 2805 2053 2839 -rect 2053 2805 2087 2839 -rect 2087 2805 2096 2839 -rect 2044 2796 2096 2805 -rect 5632 2796 5684 2848 -rect 6828 2796 6880 2848 -rect 7472 2796 7524 2848 -rect 10232 3043 10284 3052 -rect 10232 3009 10241 3043 -rect 10241 3009 10275 3043 -rect 10275 3009 10284 3043 -rect 10232 3000 10284 3009 -rect 10600 3043 10652 3052 -rect 10600 3009 10609 3043 -rect 10609 3009 10643 3043 -rect 10643 3009 10652 3043 -rect 10600 3000 10652 3009 -rect 11796 3136 11848 3188 -rect 11888 3136 11940 3188 -rect 13544 3136 13596 3188 -rect 11336 3068 11388 3120 -rect 10784 3043 10836 3052 -rect 10784 3009 10793 3043 -rect 10793 3009 10827 3043 -rect 10827 3009 10836 3043 -rect 11152 3043 11204 3052 -rect 10784 3000 10836 3009 -rect 11152 3009 11161 3043 -rect 11161 3009 11195 3043 -rect 11195 3009 11204 3043 -rect 11152 3000 11204 3009 -rect 11428 3043 11480 3052 -rect 11428 3009 11437 3043 -rect 11437 3009 11471 3043 -rect 11471 3009 11480 3043 -rect 11428 3000 11480 3009 -rect 12624 3068 12676 3120 -rect 14556 3068 14608 3120 -rect 12256 3043 12308 3052 -rect 12256 3009 12265 3043 -rect 12265 3009 12299 3043 -rect 12299 3009 12308 3043 -rect 12256 3000 12308 3009 -rect 13636 3000 13688 3052 +rect 2044 2975 2096 2984 +rect 2044 2941 2053 2975 +rect 2053 2941 2087 2975 +rect 2087 2941 2096 2975 +rect 6092 3136 6144 3188 +rect 8116 3136 8168 3188 +rect 8392 3136 8444 3188 +rect 7012 3068 7064 3120 +rect 3700 3043 3752 3052 +rect 3700 3009 3709 3043 +rect 3709 3009 3743 3043 +rect 3743 3009 3752 3043 +rect 3700 3000 3752 3009 +rect 4160 3043 4212 3052 +rect 4160 3009 4169 3043 +rect 4169 3009 4203 3043 +rect 4203 3009 4212 3043 +rect 4160 3000 4212 3009 +rect 4344 3043 4396 3052 +rect 4344 3009 4353 3043 +rect 4353 3009 4387 3043 +rect 4387 3009 4396 3043 +rect 4344 3000 4396 3009 +rect 4528 3000 4580 3052 +rect 5172 3043 5224 3052 +rect 2044 2932 2096 2941 +rect 940 2796 992 2848 +rect 3608 2932 3660 2984 +rect 3976 2975 4028 2984 +rect 3976 2941 3985 2975 +rect 3985 2941 4019 2975 +rect 4019 2941 4028 2975 +rect 3976 2932 4028 2941 +rect 5172 3009 5181 3043 +rect 5181 3009 5215 3043 +rect 5215 3009 5224 3043 +rect 5172 3000 5224 3009 +rect 7564 3043 7616 3052 +rect 2596 2796 2648 2848 +rect 4528 2839 4580 2848 +rect 4528 2805 4537 2839 +rect 4537 2805 4571 2839 +rect 4571 2805 4580 2839 +rect 4528 2796 4580 2805 +rect 5080 2839 5132 2848 +rect 5080 2805 5089 2839 +rect 5089 2805 5123 2839 +rect 5123 2805 5132 2839 +rect 5080 2796 5132 2805 +rect 6092 2932 6144 2984 +rect 6920 2975 6972 2984 +rect 6920 2941 6929 2975 +rect 6929 2941 6963 2975 +rect 6963 2941 6972 2975 +rect 7564 3009 7573 3043 +rect 7573 3009 7607 3043 +rect 7607 3009 7616 3043 +rect 7564 3000 7616 3009 +rect 8024 3043 8076 3052 +rect 8024 3009 8033 3043 +rect 8033 3009 8067 3043 +rect 8067 3009 8076 3043 +rect 8024 3000 8076 3009 +rect 8668 3000 8720 3052 +rect 6920 2932 6972 2941 +rect 8944 3043 8996 3052 +rect 8944 3009 8953 3043 +rect 8953 3009 8987 3043 +rect 8987 3009 8996 3043 +rect 8944 3000 8996 3009 +rect 10048 3000 10100 3052 +rect 12072 3043 12124 3052 +rect 12072 3009 12081 3043 +rect 12081 3009 12115 3043 +rect 12115 3009 12124 3043 +rect 12072 3000 12124 3009 +rect 13452 3068 13504 3120 +rect 12532 3000 12584 3052 +rect 12808 2932 12860 2984 +rect 12992 2932 13044 2984 rect 13820 3000 13872 3052 -rect 14464 3043 14516 3052 -rect 14464 3009 14473 3043 -rect 14473 3009 14507 3043 -rect 14507 3009 14516 3043 -rect 14464 3000 14516 3009 -rect 15384 3136 15436 3188 -rect 15936 3179 15988 3188 -rect 15936 3145 15945 3179 -rect 15945 3145 15979 3179 -rect 15979 3145 15988 3179 -rect 15936 3136 15988 3145 -rect 15200 3068 15252 3120 -rect 16488 3111 16540 3120 -rect 16488 3077 16497 3111 -rect 16497 3077 16531 3111 -rect 16531 3077 16540 3111 -rect 16488 3068 16540 3077 -rect 17500 3000 17552 3052 -rect 17868 3000 17920 3052 -rect 11704 2975 11756 2984 -rect 11704 2941 11713 2975 -rect 11713 2941 11747 2975 -rect 11747 2941 11756 2975 -rect 11704 2932 11756 2941 -rect 10784 2864 10836 2916 -rect 9864 2796 9916 2848 -rect 14280 2975 14332 2984 -rect 14280 2941 14289 2975 -rect 14289 2941 14323 2975 -rect 14323 2941 14332 2975 -rect 14280 2932 14332 2941 -rect 13820 2796 13872 2848 -rect 15108 2796 15160 2848 +rect 14648 3043 14700 3052 +rect 14648 3009 14657 3043 +rect 14657 3009 14691 3043 +rect 14691 3009 14700 3043 +rect 14648 3000 14700 3009 +rect 14832 3043 14884 3052 +rect 14832 3009 14841 3043 +rect 14841 3009 14875 3043 +rect 14875 3009 14884 3043 +rect 14832 3000 14884 3009 +rect 15016 3136 15068 3188 +rect 16120 3136 16172 3188 +rect 15844 3068 15896 3120 +rect 16856 3000 16908 3052 +rect 17776 3043 17828 3052 +rect 17776 3009 17785 3043 +rect 17785 3009 17819 3043 +rect 17819 3009 17828 3043 +rect 17776 3000 17828 3009 +rect 14740 2932 14792 2984 +rect 17684 2932 17736 2984 +rect 6828 2864 6880 2916 +rect 8668 2864 8720 2916 +rect 8944 2864 8996 2916 +rect 16396 2864 16448 2916 +rect 18144 2864 18196 2916 +rect 7196 2796 7248 2848 +rect 11796 2796 11848 2848 +rect 11980 2796 12032 2848 +rect 14372 2796 14424 2848 +rect 17132 2839 17184 2848 +rect 17132 2805 17141 2839 +rect 17141 2805 17175 2839 +rect 17175 2805 17184 2839 +rect 17132 2796 17184 2805 +rect 17868 2796 17920 2848 rect 3110 2694 3162 2746 rect 3174 2694 3226 2746 rect 3238 2694 3290 2746 @@ -11455,104 +12183,138 @@ rect 15638 2694 15690 2746 rect 15702 2694 15754 2746 rect 15766 2694 15818 2746 rect 572 2592 624 2644 -rect 2780 2635 2832 2644 -rect 2780 2601 2789 2635 -rect 2789 2601 2823 2635 -rect 2823 2601 2832 2635 -rect 2780 2592 2832 2601 -rect 3516 2592 3568 2644 -rect 5448 2592 5500 2644 -rect 7196 2592 7248 2644 -rect 11244 2592 11296 2644 -rect 8760 2567 8812 2576 -rect 2044 2499 2096 2508 -rect 2044 2465 2053 2499 -rect 2053 2465 2087 2499 -rect 2087 2465 2096 2499 -rect 2044 2456 2096 2465 -rect 2228 2499 2280 2508 -rect 2228 2465 2237 2499 -rect 2237 2465 2271 2499 -rect 2271 2465 2280 2499 -rect 2228 2456 2280 2465 -rect 8760 2533 8769 2567 -rect 8769 2533 8803 2567 -rect 8803 2533 8812 2567 -rect 8760 2524 8812 2533 -rect 10600 2524 10652 2576 -rect 15292 2524 15344 2576 -rect 2596 2388 2648 2440 -rect 5540 2456 5592 2508 -rect 9680 2456 9732 2508 -rect 10784 2456 10836 2508 -rect 2964 2431 3016 2440 -rect 2964 2397 2973 2431 -rect 2973 2397 3007 2431 -rect 3007 2397 3016 2431 -rect 2964 2388 3016 2397 -rect 6092 2388 6144 2440 -rect 2872 2320 2924 2372 -rect 4344 2320 4396 2372 -rect 5632 2363 5684 2372 -rect 5632 2329 5641 2363 -rect 5641 2329 5675 2363 -rect 5675 2329 5684 2363 -rect 5632 2320 5684 2329 -rect 7472 2320 7524 2372 -rect 8576 2363 8628 2372 -rect 8576 2329 8585 2363 -rect 8585 2329 8619 2363 -rect 8619 2329 8628 2363 -rect 8576 2320 8628 2329 -rect 2780 2252 2832 2304 -rect 4988 2252 5040 2304 -rect 8300 2295 8352 2304 -rect 8300 2261 8309 2295 -rect 8309 2261 8343 2295 -rect 8343 2261 8352 2295 -rect 8300 2252 8352 2261 -rect 10876 2431 10928 2440 -rect 10876 2397 10885 2431 -rect 10885 2397 10919 2431 -rect 10919 2397 10928 2431 -rect 10876 2388 10928 2397 -rect 12808 2456 12860 2508 -rect 14280 2456 14332 2508 -rect 15568 2456 15620 2508 -rect 15844 2499 15896 2508 -rect 15844 2465 15853 2499 -rect 15853 2465 15887 2499 -rect 15887 2465 15896 2499 -rect 15844 2456 15896 2465 -rect 16488 2456 16540 2508 -rect 9772 2252 9824 2304 -rect 10140 2252 10192 2304 -rect 11244 2320 11296 2372 -rect 14556 2388 14608 2440 -rect 11704 2320 11756 2372 -rect 11888 2252 11940 2304 -rect 12716 2252 12768 2304 -rect 13636 2252 13688 2304 -rect 14372 2252 14424 2304 -rect 14924 2295 14976 2304 -rect 14924 2261 14933 2295 -rect 14933 2261 14967 2295 -rect 14967 2261 14976 2295 -rect 14924 2252 14976 2261 -rect 15200 2320 15252 2372 -rect 15292 2320 15344 2372 -rect 18512 2431 18564 2440 -rect 18512 2397 18521 2431 -rect 18521 2397 18555 2431 -rect 18555 2397 18564 2431 -rect 18512 2388 18564 2397 -rect 16120 2363 16172 2372 -rect 16120 2329 16129 2363 -rect 16129 2329 16163 2363 -rect 16163 2329 16172 2363 -rect 16120 2320 16172 2329 -rect 16672 2320 16724 2372 -rect 15844 2252 15896 2304 +rect 3700 2592 3752 2644 +rect 3884 2592 3936 2644 +rect 4528 2592 4580 2644 +rect 4712 2592 4764 2644 +rect 5356 2592 5408 2644 +rect 6092 2635 6144 2644 +rect 6092 2601 6101 2635 +rect 6101 2601 6135 2635 +rect 6135 2601 6144 2635 +rect 6092 2592 6144 2601 +rect 6736 2592 6788 2644 +rect 3516 2524 3568 2576 +rect 8852 2592 8904 2644 +rect 10048 2592 10100 2644 +rect 11336 2592 11388 2644 +rect 12808 2592 12860 2644 +rect 12992 2592 13044 2644 +rect 15108 2592 15160 2644 +rect 17132 2592 17184 2644 +rect 17684 2635 17736 2644 +rect 2228 2456 2280 2508 +rect 2780 2499 2832 2508 +rect 2780 2465 2789 2499 +rect 2789 2465 2823 2499 +rect 2823 2465 2832 2499 +rect 2780 2456 2832 2465 +rect 4344 2456 4396 2508 +rect 7656 2524 7708 2576 +rect 8024 2524 8076 2576 +rect 940 2431 992 2440 +rect 940 2397 949 2431 +rect 949 2397 983 2431 +rect 983 2397 992 2431 +rect 940 2388 992 2397 +rect 2044 2431 2096 2440 +rect 2044 2397 2053 2431 +rect 2053 2397 2087 2431 +rect 2087 2397 2096 2431 +rect 2044 2388 2096 2397 +rect 2872 2388 2924 2440 +rect 3516 2431 3568 2440 +rect 3516 2397 3525 2431 +rect 3525 2397 3559 2431 +rect 3559 2397 3568 2431 +rect 3516 2388 3568 2397 +rect 4528 2431 4580 2440 +rect 2964 2320 3016 2372 +rect 4528 2397 4537 2431 +rect 4537 2397 4571 2431 +rect 4571 2397 4580 2431 +rect 4528 2388 4580 2397 +rect 4712 2431 4764 2440 +rect 4712 2397 4721 2431 +rect 4721 2397 4755 2431 +rect 4755 2397 4764 2431 +rect 4712 2388 4764 2397 +rect 6552 2456 6604 2508 +rect 6828 2456 6880 2508 +rect 4620 2320 4672 2372 +rect 6000 2388 6052 2440 +rect 7196 2388 7248 2440 +rect 9036 2456 9088 2508 +rect 9220 2456 9272 2508 +rect 13176 2524 13228 2576 +rect 17684 2601 17693 2635 +rect 17693 2601 17727 2635 +rect 17727 2601 17736 2635 +rect 17684 2592 17736 2601 +rect 8116 2388 8168 2440 +rect 8668 2431 8720 2440 +rect 8668 2397 8677 2431 +rect 8677 2397 8711 2431 +rect 8711 2397 8720 2431 +rect 8668 2388 8720 2397 +rect 9496 2388 9548 2440 +rect 3424 2252 3476 2304 +rect 4344 2252 4396 2304 +rect 4436 2252 4488 2304 +rect 9312 2320 9364 2372 +rect 11244 2388 11296 2440 +rect 13268 2456 13320 2508 +rect 13728 2456 13780 2508 +rect 11980 2431 12032 2440 +rect 11980 2397 11989 2431 +rect 11989 2397 12023 2431 +rect 12023 2397 12032 2431 +rect 11980 2388 12032 2397 +rect 15108 2388 15160 2440 +rect 17776 2524 17828 2576 +rect 17132 2431 17184 2440 +rect 17132 2397 17141 2431 +rect 17141 2397 17175 2431 +rect 17175 2397 17184 2431 +rect 17132 2388 17184 2397 +rect 17868 2431 17920 2440 +rect 17868 2397 17877 2431 +rect 17877 2397 17911 2431 +rect 17911 2397 17920 2431 +rect 17868 2388 17920 2397 +rect 18144 2431 18196 2440 +rect 18144 2397 18153 2431 +rect 18153 2397 18187 2431 +rect 18187 2397 18196 2431 +rect 18144 2388 18196 2397 +rect 5264 2252 5316 2304 +rect 5448 2295 5500 2304 +rect 5448 2261 5457 2295 +rect 5457 2261 5491 2295 +rect 5491 2261 5500 2295 +rect 5448 2252 5500 2261 +rect 7012 2252 7064 2304 +rect 9220 2252 9272 2304 +rect 11336 2363 11388 2372 +rect 11336 2329 11345 2363 +rect 11345 2329 11379 2363 +rect 11379 2329 11388 2363 +rect 11336 2320 11388 2329 +rect 11612 2320 11664 2372 +rect 11704 2363 11756 2372 +rect 11704 2329 11713 2363 +rect 11713 2329 11747 2363 +rect 11747 2329 11756 2363 +rect 11704 2320 11756 2329 +rect 13820 2320 13872 2372 +rect 15476 2363 15528 2372 +rect 15476 2329 15485 2363 +rect 15485 2329 15519 2363 +rect 15519 2329 15528 2363 +rect 15476 2320 15528 2329 +rect 18236 2320 18288 2372 +rect 11428 2252 11480 2304 +rect 14464 2252 14516 2304 rect 4660 2150 4712 2202 rect 4724 2150 4776 2202 rect 4788 2150 4840 2202 @@ -11578,136 +12340,189 @@ rect 17124 2150 17176 2202 rect 17188 2150 17240 2202 rect 17252 2150 17304 2202 rect 17316 2150 17368 2202 -rect 1952 1980 2004 2032 -rect 2964 1912 3016 1964 -rect 5540 1980 5592 2032 -rect 296 1887 348 1896 -rect 296 1853 305 1887 -rect 305 1853 339 1887 -rect 339 1853 348 1887 -rect 296 1844 348 1853 -rect 2780 1887 2832 1896 -rect 2780 1853 2789 1887 -rect 2789 1853 2823 1887 -rect 2823 1853 2832 1887 -rect 2780 1844 2832 1853 -rect 1768 1776 1820 1828 -rect 4344 1912 4396 1964 -rect 4068 1844 4120 1896 -rect 4988 1912 5040 1964 -rect 5080 1912 5132 1964 +rect 2228 2091 2280 2100 +rect 2228 2057 2237 2091 +rect 2237 2057 2271 2091 +rect 2271 2057 2280 2091 +rect 2228 2048 2280 2057 +rect 2872 2091 2924 2100 +rect 2872 2057 2881 2091 +rect 2881 2057 2915 2091 +rect 2915 2057 2924 2091 +rect 2872 2048 2924 2057 +rect 3424 2048 3476 2100 +rect 7104 2048 7156 2100 +rect 8208 2048 8260 2100 +rect 8668 2048 8720 2100 +rect 9312 2048 9364 2100 +rect 11244 2048 11296 2100 +rect 11612 2091 11664 2100 +rect 11612 2057 11621 2091 +rect 11621 2057 11655 2091 +rect 11655 2057 11664 2091 +rect 11612 2048 11664 2057 +rect 13176 2091 13228 2100 +rect 13176 2057 13185 2091 +rect 13185 2057 13219 2091 +rect 13219 2057 13228 2091 +rect 13820 2091 13872 2100 +rect 13176 2048 13228 2057 +rect 4528 1980 4580 2032 +rect 2780 1912 2832 1964 +rect 4160 1955 4212 1964 +rect 4160 1921 4169 1955 +rect 4169 1921 4203 1955 +rect 4203 1921 4212 1955 +rect 4160 1912 4212 1921 +rect 4344 1955 4396 1964 +rect 4344 1921 4353 1955 +rect 4353 1921 4387 1955 +rect 4387 1921 4396 1955 +rect 4344 1912 4396 1921 +rect 4436 1912 4488 1964 +rect 5080 1955 5132 1964 +rect 5080 1921 5089 1955 +rect 5089 1921 5123 1955 +rect 5123 1921 5132 1955 +rect 5080 1912 5132 1921 rect 5448 1955 5500 1964 rect 5448 1921 5457 1955 rect 5457 1921 5491 1955 rect 5491 1921 5500 1955 -rect 6092 2023 6144 2032 -rect 6092 1989 6101 2023 -rect 6101 1989 6135 2023 -rect 6135 1989 6144 2023 -rect 6092 1980 6144 1989 -rect 9956 2048 10008 2100 -rect 11428 2091 11480 2100 -rect 11428 2057 11437 2091 -rect 11437 2057 11471 2091 -rect 11471 2057 11480 2091 -rect 11428 2048 11480 2057 -rect 13636 2048 13688 2100 rect 5448 1912 5500 1921 -rect 6736 1955 6788 1964 -rect 6736 1921 6745 1955 -rect 6745 1921 6779 1955 -rect 6779 1921 6788 1955 -rect 6736 1912 6788 1921 -rect 6828 1955 6880 1964 -rect 6828 1921 6837 1955 -rect 6837 1921 6871 1955 -rect 6871 1921 6880 1955 -rect 6828 1912 6880 1921 -rect 7472 1955 7524 1964 -rect 7472 1921 7481 1955 -rect 7481 1921 7515 1955 -rect 7515 1921 7524 1955 -rect 7472 1912 7524 1921 -rect 9680 1980 9732 2032 -rect 9772 2023 9824 2032 -rect 9772 1989 9781 2023 -rect 9781 1989 9815 2023 -rect 9815 1989 9824 2023 -rect 15844 2048 15896 2100 -rect 16120 2048 16172 2100 +rect 6920 1980 6972 2032 +rect 7288 1955 7340 1964 +rect 7288 1921 7297 1955 +rect 7297 1921 7331 1955 +rect 7331 1921 7340 1955 +rect 7288 1912 7340 1921 +rect 2228 1844 2280 1896 +rect 2872 1844 2924 1896 +rect 3608 1844 3660 1896 +rect 3976 1887 4028 1896 +rect 3976 1853 3985 1887 +rect 3985 1853 4019 1887 +rect 4019 1853 4028 1887 +rect 3976 1844 4028 1853 +rect 5816 1887 5868 1896 +rect 5816 1853 5825 1887 +rect 5825 1853 5859 1887 +rect 5859 1853 5868 1887 +rect 5816 1844 5868 1853 +rect 5908 1844 5960 1896 +rect 7012 1887 7064 1896 +rect 7012 1853 7021 1887 +rect 7021 1853 7055 1887 +rect 7055 1853 7064 1887 +rect 7012 1844 7064 1853 +rect 3516 1776 3568 1828 +rect 6920 1776 6972 1828 +rect 7656 1912 7708 1964 +rect 7932 1955 7984 1964 +rect 7932 1921 7941 1955 +rect 7941 1921 7975 1955 +rect 7975 1921 7984 1955 +rect 7932 1912 7984 1921 +rect 8024 1844 8076 1896 +rect 7472 1776 7524 1828 +rect 8760 1912 8812 1964 +rect 9220 1955 9272 1964 +rect 9220 1921 9229 1955 +rect 9229 1921 9263 1955 +rect 9263 1921 9272 1955 +rect 9220 1912 9272 1921 +rect 9404 1980 9456 2032 +rect 10324 1980 10376 2032 +rect 9772 1912 9824 1964 +rect 10692 1912 10744 1964 +rect 11336 1980 11388 2032 +rect 12992 1980 13044 2032 +rect 13820 2057 13829 2091 +rect 13829 2057 13863 2091 +rect 13863 2057 13872 2091 +rect 13820 2048 13872 2057 +rect 14740 2048 14792 2100 +rect 15108 2048 15160 2100 rect 17500 2048 17552 2100 -rect 17868 2048 17920 2100 -rect 18144 2091 18196 2100 -rect 18144 2057 18153 2091 -rect 18153 2057 18187 2091 -rect 18187 2057 18196 2091 -rect 18144 2048 18196 2057 -rect 9772 1980 9824 1989 -rect 7380 1844 7432 1896 -rect 8576 1844 8628 1896 -rect 9680 1844 9732 1896 -rect 8300 1776 8352 1828 -rect 9956 1955 10008 1964 -rect 9956 1921 9965 1955 -rect 9965 1921 9999 1955 -rect 9999 1921 10008 1955 -rect 9956 1912 10008 1921 -rect 10232 1912 10284 1964 -rect 11244 1912 11296 1964 -rect 12716 1955 12768 1964 -rect 12716 1921 12725 1955 -rect 12725 1921 12759 1955 -rect 12759 1921 12768 1955 -rect 12716 1912 12768 1921 +rect 18236 2091 18288 2100 +rect 18236 2057 18245 2091 +rect 18245 2057 18279 2091 +rect 18279 2057 18288 2091 +rect 18236 2048 18288 2057 +rect 9496 1887 9548 1896 +rect 9496 1853 9505 1887 +rect 9505 1853 9539 1887 +rect 9539 1853 9548 1887 +rect 11152 1955 11204 1964 +rect 11152 1921 11161 1955 +rect 11161 1921 11195 1955 +rect 11195 1921 11204 1955 +rect 11428 1955 11480 1964 +rect 11152 1912 11204 1921 +rect 11428 1921 11437 1955 +rect 11437 1921 11471 1955 +rect 11471 1921 11480 1955 +rect 11428 1912 11480 1921 +rect 11612 1912 11664 1964 rect 12900 1912 12952 1964 -rect 13544 1912 13596 1964 -rect 10968 1887 11020 1896 -rect 10968 1853 10977 1887 -rect 10977 1853 11011 1887 -rect 11011 1853 11020 1887 -rect 10968 1844 11020 1853 -rect 12808 1887 12860 1896 -rect 12808 1853 12817 1887 -rect 12817 1853 12851 1887 -rect 12851 1853 12860 1887 -rect 12808 1844 12860 1853 -rect 13820 1844 13872 1896 -rect 1952 1708 2004 1760 -rect 2228 1751 2280 1760 -rect 2228 1717 2237 1751 -rect 2237 1717 2271 1751 -rect 2271 1717 2280 1751 -rect 2228 1708 2280 1717 -rect 2504 1708 2556 1760 -rect 4436 1751 4488 1760 -rect 4436 1717 4445 1751 -rect 4445 1717 4479 1751 -rect 4479 1717 4488 1751 -rect 4436 1708 4488 1717 -rect 4528 1708 4580 1760 -rect 5172 1708 5224 1760 -rect 8668 1751 8720 1760 -rect 8668 1717 8677 1751 -rect 8677 1717 8711 1751 -rect 8711 1717 8720 1751 -rect 8668 1708 8720 1717 -rect 9864 1776 9916 1828 -rect 10140 1708 10192 1760 -rect 12164 1708 12216 1760 +rect 13084 1955 13136 1964 +rect 13084 1921 13093 1955 +rect 13093 1921 13127 1955 +rect 13127 1921 13136 1955 +rect 13084 1912 13136 1921 +rect 11336 1887 11388 1896 +rect 9496 1844 9548 1853 +rect 8484 1776 8536 1828 +rect 9312 1776 9364 1828 +rect 10140 1776 10192 1828 +rect 11336 1853 11345 1887 +rect 11345 1853 11379 1887 +rect 11379 1853 11388 1887 +rect 15384 1980 15436 2032 +rect 11336 1844 11388 1853 +rect 13912 1844 13964 1896 rect 14372 1912 14424 1964 -rect 14648 1912 14700 1964 -rect 16672 1912 16724 1964 -rect 16948 1912 17000 1964 -rect 18236 1955 18288 1964 -rect 18236 1921 18245 1955 -rect 18245 1921 18279 1955 -rect 18279 1921 18288 1955 -rect 18236 1912 18288 1921 -rect 15292 1844 15344 1896 -rect 15568 1844 15620 1896 -rect 14832 1776 14884 1828 -rect 15384 1708 15436 1760 -rect 15936 1708 15988 1760 +rect 14832 1955 14884 1964 +rect 14832 1921 14841 1955 +rect 14841 1921 14875 1955 +rect 14875 1921 14884 1955 +rect 14832 1912 14884 1921 +rect 14924 1912 14976 1964 +rect 17408 1980 17460 2032 +rect 16488 1912 16540 1964 +rect 14464 1844 14516 1896 +rect 848 1751 900 1760 +rect 848 1717 857 1751 +rect 857 1717 891 1751 +rect 891 1717 900 1751 +rect 848 1708 900 1717 +rect 5356 1708 5408 1760 +rect 7012 1708 7064 1760 +rect 7288 1751 7340 1760 +rect 7288 1717 7297 1751 +rect 7297 1717 7331 1751 +rect 7331 1717 7340 1751 +rect 7288 1708 7340 1717 +rect 7840 1751 7892 1760 +rect 7840 1717 7849 1751 +rect 7849 1717 7883 1751 +rect 7883 1717 7892 1751 +rect 7840 1708 7892 1717 +rect 7932 1708 7984 1760 +rect 8760 1708 8812 1760 +rect 9864 1708 9916 1760 +rect 11060 1708 11112 1760 +rect 11336 1708 11388 1760 +rect 12808 1708 12860 1760 +rect 14188 1708 14240 1760 +rect 14740 1708 14792 1760 +rect 15476 1844 15528 1896 +rect 16120 1844 16172 1896 +rect 16672 1751 16724 1760 +rect 16672 1717 16681 1751 +rect 16681 1717 16715 1751 +rect 16715 1717 16724 1751 +rect 16672 1708 16724 1717 rect 3110 1606 3162 1658 rect 3174 1606 3226 1658 rect 3238 1606 3290 1658 @@ -11733,119 +12548,143 @@ rect 15574 1606 15626 1658 rect 15638 1606 15690 1658 rect 15702 1606 15754 1658 rect 15766 1606 15818 1658 -rect 10140 1504 10192 1556 -rect 4068 1436 4120 1488 -rect 5080 1436 5132 1488 -rect 7472 1436 7524 1488 -rect 4528 1368 4580 1420 -rect 1768 1343 1820 1352 -rect 1768 1309 1777 1343 -rect 1777 1309 1811 1343 -rect 1811 1309 1820 1343 -rect 1768 1300 1820 1309 -rect 4344 1300 4396 1352 -rect 4528 1275 4580 1284 -rect 4528 1241 4537 1275 -rect 4537 1241 4571 1275 -rect 4571 1241 4580 1275 -rect 4528 1232 4580 1241 -rect 4988 1300 5040 1352 -rect 6736 1368 6788 1420 -rect 5908 1343 5960 1352 -rect 5908 1309 5917 1343 -rect 5917 1309 5951 1343 -rect 5951 1309 5960 1343 -rect 5908 1300 5960 1309 -rect 6000 1300 6052 1352 -rect 7012 1343 7064 1352 -rect 7012 1309 7021 1343 -rect 7021 1309 7055 1343 -rect 7055 1309 7064 1343 -rect 7012 1300 7064 1309 -rect 5264 1232 5316 1284 -rect 7380 1300 7432 1352 -rect 8668 1368 8720 1420 -rect 8760 1368 8812 1420 -rect 9036 1368 9088 1420 +rect 2780 1504 2832 1556 +rect 5908 1547 5960 1556 +rect 1308 1343 1360 1352 +rect 1308 1309 1317 1343 +rect 1317 1309 1351 1343 +rect 1351 1309 1360 1343 +rect 1308 1300 1360 1309 +rect 5908 1513 5917 1547 +rect 5917 1513 5951 1547 +rect 5951 1513 5960 1547 +rect 5908 1504 5960 1513 +rect 6644 1504 6696 1556 +rect 6920 1504 6972 1556 +rect 9680 1504 9732 1556 +rect 10048 1547 10100 1556 +rect 10048 1513 10057 1547 +rect 10057 1513 10091 1547 +rect 10091 1513 10100 1547 +rect 10048 1504 10100 1513 +rect 5264 1368 5316 1420 +rect 3608 1300 3660 1352 +rect 5540 1300 5592 1352 +rect 6000 1368 6052 1420 +rect 7196 1436 7248 1488 +rect 6644 1368 6696 1420 +rect 7380 1411 7432 1420 +rect 7380 1377 7389 1411 +rect 7389 1377 7423 1411 +rect 7423 1377 7432 1411 +rect 7380 1368 7432 1377 +rect 7840 1368 7892 1420 +rect 8116 1436 8168 1488 +rect 11060 1504 11112 1556 +rect 11152 1504 11204 1556 +rect 9772 1368 9824 1420 +rect 10784 1436 10836 1488 +rect 11612 1436 11664 1488 +rect 11796 1436 11848 1488 +rect 1584 1275 1636 1284 +rect 1584 1241 1593 1275 +rect 1593 1241 1627 1275 +rect 1627 1241 1636 1275 +rect 1584 1232 1636 1241 +rect 3424 1275 3476 1284 +rect 388 1164 440 1216 +rect 2596 1164 2648 1216 +rect 3424 1241 3433 1275 +rect 3433 1241 3467 1275 +rect 3467 1241 3476 1275 +rect 3424 1232 3476 1241 +rect 3976 1232 4028 1284 +rect 6552 1300 6604 1352 +rect 6368 1232 6420 1284 +rect 3884 1164 3936 1216 +rect 6092 1207 6144 1216 +rect 6092 1173 6101 1207 +rect 6101 1173 6135 1207 +rect 6135 1173 6144 1207 +rect 6092 1164 6144 1173 +rect 7104 1300 7156 1352 +rect 7472 1300 7524 1352 +rect 7196 1232 7248 1284 +rect 7380 1232 7432 1284 +rect 8116 1343 8168 1352 +rect 8116 1309 8125 1343 +rect 8125 1309 8159 1343 +rect 8159 1309 8168 1343 +rect 8116 1300 8168 1309 +rect 8852 1300 8904 1352 +rect 9864 1343 9916 1352 +rect 9864 1309 9873 1343 +rect 9873 1309 9907 1343 +rect 9907 1309 9916 1343 +rect 9864 1300 9916 1309 +rect 6920 1207 6972 1216 +rect 6920 1173 6929 1207 +rect 6929 1173 6963 1207 +rect 6963 1173 6972 1207 +rect 6920 1164 6972 1173 +rect 7012 1164 7064 1216 +rect 8208 1164 8260 1216 +rect 9680 1232 9732 1284 +rect 10140 1343 10192 1352 +rect 10140 1309 10149 1343 +rect 10149 1309 10183 1343 +rect 10183 1309 10192 1343 +rect 10140 1300 10192 1309 +rect 10324 1343 10376 1352 +rect 10324 1309 10333 1343 +rect 10333 1309 10367 1343 +rect 10367 1309 10376 1343 +rect 10324 1300 10376 1309 +rect 11336 1368 11388 1420 rect 14648 1504 14700 1556 -rect 14924 1504 14976 1556 -rect 15108 1436 15160 1488 -rect 8576 1300 8628 1352 -rect 8852 1343 8904 1352 -rect 8852 1309 8861 1343 -rect 8861 1309 8895 1343 -rect 8895 1309 8904 1343 -rect 8852 1300 8904 1309 -rect 10416 1300 10468 1352 -rect 10968 1300 11020 1352 -rect 13820 1368 13872 1420 -rect 5172 1164 5224 1216 -rect 5356 1207 5408 1216 -rect 5356 1173 5365 1207 -rect 5365 1173 5399 1207 -rect 5399 1173 5408 1207 -rect 5356 1164 5408 1173 -rect 5816 1207 5868 1216 -rect 5816 1173 5825 1207 -rect 5825 1173 5859 1207 -rect 5859 1173 5868 1207 -rect 5816 1164 5868 1173 -rect 7564 1232 7616 1284 -rect 10140 1232 10192 1284 -rect 12348 1275 12400 1284 -rect 12348 1241 12357 1275 -rect 12357 1241 12391 1275 -rect 12391 1241 12400 1275 -rect 12348 1232 12400 1241 -rect 13452 1300 13504 1352 -rect 14464 1343 14516 1352 -rect 14464 1309 14473 1343 -rect 14473 1309 14507 1343 -rect 14507 1309 14516 1343 -rect 14464 1300 14516 1309 -rect 15108 1343 15160 1352 -rect 15108 1309 15117 1343 -rect 15117 1309 15151 1343 -rect 15151 1309 15160 1343 -rect 15108 1300 15160 1309 -rect 15200 1343 15252 1352 -rect 15200 1309 15209 1343 -rect 15209 1309 15243 1343 -rect 15243 1309 15252 1343 -rect 15200 1300 15252 1309 -rect 15384 1300 15436 1352 -rect 15936 1343 15988 1352 -rect 15936 1309 15945 1343 -rect 15945 1309 15979 1343 -rect 15979 1309 15988 1343 -rect 15936 1300 15988 1309 -rect 16120 1300 16172 1352 -rect 16396 1343 16448 1352 -rect 16396 1309 16405 1343 -rect 16405 1309 16439 1343 -rect 16439 1309 16448 1343 -rect 16396 1300 16448 1309 -rect 14556 1232 14608 1284 -rect 16672 1232 16724 1284 -rect 17868 1275 17920 1284 -rect 17868 1241 17877 1275 -rect 17877 1241 17911 1275 -rect 17911 1241 17920 1275 -rect 17868 1232 17920 1241 -rect 6920 1164 6972 1216 -rect 8208 1207 8260 1216 -rect 8208 1173 8217 1207 -rect 8217 1173 8251 1207 -rect 8251 1173 8260 1207 -rect 8208 1164 8260 1173 -rect 9404 1164 9456 1216 -rect 11520 1164 11572 1216 -rect 13360 1207 13412 1216 -rect 13360 1173 13369 1207 -rect 13369 1173 13403 1207 -rect 13403 1173 13412 1207 -rect 13360 1164 13412 1173 -rect 16028 1164 16080 1216 +rect 14832 1504 14884 1556 +rect 14188 1436 14240 1488 +rect 14280 1436 14332 1488 +rect 8576 1164 8628 1216 +rect 12072 1232 12124 1284 +rect 11244 1207 11296 1216 +rect 11244 1173 11253 1207 +rect 11253 1173 11287 1207 +rect 11287 1173 11296 1207 +rect 11244 1164 11296 1173 +rect 12256 1300 12308 1352 +rect 12808 1232 12860 1284 +rect 13176 1232 13228 1284 +rect 13544 1300 13596 1352 +rect 14096 1300 14148 1352 +rect 15108 1368 15160 1420 +rect 15660 1368 15712 1420 +rect 16120 1343 16172 1352 +rect 13728 1232 13780 1284 +rect 16120 1309 16129 1343 +rect 16129 1309 16163 1343 +rect 16163 1309 16172 1343 +rect 16120 1300 16172 1309 +rect 16396 1275 16448 1284 +rect 16396 1241 16405 1275 +rect 16405 1241 16439 1275 +rect 16439 1241 16448 1275 +rect 16396 1232 16448 1241 +rect 12624 1207 12676 1216 +rect 12624 1173 12633 1207 +rect 12633 1173 12667 1207 +rect 12667 1173 12676 1207 +rect 12624 1164 12676 1173 +rect 12900 1164 12952 1216 +rect 13820 1164 13872 1216 +rect 14464 1164 14516 1216 +rect 15016 1164 15068 1216 +rect 15844 1164 15896 1216 +rect 17868 1207 17920 1216 +rect 17868 1173 17877 1207 +rect 17877 1173 17911 1207 +rect 17911 1173 17920 1207 +rect 17868 1164 17920 1173 rect 4660 1062 4712 1114 rect 4724 1062 4776 1114 rect 4788 1062 4840 1114 @@ -11871,183 +12710,124 @@ rect 17124 1062 17176 1114 rect 17188 1062 17240 1114 rect 17252 1062 17304 1114 rect 17316 1062 17368 1114 -rect 4988 1003 5040 1012 -rect 2504 867 2556 876 -rect 2504 833 2513 867 -rect 2513 833 2547 867 -rect 2547 833 2556 867 -rect 2504 824 2556 833 -rect 4436 892 4488 944 -rect 4988 969 4997 1003 -rect 4997 969 5031 1003 -rect 5031 969 5040 1003 -rect 4988 960 5040 969 -rect 5908 960 5960 1012 -rect 7380 1003 7432 1012 -rect 4344 824 4396 876 -rect 5448 892 5500 944 -rect 7380 969 7389 1003 -rect 7389 969 7423 1003 -rect 7423 969 7432 1003 -rect 7380 960 7432 969 -rect 7564 960 7616 1012 -rect 4712 867 4764 876 -rect 4712 833 4721 867 -rect 4721 833 4755 867 -rect 4755 833 4764 867 -rect 4712 824 4764 833 -rect 4896 867 4948 876 -rect 4896 833 4905 867 -rect 4905 833 4939 867 -rect 4939 833 4948 867 -rect 5080 867 5132 876 -rect 4896 824 4948 833 -rect 5080 833 5089 867 -rect 5089 833 5123 867 -rect 5123 833 5132 867 -rect 5080 824 5132 833 -rect 7288 867 7340 876 -rect 5632 799 5684 808 -rect 5632 765 5641 799 -rect 5641 765 5675 799 -rect 5675 765 5684 799 -rect 5632 756 5684 765 -rect 7288 833 7297 867 -rect 7297 833 7331 867 -rect 7331 833 7340 867 -rect 7288 824 7340 833 -rect 7472 867 7524 876 -rect 7472 833 7481 867 -rect 7481 833 7515 867 -rect 7515 833 7524 867 -rect 7472 824 7524 833 -rect 7748 867 7800 876 -rect 7748 833 7757 867 -rect 7757 833 7791 867 -rect 7791 833 7800 867 -rect 7748 824 7800 833 -rect 8208 867 8260 876 -rect 8208 833 8217 867 -rect 8217 833 8251 867 -rect 8251 833 8260 867 -rect 8208 824 8260 833 -rect 8944 960 8996 1012 -rect 9404 1003 9456 1012 -rect 9404 969 9413 1003 -rect 9413 969 9447 1003 -rect 9447 969 9456 1003 -rect 9404 960 9456 969 -rect 8576 892 8628 944 -rect 8760 867 8812 876 -rect 8760 833 8769 867 -rect 8769 833 8803 867 -rect 8803 833 8812 867 -rect 8760 824 8812 833 -rect 9680 892 9732 944 -rect 11520 935 11572 944 -rect 11520 901 11529 935 -rect 11529 901 11563 935 -rect 11563 901 11572 935 -rect 11520 892 11572 901 -rect 12348 960 12400 1012 -rect 13544 960 13596 1012 -rect 16672 1003 16724 1012 -rect 9312 867 9364 876 -rect 9312 833 9321 867 -rect 9321 833 9355 867 -rect 9355 833 9364 867 -rect 9312 824 9364 833 -rect 10140 867 10192 876 -rect 5356 688 5408 740 -rect 2228 620 2280 672 -rect 4344 620 4396 672 -rect 8300 756 8352 808 -rect 10140 833 10149 867 -rect 10149 833 10183 867 -rect 10183 833 10192 867 -rect 10140 824 10192 833 -rect 10416 867 10468 876 -rect 10416 833 10425 867 -rect 10425 833 10459 867 -rect 10459 833 10468 867 -rect 10416 824 10468 833 -rect 10232 756 10284 808 -rect 11704 867 11756 876 -rect 11704 833 11713 867 -rect 11713 833 11747 867 -rect 11747 833 11756 867 -rect 11704 824 11756 833 -rect 11796 867 11848 876 -rect 11796 833 11805 867 -rect 11805 833 11839 867 -rect 11839 833 11848 867 -rect 11796 824 11848 833 -rect 12164 824 12216 876 -rect 12992 867 13044 876 -rect 12992 833 13001 867 -rect 13001 833 13035 867 -rect 13035 833 13044 867 -rect 12992 824 13044 833 -rect 14832 892 14884 944 -rect 16672 969 16681 1003 -rect 16681 969 16715 1003 -rect 16715 969 16724 1003 -rect 16672 960 16724 969 -rect 16948 960 17000 1012 -rect 17500 1003 17552 1012 -rect 17500 969 17509 1003 -rect 17509 969 17543 1003 -rect 17543 969 17552 1003 -rect 17500 960 17552 969 -rect 18236 960 18288 1012 -rect 13268 824 13320 876 -rect 13728 867 13780 876 -rect 13728 833 13737 867 -rect 13737 833 13771 867 -rect 13771 833 13780 867 -rect 13728 824 13780 833 -rect 17316 824 17368 876 -rect 18512 867 18564 876 -rect 18512 833 18521 867 -rect 18521 833 18555 867 -rect 18555 833 18564 867 -rect 18512 824 18564 833 -rect 9680 731 9732 740 -rect 9680 697 9689 731 -rect 9689 697 9723 731 -rect 9723 697 9732 731 -rect 9680 688 9732 697 -rect 13544 756 13596 808 -rect 14280 799 14332 808 -rect 14280 765 14289 799 -rect 14289 765 14323 799 -rect 14323 765 14332 799 -rect 14280 756 14332 765 -rect 14556 756 14608 808 -rect 16212 756 16264 808 -rect 17592 799 17644 808 -rect 17592 765 17601 799 -rect 17601 765 17635 799 -rect 17635 765 17644 799 -rect 17592 756 17644 765 +rect 2780 960 2832 1012 +rect 3424 960 3476 1012 +rect 5816 960 5868 1012 +rect 388 867 440 876 +rect 388 833 397 867 +rect 397 833 431 867 +rect 431 833 440 867 +rect 388 824 440 833 +rect 848 824 900 876 +rect 2228 892 2280 944 +rect 9128 960 9180 1012 +rect 9312 960 9364 1012 +rect 2596 824 2648 876 +rect 5172 824 5224 876 +rect 3608 756 3660 808 +rect 3792 799 3844 808 +rect 3792 765 3801 799 +rect 3801 765 3835 799 +rect 3835 765 3844 799 +rect 3792 756 3844 765 +rect 3976 799 4028 808 +rect 3976 765 3985 799 +rect 3985 765 4019 799 +rect 4019 765 4028 799 +rect 3976 756 4028 765 +rect 5264 799 5316 808 +rect 5264 765 5273 799 +rect 5273 765 5307 799 +rect 5307 765 5316 799 +rect 5264 756 5316 765 +rect 1308 620 1360 672 +rect 3884 620 3936 672 +rect 8392 892 8444 944 +rect 8852 935 8904 944 +rect 8852 901 8861 935 +rect 8861 901 8895 935 +rect 8895 901 8904 935 +rect 8852 892 8904 901 +rect 13728 960 13780 1012 +rect 12164 935 12216 944 +rect 7012 867 7064 876 +rect 7012 833 7021 867 +rect 7021 833 7055 867 +rect 7055 833 7064 867 +rect 7012 824 7064 833 +rect 7380 799 7432 808 +rect 7380 765 7389 799 +rect 7389 765 7423 799 +rect 7423 765 7432 799 +rect 7380 756 7432 765 +rect 8760 756 8812 808 +rect 9312 756 9364 808 +rect 12164 901 12173 935 +rect 12173 901 12207 935 +rect 12207 901 12216 935 +rect 12164 892 12216 901 +rect 12900 935 12952 944 +rect 12900 901 12909 935 +rect 12909 901 12943 935 +rect 12943 901 12952 935 +rect 12900 892 12952 901 +rect 10600 756 10652 808 +rect 6368 688 6420 740 +rect 7472 688 7524 740 +rect 12348 824 12400 876 +rect 12808 867 12860 876 +rect 12808 833 12817 867 +rect 12817 833 12851 867 +rect 12851 833 12860 867 +rect 13176 867 13228 876 +rect 12808 824 12860 833 +rect 13176 833 13185 867 +rect 13185 833 13219 867 +rect 13219 833 13228 867 +rect 13176 824 13228 833 +rect 13820 892 13872 944 +rect 14372 960 14424 1012 +rect 16396 1003 16448 1012 +rect 14464 867 14516 876 rect 11796 688 11848 740 -rect 12900 731 12952 740 -rect 12900 697 12909 731 -rect 12909 697 12943 731 -rect 12943 697 12952 731 -rect 12900 688 12952 697 -rect 14464 688 14516 740 -rect 9128 620 9180 672 -rect 9956 663 10008 672 -rect 9956 629 9965 663 -rect 9965 629 9999 663 -rect 9999 629 10008 663 -rect 9956 620 10008 629 -rect 16488 663 16540 672 -rect 16488 629 16497 663 -rect 16497 629 16531 663 -rect 16531 629 16540 663 -rect 16488 620 16540 629 +rect 8852 620 8904 672 +rect 11612 663 11664 672 +rect 11612 629 11621 663 +rect 11621 629 11655 663 +rect 11655 629 11664 663 +rect 11612 620 11664 629 +rect 11704 620 11756 672 +rect 14464 833 14473 867 +rect 14473 833 14507 867 +rect 14507 833 14516 867 +rect 14464 824 14516 833 +rect 15844 892 15896 944 +rect 15200 756 15252 808 +rect 16396 969 16405 1003 +rect 16405 969 16439 1003 +rect 16439 969 16448 1003 +rect 16396 960 16448 969 +rect 16488 960 16540 1012 +rect 17408 960 17460 1012 +rect 16580 867 16632 876 +rect 16580 833 16589 867 +rect 16589 833 16623 867 +rect 16623 833 16632 867 +rect 16580 824 16632 833 +rect 17224 867 17276 876 +rect 17224 833 17233 867 +rect 17233 833 17267 867 +rect 17267 833 17276 867 +rect 17224 824 17276 833 +rect 18328 824 18380 876 +rect 16948 756 17000 808 +rect 18512 799 18564 808 +rect 15660 688 15712 740 +rect 18512 765 18521 799 +rect 18521 765 18555 799 +rect 18555 765 18564 799 +rect 18512 756 18564 765 +rect 14740 620 14792 672 rect 3110 518 3162 570 rect 3174 518 3226 570 rect 3238 518 3290 570 @@ -12073,79 +12853,84 @@ rect 15574 518 15626 570 rect 15638 518 15690 570 rect 15702 518 15754 570 rect 15766 518 15818 570 -rect 5632 416 5684 468 -rect 7288 416 7340 468 -rect 8852 416 8904 468 -rect 13452 459 13504 468 -rect 13452 425 13461 459 -rect 13461 425 13495 459 -rect 13495 425 13504 459 -rect 13452 416 13504 425 -rect 13544 459 13596 468 -rect 13544 425 13553 459 -rect 13553 425 13587 459 -rect 13587 425 13596 459 -rect 13544 416 13596 425 -rect 15200 416 15252 468 -rect 16120 416 16172 468 -rect 17316 459 17368 468 -rect 17316 425 17325 459 -rect 17325 425 17359 459 -rect 17359 425 17368 459 -rect 17316 416 17368 425 -rect 12256 391 12308 400 -rect 12256 357 12265 391 -rect 12265 357 12299 391 -rect 12299 357 12308 391 -rect 12256 348 12308 357 -rect 16396 348 16448 400 -rect 5816 280 5868 332 -rect 5172 212 5224 264 -rect 5908 255 5960 264 -rect 5908 221 5917 255 -rect 5917 221 5951 255 -rect 5951 221 5960 255 -rect 5908 212 5960 221 -rect 9128 255 9180 264 -rect 4712 144 4764 196 -rect 9128 221 9137 255 -rect 9137 221 9171 255 -rect 9171 221 9180 255 -rect 9128 212 9180 221 -rect 9956 212 10008 264 -rect 11796 212 11848 264 -rect 13268 255 13320 264 -rect 13268 221 13277 255 -rect 13277 221 13311 255 -rect 13311 221 13320 255 -rect 13268 212 13320 221 -rect 16488 280 16540 332 -rect 13728 255 13780 264 -rect 9036 144 9088 196 -rect 11704 144 11756 196 -rect 12992 144 13044 196 -rect 13728 221 13737 255 -rect 13737 221 13771 255 -rect 13771 221 13780 255 -rect 13728 212 13780 221 -rect 15844 255 15896 264 -rect 15844 221 15853 255 -rect 15853 221 15887 255 -rect 15887 221 15896 255 -rect 15844 212 15896 221 -rect 16028 255 16080 264 -rect 16028 221 16037 255 -rect 16037 221 16071 255 -rect 16071 221 16080 255 -rect 16028 212 16080 221 -rect 16212 255 16264 264 -rect 16212 221 16221 255 -rect 16221 221 16255 255 -rect 16255 221 16264 255 -rect 16212 212 16264 221 +rect 1584 416 1636 468 +rect 2596 459 2648 468 +rect 2596 425 2605 459 +rect 2605 425 2639 459 +rect 2639 425 2648 459 +rect 2596 416 2648 425 +rect 3792 416 3844 468 +rect 5264 416 5316 468 +rect 6552 416 6604 468 +rect 3516 280 3568 332 +rect 5540 348 5592 400 +rect 6092 280 6144 332 +rect 7104 416 7156 468 +rect 8392 416 8444 468 +rect 8576 416 8628 468 +rect 10600 459 10652 468 +rect 10600 425 10609 459 +rect 10609 425 10643 459 +rect 10643 425 10652 459 +rect 10600 416 10652 425 +rect 13084 416 13136 468 +rect 15016 459 15068 468 +rect 15016 425 15025 459 +rect 15025 425 15059 459 +rect 15059 425 15068 459 +rect 15016 416 15068 425 +rect 16948 459 17000 468 +rect 16948 425 16957 459 +rect 16957 425 16991 459 +rect 16991 425 17000 459 +rect 16948 416 17000 425 +rect 17224 459 17276 468 +rect 17224 425 17233 459 +rect 17233 425 17267 459 +rect 17267 425 17276 459 +rect 17224 416 17276 425 +rect 18512 459 18564 468 +rect 18512 425 18521 459 +rect 18521 425 18555 459 +rect 18555 425 18564 459 +rect 18512 416 18564 425 +rect 9036 323 9088 332 +rect 9036 289 9045 323 +rect 9045 289 9079 323 +rect 9079 289 9088 323 +rect 9036 280 9088 289 +rect 1308 255 1360 264 +rect 1308 221 1317 255 +rect 1317 221 1351 255 +rect 1351 221 1360 255 +rect 1308 212 1360 221 +rect 2964 212 3016 264 +rect 7288 212 7340 264 +rect 8852 255 8904 264 +rect 8852 221 8861 255 +rect 8861 221 8895 255 +rect 8895 221 8904 255 +rect 8852 212 8904 221 +rect 9864 212 9916 264 +rect 11244 280 11296 332 +rect 6920 144 6972 196 +rect 10784 212 10836 264 +rect 11612 212 11664 264 +rect 12808 255 12860 264 +rect 12808 221 12817 255 +rect 12817 221 12851 255 +rect 12851 221 12860 255 +rect 12808 212 12860 221 +rect 13176 280 13228 332 +rect 16672 280 16724 332 +rect 14740 255 14792 264 +rect 14740 221 14749 255 +rect 14749 221 14783 255 +rect 14783 221 14792 255 +rect 14740 212 14792 221 +rect 16580 212 16632 264 rect 17868 212 17920 264 -rect 14464 144 14516 196 -rect 5356 76 5408 128 +rect 11336 144 11388 196 rect 4660 -26 4712 26 rect 4724 -26 4776 26 rect 4788 -26 4840 26 @@ -12178,84 +12963,53 @@ rect 7102 11200 7158 12000 rect 9954 11200 10010 12000 rect 12806 11200 12862 12000 rect 15396 11206 15608 11234 -rect 296 10668 348 10674 -rect 296 10610 348 10616 -rect 308 9518 336 10610 -rect 1308 10464 1360 10470 -rect 1308 10406 1360 10412 -rect 1320 10130 1348 10406 -rect 1308 10124 1360 10130 -rect 1308 10066 1360 10072 -rect 296 9512 348 9518 -rect 296 9454 348 9460 -rect 308 8430 336 9454 +rect 296 10056 348 10062 +rect 296 9998 348 10004 +rect 940 10056 992 10062 +rect 940 9998 992 10004 +rect 1216 10056 1268 10062 +rect 1216 9998 1268 10004 +rect 308 8430 336 9998 +rect 388 9988 440 9994 +rect 388 9930 440 9936 +rect 400 9586 428 9930 +rect 664 9920 716 9926 +rect 664 9862 716 9868 +rect 676 9586 704 9862 +rect 388 9580 440 9586 +rect 388 9522 440 9528 +rect 664 9580 716 9586 +rect 664 9522 716 9528 +rect 952 9178 980 9998 +rect 1228 9518 1256 9998 +rect 1216 9512 1268 9518 +rect 1216 9454 1268 9460 rect 1412 9178 1440 11200 -rect 4160 10668 4212 10674 -rect 4160 10610 4212 10616 -rect 3516 10600 3568 10606 -rect 3516 10542 3568 10548 -rect 2688 10532 2740 10538 -rect 2688 10474 2740 10480 -rect 2596 10124 2648 10130 -rect 2596 10066 2648 10072 -rect 2228 9920 2280 9926 -rect 2228 9862 2280 9868 -rect 1952 9648 2004 9654 -rect 1952 9590 2004 9596 +rect 2136 10668 2188 10674 +rect 2136 10610 2188 10616 +rect 3516 10668 3568 10674 +rect 3516 10610 3568 10616 +rect 2044 10600 2096 10606 +rect 2044 10542 2096 10548 +rect 1952 10464 2004 10470 +rect 1952 10406 2004 10412 +rect 1964 10130 1992 10406 +rect 1952 10124 2004 10130 +rect 1952 10066 2004 10072 +rect 1584 9988 1636 9994 +rect 1584 9930 1636 9936 +rect 1596 9586 1624 9930 +rect 1584 9580 1636 9586 +rect 1584 9522 1636 9528 +rect 940 9172 992 9178 +rect 940 9114 992 9120 rect 1400 9172 1452 9178 rect 1400 9114 1452 9120 rect 1412 8974 1440 9114 -rect 1964 9042 1992 9590 -rect 2136 9580 2188 9586 -rect 2136 9522 2188 9528 -rect 1952 9036 2004 9042 -rect 1952 8978 2004 8984 rect 1400 8968 1452 8974 rect 1400 8910 1452 8916 -rect 1964 8838 1992 8978 -rect 1952 8832 2004 8838 -rect 1952 8774 2004 8780 -rect 1964 8566 1992 8774 -rect 2148 8634 2176 9522 -rect 2136 8628 2188 8634 -rect 2136 8570 2188 8576 -rect 1952 8560 2004 8566 -rect 1952 8502 2004 8508 -rect 296 8424 348 8430 -rect 296 8366 348 8372 -rect 308 7342 336 8366 -rect 1964 7478 1992 8502 -rect 2136 8492 2188 8498 -rect 2136 8434 2188 8440 -rect 2148 8090 2176 8434 -rect 2240 8362 2268 9862 -rect 2502 9616 2558 9625 -rect 2332 9560 2502 9568 -rect 2332 9540 2504 9560 -rect 2228 8356 2280 8362 -rect 2228 8298 2280 8304 -rect 2136 8084 2188 8090 -rect 2136 8026 2188 8032 -rect 2148 7970 2176 8026 -rect 2056 7942 2176 7970 -rect 2240 7954 2268 8298 -rect 2228 7948 2280 7954 -rect 1952 7472 2004 7478 -rect 1952 7414 2004 7420 -rect 296 7336 348 7342 -rect 296 7278 348 7284 -rect 572 7336 624 7342 -rect 572 7278 624 7284 -rect 308 6254 336 7278 -rect 584 6730 612 7278 -rect 1964 7002 1992 7414 -rect 2056 7410 2084 7942 -rect 2228 7890 2280 7896 -rect 2332 7886 2360 9540 -rect 2556 9551 2558 9560 -rect 2504 9522 2556 9528 -rect 2608 9330 2636 10066 -rect 2700 9994 2728 10474 +rect 2056 8634 2084 10542 +rect 2148 9722 2176 10610 rect 3110 10364 3418 10384 rect 3110 10362 3116 10364 rect 3172 10362 3196 10364 @@ -12270,83 +13024,118 @@ rect 3252 10308 3276 10310 rect 3332 10308 3356 10310 rect 3412 10308 3418 10310 rect 3110 10288 3418 10308 -rect 3528 10266 3556 10542 +rect 3528 10266 3556 10610 +rect 3792 10600 3844 10606 +rect 3792 10542 3844 10548 +rect 3804 10266 3832 10542 rect 3516 10260 3568 10266 rect 3516 10202 3568 10208 -rect 4172 10130 4200 10610 -rect 4160 10124 4212 10130 -rect 4160 10066 4212 10072 -rect 2688 9988 2740 9994 -rect 2688 9930 2740 9936 -rect 4068 9988 4120 9994 -rect 4068 9930 4120 9936 -rect 4080 9654 4108 9930 -rect 4172 9722 4200 10066 -rect 4160 9716 4212 9722 -rect 4160 9658 4212 9664 +rect 3792 10260 3844 10266 +rect 3792 10202 3844 10208 +rect 2596 9920 2648 9926 +rect 2596 9862 2648 9868 +rect 2136 9716 2188 9722 +rect 2136 9658 2188 9664 +rect 2228 9648 2280 9654 +rect 2228 9590 2280 9596 +rect 2240 9382 2268 9590 +rect 2608 9586 2636 9862 +rect 2964 9716 3016 9722 +rect 2964 9658 3016 9664 rect 2688 9648 2740 9654 -rect 3056 9648 3108 9654 -rect 2740 9608 3056 9636 rect 2688 9590 2740 9596 -rect 2688 9376 2740 9382 -rect 2608 9324 2688 9330 -rect 2608 9318 2740 9324 -rect 2608 9302 2728 9318 -rect 2688 8968 2740 8974 -rect 2688 8910 2740 8916 -rect 2504 8832 2556 8838 -rect 2504 8774 2556 8780 -rect 2412 8424 2464 8430 -rect 2412 8366 2464 8372 -rect 2136 7880 2188 7886 -rect 2136 7822 2188 7828 -rect 2320 7880 2372 7886 -rect 2320 7822 2372 7828 -rect 2148 7546 2176 7822 -rect 2136 7540 2188 7546 -rect 2136 7482 2188 7488 +rect 2596 9580 2648 9586 +rect 2596 9522 2648 9528 +rect 2228 9376 2280 9382 +rect 2228 9318 2280 9324 +rect 2136 9036 2188 9042 +rect 2136 8978 2188 8984 +rect 2148 8838 2176 8978 +rect 2240 8974 2268 9318 +rect 2608 9110 2636 9522 +rect 2596 9104 2648 9110 +rect 2596 9046 2648 9052 +rect 2228 8968 2280 8974 +rect 2228 8910 2280 8916 +rect 2136 8832 2188 8838 +rect 2136 8774 2188 8780 +rect 2044 8628 2096 8634 +rect 2044 8570 2096 8576 +rect 2148 8498 2176 8774 +rect 2240 8566 2268 8910 +rect 2320 8900 2372 8906 +rect 2320 8842 2372 8848 +rect 2332 8634 2360 8842 +rect 2596 8832 2648 8838 +rect 2596 8774 2648 8780 +rect 2320 8628 2372 8634 +rect 2320 8570 2372 8576 +rect 2608 8566 2636 8774 +rect 2228 8560 2280 8566 +rect 2228 8502 2280 8508 +rect 2596 8560 2648 8566 +rect 2596 8502 2648 8508 +rect 2136 8492 2188 8498 +rect 2136 8434 2188 8440 +rect 296 8424 348 8430 +rect 296 8366 348 8372 +rect 572 8424 624 8430 +rect 572 8366 624 8372 +rect 308 6254 336 8366 +rect 584 8090 612 8366 +rect 2044 8288 2096 8294 +rect 2044 8230 2096 8236 +rect 572 8084 624 8090 +rect 572 8026 624 8032 +rect 1308 7948 1360 7954 +rect 1308 7890 1360 7896 +rect 1320 7546 1348 7890 +rect 1952 7880 2004 7886 +rect 1952 7822 2004 7828 +rect 1308 7540 1360 7546 +rect 1308 7482 1360 7488 +rect 1964 7478 1992 7822 +rect 1952 7472 2004 7478 +rect 1952 7414 2004 7420 +rect 2056 7410 2084 8230 +rect 2148 8090 2176 8434 +rect 2136 8084 2188 8090 +rect 2136 8026 2188 8032 +rect 2148 7410 2176 8026 rect 2044 7404 2096 7410 rect 2044 7346 2096 7352 -rect 1952 6996 2004 7002 -rect 1952 6938 2004 6944 -rect 572 6724 624 6730 -rect 572 6666 624 6672 -rect 1964 6458 1992 6938 -rect 2332 6934 2360 7822 -rect 2424 7546 2452 8366 -rect 2516 7954 2544 8774 -rect 2700 8498 2728 8910 +rect 2136 7404 2188 7410 +rect 2136 7346 2188 7352 +rect 572 6656 624 6662 +rect 572 6598 624 6604 +rect 584 6390 612 6598 +rect 2240 6390 2268 8502 +rect 2700 8498 2728 9590 +rect 2872 9580 2924 9586 +rect 2872 9522 2924 9528 +rect 2884 9450 2912 9522 +rect 2872 9444 2924 9450 +rect 2872 9386 2924 9392 +rect 2780 8900 2832 8906 +rect 2780 8842 2832 8848 +rect 2792 8566 2820 8842 +rect 2780 8560 2832 8566 +rect 2780 8502 2832 8508 rect 2688 8492 2740 8498 rect 2688 8434 2740 8440 -rect 2780 8492 2832 8498 -rect 2780 8434 2832 8440 -rect 2700 8090 2728 8434 -rect 2688 8084 2740 8090 -rect 2688 8026 2740 8032 -rect 2792 8022 2820 8434 -rect 2780 8016 2832 8022 -rect 2780 7958 2832 7964 -rect 2504 7948 2556 7954 -rect 2504 7890 2556 7896 -rect 2504 7744 2556 7750 -rect 2504 7686 2556 7692 -rect 2412 7540 2464 7546 -rect 2412 7482 2464 7488 -rect 2516 7410 2544 7686 -rect 2884 7478 2912 9608 -rect 4068 9648 4120 9654 -rect 3108 9608 3188 9636 -rect 3056 9590 3108 9596 -rect 3056 9512 3108 9518 -rect 2976 9472 3056 9500 -rect 2976 9178 3004 9472 -rect 3160 9489 3188 9608 -rect 4068 9590 4120 9596 -rect 3056 9454 3108 9460 -rect 3146 9480 3202 9489 -rect 3146 9415 3202 9424 -rect 3608 9444 3660 9450 -rect 3608 9386 3660 9392 +rect 2884 8430 2912 9386 +rect 2872 8424 2924 8430 +rect 2872 8366 2924 8372 +rect 2976 8294 3004 9658 +rect 3528 9586 3556 10202 +rect 3700 10056 3752 10062 +rect 3700 9998 3752 10004 +rect 3884 10056 3936 10062 +rect 3884 9998 3936 10004 +rect 3608 9920 3660 9926 +rect 3608 9862 3660 9868 +rect 3516 9580 3568 9586 +rect 3516 9522 3568 9528 rect 3110 9276 3418 9296 rect 3110 9274 3116 9276 rect 3172 9274 3196 9276 @@ -12361,23 +13150,31 @@ rect 3252 9220 3276 9222 rect 3332 9220 3356 9222 rect 3412 9220 3418 9222 rect 3110 9200 3418 9220 -rect 2964 9172 3016 9178 -rect 2964 9114 3016 9120 -rect 3620 8838 3648 9386 -rect 3608 8832 3660 8838 -rect 3608 8774 3660 8780 -rect 4160 8832 4212 8838 -rect 4160 8774 4212 8780 -rect 4172 8634 4200 8774 -rect 4160 8628 4212 8634 -rect 4160 8570 4212 8576 -rect 4068 8560 4120 8566 -rect 4068 8502 4120 8508 +rect 3620 8974 3648 9862 +rect 3712 9654 3740 9998 +rect 3700 9648 3752 9654 +rect 3700 9590 3752 9596 +rect 3896 9450 3924 9998 +rect 3884 9444 3936 9450 +rect 3884 9386 3936 9392 +rect 3976 9376 4028 9382 +rect 3976 9318 4028 9324 +rect 3700 9036 3752 9042 +rect 3700 8978 3752 8984 +rect 3608 8968 3660 8974 +rect 3608 8910 3660 8916 +rect 3712 8430 3740 8978 +rect 3700 8424 3752 8430 +rect 3700 8366 3752 8372 rect 2964 8288 3016 8294 rect 2964 8230 3016 8236 -rect 4080 8242 4108 8502 -rect 2976 7886 3004 8230 -rect 4080 8214 4200 8242 +rect 2320 8084 2372 8090 +rect 2320 8026 2372 8032 +rect 2504 8084 2556 8090 +rect 2504 8026 2556 8032 +rect 2332 7410 2360 8026 +rect 2516 7818 2544 8026 +rect 2976 7954 3004 8230 rect 3110 8188 3418 8208 rect 3110 8186 3116 8188 rect 3172 8186 3196 8188 @@ -12392,14 +13189,109 @@ rect 3252 8132 3276 8134 rect 3332 8132 3356 8134 rect 3412 8132 3418 8134 rect 3110 8112 3418 8132 -rect 3516 7948 3568 7954 -rect 3516 7890 3568 7896 -rect 2964 7880 3016 7886 -rect 2964 7822 3016 7828 -rect 2872 7472 2924 7478 -rect 2872 7414 2924 7420 -rect 3528 7410 3556 7890 -rect 4172 7750 4200 8214 +rect 3712 8022 3740 8366 +rect 3884 8356 3936 8362 +rect 3884 8298 3936 8304 +rect 3700 8016 3752 8022 +rect 3700 7958 3752 7964 +rect 2964 7948 3016 7954 +rect 2964 7890 3016 7896 +rect 3712 7886 3740 7958 +rect 3700 7880 3752 7886 +rect 3700 7822 3752 7828 +rect 2504 7812 2556 7818 +rect 2504 7754 2556 7760 +rect 2320 7404 2372 7410 +rect 2320 7346 2372 7352 +rect 2504 7404 2556 7410 +rect 2504 7346 2556 7352 +rect 2516 7154 2544 7346 +rect 2596 7336 2648 7342 +rect 2596 7278 2648 7284 +rect 2424 7126 2544 7154 +rect 2424 6798 2452 7126 +rect 2504 6860 2556 6866 +rect 2504 6802 2556 6808 +rect 2412 6792 2464 6798 +rect 2412 6734 2464 6740 +rect 2424 6458 2452 6734 +rect 2412 6452 2464 6458 +rect 2412 6394 2464 6400 +rect 572 6384 624 6390 +rect 572 6326 624 6332 +rect 2228 6384 2280 6390 +rect 2228 6326 2280 6332 +rect 296 6248 348 6254 +rect 296 6190 348 6196 +rect 308 5778 336 6190 +rect 2240 6118 2268 6326 +rect 2516 6186 2544 6802 +rect 2608 6458 2636 7278 +rect 2964 7200 3016 7206 +rect 2964 7142 3016 7148 +rect 2872 6792 2924 6798 +rect 2872 6734 2924 6740 +rect 2596 6452 2648 6458 +rect 2596 6394 2648 6400 +rect 2688 6316 2740 6322 +rect 2688 6258 2740 6264 +rect 2780 6316 2832 6322 +rect 2780 6258 2832 6264 +rect 2504 6180 2556 6186 +rect 2504 6122 2556 6128 +rect 2228 6112 2280 6118 +rect 2228 6054 2280 6060 +rect 296 5772 348 5778 +rect 296 5714 348 5720 +rect 1216 5636 1268 5642 +rect 1216 5578 1268 5584 +rect 1228 5370 1256 5578 +rect 2240 5574 2268 6054 +rect 2228 5568 2280 5574 +rect 2228 5510 2280 5516 +rect 1216 5364 1268 5370 +rect 1216 5306 1268 5312 +rect 2516 5302 2544 6122 +rect 2504 5296 2556 5302 +rect 2504 5238 2556 5244 +rect 2700 5166 2728 6258 +rect 2792 5370 2820 6258 +rect 2884 6254 2912 6734 +rect 2976 6662 3004 7142 +rect 3110 7100 3418 7120 +rect 3110 7098 3116 7100 +rect 3172 7098 3196 7100 +rect 3252 7098 3276 7100 +rect 3332 7098 3356 7100 +rect 3412 7098 3418 7100 +rect 3172 7046 3174 7098 +rect 3354 7046 3356 7098 +rect 3110 7044 3116 7046 +rect 3172 7044 3196 7046 +rect 3252 7044 3276 7046 +rect 3332 7044 3356 7046 +rect 3412 7044 3418 7046 +rect 3110 7024 3418 7044 +rect 3712 6866 3740 7822 +rect 3792 7744 3844 7750 +rect 3792 7686 3844 7692 +rect 3804 7478 3832 7686 +rect 3792 7472 3844 7478 +rect 3790 7440 3792 7449 +rect 3844 7440 3846 7449 +rect 3790 7375 3846 7384 +rect 3896 7342 3924 8298 +rect 3884 7336 3936 7342 +rect 3884 7278 3936 7284 +rect 3896 7002 3924 7278 +rect 3884 6996 3936 7002 +rect 3884 6938 3936 6944 +rect 3988 6866 4016 9318 +rect 4068 8900 4120 8906 +rect 4068 8842 4120 8848 +rect 4080 8362 4108 8842 +rect 4068 8356 4120 8362 +rect 4068 8298 4120 8304 rect 4264 8090 4292 11200 rect 4660 10908 4968 10928 rect 4660 10906 4666 10908 @@ -12462,52 +13354,18 @@ rect 14262 10852 14268 10854 rect 13960 10832 14268 10852 rect 7104 10804 7156 10810 rect 7104 10746 7156 10752 +rect 8852 10804 8904 10810 +rect 8852 10746 8904 10752 rect 9956 10804 10008 10810 rect 9956 10746 10008 10752 rect 12808 10804 12860 10810 rect 12808 10746 12860 10752 -rect 11336 10736 11388 10742 -rect 11336 10678 11388 10684 -rect 7656 10668 7708 10674 -rect 7656 10610 7708 10616 -rect 8944 10668 8996 10674 -rect 8944 10610 8996 10616 -rect 11244 10668 11296 10674 -rect 11244 10610 11296 10616 -rect 7012 10532 7064 10538 -rect 7012 10474 7064 10480 -rect 4436 10464 4488 10470 -rect 4436 10406 4488 10412 -rect 4344 10192 4396 10198 -rect 4344 10134 4396 10140 -rect 4356 9518 4384 10134 -rect 4344 9512 4396 9518 -rect 4344 9454 4396 9460 -rect 4448 8974 4476 10406 -rect 6210 10364 6518 10384 -rect 6210 10362 6216 10364 -rect 6272 10362 6296 10364 -rect 6352 10362 6376 10364 -rect 6432 10362 6456 10364 -rect 6512 10362 6518 10364 -rect 6272 10310 6274 10362 -rect 6454 10310 6456 10362 -rect 6210 10308 6216 10310 -rect 6272 10308 6296 10310 -rect 6352 10308 6376 10310 -rect 6432 10308 6456 10310 -rect 6512 10308 6518 10310 -rect 6210 10288 6518 10308 -rect 4896 10192 4948 10198 -rect 4896 10134 4948 10140 -rect 4908 10062 4936 10134 -rect 5448 10124 5500 10130 -rect 5368 10084 5448 10112 -rect 4896 10056 4948 10062 -rect 4896 9998 4948 10004 -rect 4528 9920 4580 9926 -rect 4528 9862 4580 9868 -rect 4540 9586 4568 9862 +rect 6092 10668 6144 10674 +rect 6092 10610 6144 10616 +rect 5264 10464 5316 10470 +rect 5264 10406 5316 10412 +rect 6000 10464 6052 10470 +rect 6000 10406 6052 10412 rect 4660 9820 4968 9840 rect 4660 9818 4666 9820 rect 4722 9818 4746 9820 @@ -12522,142 +13380,24 @@ rect 4802 9764 4826 9766 rect 4882 9764 4906 9766 rect 4962 9764 4968 9766 rect 4660 9744 4968 9764 -rect 5368 9654 5396 10084 -rect 5448 10066 5500 10072 -rect 6368 10124 6420 10130 -rect 6368 10066 6420 10072 -rect 5540 10056 5592 10062 -rect 5540 9998 5592 10004 -rect 6184 10056 6236 10062 -rect 6184 9998 6236 10004 -rect 5356 9648 5408 9654 -rect 5552 9625 5580 9998 -rect 5724 9920 5776 9926 -rect 5724 9862 5776 9868 -rect 5736 9654 5764 9862 -rect 6196 9654 6224 9998 -rect 6380 9654 6408 10066 -rect 6460 10056 6512 10062 -rect 6460 9998 6512 10004 -rect 6472 9722 6500 9998 -rect 7024 9994 7052 10474 -rect 7668 10266 7696 10610 -rect 8760 10600 8812 10606 -rect 8760 10542 8812 10548 -rect 8668 10464 8720 10470 -rect 8668 10406 8720 10412 -rect 7656 10260 7708 10266 -rect 7656 10202 7708 10208 -rect 8680 10062 8708 10406 -rect 8772 10198 8800 10542 -rect 8760 10192 8812 10198 -rect 8760 10134 8812 10140 -rect 8668 10056 8720 10062 -rect 8668 9998 8720 10004 -rect 7012 9988 7064 9994 -rect 7012 9930 7064 9936 -rect 7656 9920 7708 9926 -rect 7656 9862 7708 9868 -rect 8484 9920 8536 9926 -rect 8484 9862 8536 9868 -rect 6460 9716 6512 9722 -rect 6460 9658 6512 9664 -rect 5724 9648 5776 9654 -rect 5356 9590 5408 9596 -rect 5538 9616 5594 9625 -rect 4528 9580 4580 9586 -rect 4528 9522 4580 9528 -rect 5448 9580 5500 9586 -rect 5724 9590 5776 9596 -rect 6184 9648 6236 9654 -rect 6184 9590 6236 9596 -rect 6368 9648 6420 9654 -rect 6368 9590 6420 9596 -rect 7668 9586 7696 9862 -rect 7760 9820 8068 9840 -rect 7760 9818 7766 9820 -rect 7822 9818 7846 9820 -rect 7902 9818 7926 9820 -rect 7982 9818 8006 9820 -rect 8062 9818 8068 9820 -rect 7822 9766 7824 9818 -rect 8004 9766 8006 9818 -rect 7760 9764 7766 9766 -rect 7822 9764 7846 9766 -rect 7902 9764 7926 9766 -rect 7982 9764 8006 9766 -rect 8062 9764 8068 9766 -rect 7760 9744 8068 9764 -rect 8496 9654 8524 9862 -rect 8956 9722 8984 10610 -rect 11060 10600 11112 10606 -rect 11060 10542 11112 10548 -rect 10692 10532 10744 10538 -rect 10692 10474 10744 10480 -rect 9220 10464 9272 10470 -rect 9220 10406 9272 10412 -rect 9232 9994 9260 10406 -rect 9310 10364 9618 10384 -rect 9310 10362 9316 10364 -rect 9372 10362 9396 10364 -rect 9452 10362 9476 10364 -rect 9532 10362 9556 10364 -rect 9612 10362 9618 10364 -rect 9372 10310 9374 10362 -rect 9554 10310 9556 10362 -rect 9310 10308 9316 10310 -rect 9372 10308 9396 10310 -rect 9452 10308 9476 10310 -rect 9532 10308 9556 10310 -rect 9612 10308 9618 10310 -rect 9310 10288 9618 10308 -rect 10704 10198 10732 10474 -rect 10692 10192 10744 10198 -rect 10692 10134 10744 10140 -rect 9956 10124 10008 10130 -rect 9956 10066 10008 10072 -rect 9220 9988 9272 9994 -rect 9220 9930 9272 9936 -rect 9680 9988 9732 9994 -rect 9680 9930 9732 9936 -rect 8944 9716 8996 9722 -rect 8944 9658 8996 9664 -rect 9692 9654 9720 9930 -rect 9864 9920 9916 9926 -rect 9864 9862 9916 9868 -rect 8484 9648 8536 9654 -rect 8484 9590 8536 9596 -rect 9680 9648 9732 9654 -rect 9680 9590 9732 9596 -rect 5538 9551 5594 9560 -rect 6092 9580 6144 9586 -rect 5448 9522 5500 9528 -rect 6092 9522 6144 9528 -rect 7656 9580 7708 9586 -rect 7656 9522 7708 9528 -rect 4540 9042 4568 9522 -rect 5264 9376 5316 9382 -rect 5264 9318 5316 9324 -rect 4528 9036 4580 9042 -rect 4528 8978 4580 8984 -rect 4436 8968 4488 8974 -rect 4436 8910 4488 8916 -rect 4344 8900 4396 8906 -rect 4344 8842 4396 8848 -rect 4356 8634 4384 8842 -rect 4436 8832 4488 8838 -rect 4436 8774 4488 8780 -rect 4344 8628 4396 8634 -rect 4344 8570 4396 8576 -rect 4448 8566 4476 8774 -rect 4436 8560 4488 8566 -rect 4436 8502 4488 8508 -rect 4540 8498 4568 8978 -rect 5276 8974 5304 9318 -rect 4988 8968 5040 8974 -rect 4988 8910 5040 8916 -rect 5264 8968 5316 8974 -rect 5264 8910 5316 8916 +rect 5276 9722 5304 10406 +rect 6012 10130 6040 10406 +rect 6000 10124 6052 10130 +rect 6000 10066 6052 10072 +rect 5264 9716 5316 9722 +rect 5264 9658 5316 9664 +rect 5632 9648 5684 9654 +rect 5684 9608 5764 9636 +rect 5632 9590 5684 9596 +rect 5448 9512 5500 9518 +rect 5448 9454 5500 9460 +rect 5172 9444 5224 9450 +rect 5172 9386 5224 9392 +rect 4896 9376 4948 9382 +rect 4896 9318 4948 9324 +rect 4908 8974 4936 9318 +rect 4896 8968 4948 8974 +rect 4896 8910 4948 8916 rect 4660 8732 4968 8752 rect 4660 8730 4666 8732 rect 4722 8730 4746 8732 @@ -12672,35 +13412,25 @@ rect 4802 8676 4826 8678 rect 4882 8676 4906 8678 rect 4962 8676 4968 8678 rect 4660 8656 4968 8676 -rect 4528 8492 4580 8498 -rect 4528 8434 4580 8440 -rect 5000 8430 5028 8910 -rect 5264 8832 5316 8838 -rect 5264 8774 5316 8780 -rect 5276 8634 5304 8774 -rect 5264 8628 5316 8634 -rect 5264 8570 5316 8576 -rect 5356 8560 5408 8566 -rect 5356 8502 5408 8508 -rect 4988 8424 5040 8430 -rect 4988 8366 5040 8372 +rect 5184 8498 5212 9386 +rect 5460 8906 5488 9454 +rect 5540 9376 5592 9382 +rect 5540 9318 5592 9324 +rect 5552 8974 5580 9318 +rect 5540 8968 5592 8974 +rect 5540 8910 5592 8916 +rect 5632 8968 5684 8974 +rect 5632 8910 5684 8916 +rect 5448 8900 5500 8906 +rect 5448 8842 5500 8848 +rect 5540 8560 5592 8566 +rect 5540 8502 5592 8508 +rect 5172 8492 5224 8498 +rect 5172 8434 5224 8440 +rect 5172 8288 5224 8294 +rect 5172 8230 5224 8236 rect 4252 8084 4304 8090 rect 4252 8026 4304 8032 -rect 5000 7886 5028 8366 -rect 5172 8356 5224 8362 -rect 5172 8298 5224 8304 -rect 5080 8016 5132 8022 -rect 5080 7958 5132 7964 -rect 4988 7880 5040 7886 -rect 4988 7822 5040 7828 -rect 4160 7744 4212 7750 -rect 4160 7686 4212 7692 -rect 2504 7404 2556 7410 -rect 2504 7346 2556 7352 -rect 3516 7404 3568 7410 -rect 3516 7346 3568 7352 -rect 4068 7404 4120 7410 -rect 4172 7392 4200 7686 rect 4660 7644 4968 7664 rect 4660 7642 4666 7644 rect 4722 7642 4746 7644 @@ -12715,167 +13445,99 @@ rect 4802 7588 4826 7590 rect 4882 7588 4906 7590 rect 4962 7588 4968 7590 rect 4660 7568 4968 7588 -rect 5000 7528 5028 7822 -rect 4908 7500 5028 7528 -rect 4908 7410 4936 7500 -rect 4252 7404 4304 7410 -rect 4172 7364 4252 7392 +rect 4802 7440 4858 7449 +rect 4068 7404 4120 7410 rect 4068 7346 4120 7352 -rect 4252 7346 4304 7352 +rect 4344 7404 4396 7410 +rect 5184 7410 5212 8230 +rect 5552 8022 5580 8502 +rect 5540 8016 5592 8022 +rect 5540 7958 5592 7964 +rect 4802 7375 4858 7384 rect 4896 7404 4948 7410 +rect 4344 7346 4396 7352 +rect 3700 6860 3752 6866 +rect 3700 6802 3752 6808 +rect 3976 6860 4028 6866 +rect 3976 6802 4028 6808 +rect 2964 6656 3016 6662 +rect 2964 6598 3016 6604 +rect 3712 6254 3740 6802 +rect 4080 6322 4108 7346 +rect 4252 7200 4304 7206 +rect 4252 7142 4304 7148 +rect 4264 6458 4292 7142 +rect 4356 6730 4384 7346 +rect 4816 7342 4844 7375 rect 4896 7346 4948 7352 -rect 4988 7404 5040 7410 -rect 5092 7392 5120 7958 -rect 5040 7364 5120 7392 -rect 4988 7346 5040 7352 -rect 2964 7200 3016 7206 -rect 2964 7142 3016 7148 -rect 2320 6928 2372 6934 -rect 2320 6870 2372 6876 -rect 2976 6798 3004 7142 -rect 3110 7100 3418 7120 -rect 3110 7098 3116 7100 -rect 3172 7098 3196 7100 -rect 3252 7098 3276 7100 -rect 3332 7098 3356 7100 -rect 3412 7098 3418 7100 -rect 3172 7046 3174 7098 -rect 3354 7046 3356 7098 -rect 3110 7044 3116 7046 -rect 3172 7044 3196 7046 -rect 3252 7044 3276 7046 -rect 3332 7044 3356 7046 -rect 3412 7044 3418 7046 -rect 3110 7024 3418 7044 -rect 3528 6984 3556 7346 -rect 3436 6956 3556 6984 -rect 2872 6792 2924 6798 -rect 2872 6734 2924 6740 -rect 2964 6792 3016 6798 -rect 2964 6734 3016 6740 -rect 2780 6724 2832 6730 -rect 2780 6666 2832 6672 -rect 2596 6656 2648 6662 -rect 2596 6598 2648 6604 -rect 1952 6452 2004 6458 -rect 1952 6394 2004 6400 -rect 2504 6452 2556 6458 -rect 2504 6394 2556 6400 -rect 296 6248 348 6254 -rect 296 6190 348 6196 -rect 572 6248 624 6254 -rect 572 6190 624 6196 -rect 308 5778 336 6190 -rect 584 5914 612 6190 -rect 572 5908 624 5914 -rect 572 5850 624 5856 -rect 296 5772 348 5778 -rect 296 5714 348 5720 -rect 572 5636 624 5642 -rect 572 5578 624 5584 -rect 584 5234 612 5578 -rect 2516 5370 2544 6394 -rect 2608 6322 2636 6598 -rect 2792 6458 2820 6666 -rect 2780 6452 2832 6458 -rect 2884 6440 2912 6734 -rect 2964 6452 3016 6458 -rect 2884 6412 2964 6440 -rect 2780 6394 2832 6400 -rect 2964 6394 3016 6400 -rect 2596 6316 2648 6322 -rect 2596 6258 2648 6264 -rect 2504 5364 2556 5370 -rect 2504 5306 2556 5312 -rect 572 5228 624 5234 -rect 572 5170 624 5176 -rect 2516 5030 2544 5306 -rect 2608 5234 2636 6258 -rect 2792 5710 2820 6394 -rect 3436 6361 3464 6956 -rect 4080 6866 4108 7346 -rect 4264 6934 4292 7346 -rect 4252 6928 4304 6934 -rect 4252 6870 4304 6876 -rect 4068 6860 4120 6866 -rect 4068 6802 4120 6808 -rect 4908 6798 4936 7346 -rect 5092 6798 5120 7364 -rect 4896 6792 4948 6798 -rect 4896 6734 4948 6740 -rect 5080 6792 5132 6798 -rect 5080 6734 5132 6740 -rect 4660 6556 4968 6576 -rect 4660 6554 4666 6556 -rect 4722 6554 4746 6556 -rect 4802 6554 4826 6556 -rect 4882 6554 4906 6556 -rect 4962 6554 4968 6556 -rect 4722 6502 4724 6554 -rect 4904 6502 4906 6554 -rect 4660 6500 4666 6502 -rect 4722 6500 4746 6502 -rect 4802 6500 4826 6502 -rect 4882 6500 4906 6502 -rect 4962 6500 4968 6502 -rect 4660 6480 4968 6500 -rect 3700 6452 3752 6458 -rect 3700 6394 3752 6400 -rect 3516 6384 3568 6390 -rect 3422 6352 3478 6361 -rect 3516 6326 3568 6332 -rect 3422 6287 3424 6296 -rect 3476 6287 3478 6296 -rect 3424 6258 3476 6264 -rect 2964 6248 3016 6254 -rect 2964 6190 3016 6196 -rect 2872 6112 2924 6118 -rect 2872 6054 2924 6060 -rect 2780 5704 2832 5710 -rect 2780 5646 2832 5652 -rect 2884 5574 2912 6054 -rect 2976 5710 3004 6190 -rect 3110 6012 3418 6032 -rect 3110 6010 3116 6012 -rect 3172 6010 3196 6012 -rect 3252 6010 3276 6012 -rect 3332 6010 3356 6012 -rect 3412 6010 3418 6012 -rect 3172 5958 3174 6010 -rect 3354 5958 3356 6010 -rect 3110 5956 3116 5958 -rect 3172 5956 3196 5958 -rect 3252 5956 3276 5958 -rect 3332 5956 3356 5958 -rect 3412 5956 3418 5958 -rect 3110 5936 3418 5956 -rect 3424 5840 3476 5846 -rect 3528 5794 3556 6326 -rect 3476 5788 3556 5794 -rect 3424 5782 3556 5788 -rect 3436 5766 3556 5782 -rect 3712 5778 3740 6394 -rect 5184 6361 5212 8298 -rect 5368 8022 5396 8502 -rect 5356 8016 5408 8022 -rect 5356 7958 5408 7964 -rect 5264 7948 5316 7954 -rect 5264 7890 5316 7896 -rect 5276 7478 5304 7890 -rect 5356 7812 5408 7818 -rect 5356 7754 5408 7760 -rect 5264 7472 5316 7478 -rect 5264 7414 5316 7420 -rect 5276 7018 5304 7414 -rect 5368 7410 5396 7754 -rect 5460 7546 5488 9522 -rect 6000 9512 6052 9518 -rect 5998 9480 6000 9489 -rect 6052 9480 6054 9489 -rect 5998 9415 6054 9424 -rect 6104 8974 6132 9522 -rect 7564 9512 7616 9518 -rect 7564 9454 7616 9460 +rect 5172 7404 5224 7410 +rect 5172 7346 5224 7352 +rect 5356 7404 5408 7410 +rect 5356 7346 5408 7352 +rect 4804 7336 4856 7342 +rect 4804 7278 4856 7284 +rect 4436 6928 4488 6934 +rect 4436 6870 4488 6876 +rect 4344 6724 4396 6730 +rect 4344 6666 4396 6672 +rect 4252 6452 4304 6458 +rect 4252 6394 4304 6400 +rect 4356 6390 4384 6666 +rect 4344 6384 4396 6390 +rect 4344 6326 4396 6332 +rect 4068 6316 4120 6322 +rect 4068 6258 4120 6264 +rect 2872 6248 2924 6254 +rect 2872 6190 2924 6196 +rect 3700 6248 3752 6254 +rect 3700 6190 3752 6196 +rect 2884 5914 2912 6190 +rect 4448 6118 4476 6870 +rect 4816 6730 4844 7278 +rect 4908 6866 4936 7346 +rect 5184 6934 5212 7346 +rect 5172 6928 5224 6934 +rect 5172 6870 5224 6876 +rect 4896 6860 4948 6866 +rect 4896 6802 4948 6808 +rect 5368 6798 5396 7346 +rect 5552 7342 5580 7958 +rect 5644 7546 5672 8910 +rect 5736 8838 5764 9608 +rect 6000 9580 6052 9586 +rect 6000 9522 6052 9528 +rect 6012 9178 6040 9522 +rect 6104 9518 6132 10610 +rect 7656 10464 7708 10470 +rect 7656 10406 7708 10412 +rect 6210 10364 6518 10384 +rect 6210 10362 6216 10364 +rect 6272 10362 6296 10364 +rect 6352 10362 6376 10364 +rect 6432 10362 6456 10364 +rect 6512 10362 6518 10364 +rect 6272 10310 6274 10362 +rect 6454 10310 6456 10362 +rect 6210 10308 6216 10310 +rect 6272 10308 6296 10310 +rect 6352 10308 6376 10310 +rect 6432 10308 6456 10310 +rect 6512 10308 6518 10310 +rect 6210 10288 6518 10308 +rect 6920 10260 6972 10266 +rect 6920 10202 6972 10208 +rect 6460 10056 6512 10062 +rect 6460 9998 6512 10004 +rect 6472 9722 6500 9998 +rect 6460 9716 6512 9722 +rect 6460 9658 6512 9664 +rect 6644 9648 6696 9654 +rect 6644 9590 6696 9596 +rect 6092 9512 6144 9518 +rect 6092 9454 6144 9460 +rect 6000 9172 6052 9178 +rect 6000 9114 6052 9120 +rect 6104 8906 6132 9454 rect 6210 9276 6518 9296 rect 6210 9274 6216 9276 rect 6272 9274 6296 9276 @@ -12890,16 +13552,75 @@ rect 6352 9220 6376 9222 rect 6432 9220 6456 9222 rect 6512 9220 6518 9222 rect 6210 9200 6518 9220 -rect 6092 8968 6144 8974 -rect 6092 8910 6144 8916 -rect 6104 8294 6132 8910 -rect 7472 8560 7524 8566 -rect 7472 8502 7524 8508 -rect 7288 8492 7340 8498 -rect 7288 8434 7340 8440 -rect 6092 8288 6144 8294 -rect 6092 8230 6144 8236 -rect 6104 7886 6132 8230 +rect 6656 9110 6684 9590 +rect 6932 9586 6960 10202 +rect 7472 9988 7524 9994 +rect 7472 9930 7524 9936 +rect 7484 9586 7512 9930 +rect 6920 9580 6972 9586 +rect 7472 9580 7524 9586 +rect 6920 9522 6972 9528 +rect 7392 9540 7472 9568 +rect 6644 9104 6696 9110 +rect 6644 9046 6696 9052 +rect 7196 9104 7248 9110 +rect 7196 9046 7248 9052 +rect 6092 8900 6144 8906 +rect 6092 8842 6144 8848 +rect 6552 8900 6604 8906 +rect 6552 8842 6604 8848 +rect 5724 8832 5776 8838 +rect 5724 8774 5776 8780 +rect 5736 8498 5764 8774 +rect 5724 8492 5776 8498 +rect 5724 8434 5776 8440 +rect 5632 7540 5684 7546 +rect 5632 7482 5684 7488 +rect 5540 7336 5592 7342 +rect 5540 7278 5592 7284 +rect 5356 6792 5408 6798 +rect 5356 6734 5408 6740 +rect 4528 6724 4580 6730 +rect 4528 6666 4580 6672 +rect 4804 6724 4856 6730 +rect 4804 6666 4856 6672 +rect 4540 6254 4568 6666 +rect 4660 6556 4968 6576 +rect 4660 6554 4666 6556 +rect 4722 6554 4746 6556 +rect 4802 6554 4826 6556 +rect 4882 6554 4906 6556 +rect 4962 6554 4968 6556 +rect 4722 6502 4724 6554 +rect 4904 6502 4906 6554 +rect 4660 6500 4666 6502 +rect 4722 6500 4746 6502 +rect 4802 6500 4826 6502 +rect 4882 6500 4906 6502 +rect 4962 6500 4968 6502 +rect 4660 6480 4968 6500 +rect 4528 6248 4580 6254 +rect 4528 6190 4580 6196 +rect 5644 6186 5672 7482 +rect 5736 7410 5764 8434 +rect 5816 8424 5868 8430 +rect 5816 8366 5868 8372 +rect 5724 7404 5776 7410 +rect 5724 7346 5776 7352 +rect 5724 6724 5776 6730 +rect 5828 6712 5856 8366 +rect 5908 8288 5960 8294 +rect 5908 8230 5960 8236 +rect 5920 7410 5948 8230 +rect 6104 7886 6132 8842 +rect 6564 8566 6592 8842 +rect 6656 8634 6684 9046 +rect 7104 8900 7156 8906 +rect 7104 8842 7156 8848 +rect 6644 8628 6696 8634 +rect 6644 8570 6696 8576 +rect 6552 8560 6604 8566 +rect 6552 8502 6604 8508 rect 6210 8188 6518 8208 rect 6210 8186 6216 8188 rect 6272 8186 6296 8188 @@ -12914,203 +13635,58 @@ rect 6352 8132 6376 8134 rect 6432 8132 6456 8134 rect 6512 8132 6518 8134 rect 6210 8112 6518 8132 -rect 7300 7954 7328 8434 -rect 6644 7948 6696 7954 -rect 6644 7890 6696 7896 -rect 7288 7948 7340 7954 -rect 7288 7890 7340 7896 rect 6092 7880 6144 7886 rect 6092 7822 6144 7828 -rect 5448 7540 5500 7546 -rect 5448 7482 5500 7488 -rect 5356 7404 5408 7410 -rect 5356 7346 5408 7352 -rect 5276 6990 5396 7018 -rect 5264 6928 5316 6934 -rect 5264 6870 5316 6876 -rect 5276 6458 5304 6870 -rect 5368 6866 5396 6990 -rect 5356 6860 5408 6866 -rect 5356 6802 5408 6808 -rect 5264 6452 5316 6458 -rect 5264 6394 5316 6400 -rect 5170 6352 5226 6361 -rect 5170 6287 5226 6296 -rect 5184 6254 5212 6287 -rect 5460 6254 5488 7482 -rect 5632 7336 5684 7342 -rect 5632 7278 5684 7284 -rect 5908 7336 5960 7342 -rect 5908 7278 5960 7284 -rect 5540 7200 5592 7206 -rect 5540 7142 5592 7148 -rect 5172 6248 5224 6254 -rect 5172 6190 5224 6196 -rect 5448 6248 5500 6254 -rect 5448 6190 5500 6196 -rect 5552 5914 5580 7142 -rect 5644 7018 5672 7278 -rect 5816 7268 5868 7274 -rect 5816 7210 5868 7216 -rect 5644 6990 5764 7018 -rect 5736 6798 5764 6990 -rect 5828 6934 5856 7210 -rect 5816 6928 5868 6934 -rect 5816 6870 5868 6876 -rect 5632 6792 5684 6798 -rect 5632 6734 5684 6740 -rect 5724 6792 5776 6798 -rect 5724 6734 5776 6740 -rect 5644 6322 5672 6734 -rect 5736 6458 5764 6734 -rect 5920 6458 5948 7278 -rect 5724 6452 5776 6458 -rect 5724 6394 5776 6400 -rect 5908 6452 5960 6458 -rect 5908 6394 5960 6400 -rect 5632 6316 5684 6322 -rect 5632 6258 5684 6264 -rect 6000 6316 6052 6322 -rect 6000 6258 6052 6264 -rect 6012 5914 6040 6258 -rect 5540 5908 5592 5914 -rect 5540 5850 5592 5856 -rect 6000 5908 6052 5914 -rect 6000 5850 6052 5856 -rect 6104 5778 6132 7822 -rect 6552 7812 6604 7818 -rect 6552 7754 6604 7760 -rect 6210 7100 6518 7120 -rect 6210 7098 6216 7100 -rect 6272 7098 6296 7100 -rect 6352 7098 6376 7100 -rect 6432 7098 6456 7100 -rect 6512 7098 6518 7100 -rect 6272 7046 6274 7098 -rect 6454 7046 6456 7098 -rect 6210 7044 6216 7046 -rect 6272 7044 6296 7046 -rect 6352 7044 6376 7046 -rect 6432 7044 6456 7046 -rect 6512 7044 6518 7046 -rect 6210 7024 6518 7044 -rect 6564 6390 6592 7754 -rect 6656 7342 6684 7890 -rect 7484 7886 7512 8502 -rect 7472 7880 7524 7886 -rect 7472 7822 7524 7828 -rect 7196 7540 7248 7546 -rect 7196 7482 7248 7488 -rect 6920 7404 6972 7410 -rect 6920 7346 6972 7352 -rect 7104 7404 7156 7410 -rect 7104 7346 7156 7352 -rect 6644 7336 6696 7342 -rect 6644 7278 6696 7284 -rect 6656 6458 6684 7278 -rect 6932 7002 6960 7346 -rect 6920 6996 6972 7002 -rect 6920 6938 6972 6944 -rect 6828 6656 6880 6662 -rect 6828 6598 6880 6604 -rect 6644 6452 6696 6458 -rect 6644 6394 6696 6400 -rect 6552 6384 6604 6390 -rect 6552 6326 6604 6332 -rect 6210 6012 6518 6032 -rect 6210 6010 6216 6012 -rect 6272 6010 6296 6012 -rect 6352 6010 6376 6012 -rect 6432 6010 6456 6012 -rect 6512 6010 6518 6012 -rect 6272 5958 6274 6010 -rect 6454 5958 6456 6010 -rect 6210 5956 6216 5958 -rect 6272 5956 6296 5958 -rect 6352 5956 6376 5958 -rect 6432 5956 6456 5958 -rect 6512 5956 6518 5958 -rect 6210 5936 6518 5956 -rect 6840 5778 6868 6598 -rect 7116 6186 7144 7346 -rect 7208 6662 7236 7482 -rect 7380 7200 7432 7206 -rect 7380 7142 7432 7148 -rect 7392 6866 7420 7142 -rect 7484 7002 7512 7822 -rect 7472 6996 7524 7002 -rect 7472 6938 7524 6944 -rect 7380 6860 7432 6866 -rect 7380 6802 7432 6808 -rect 7472 6860 7524 6866 -rect 7472 6802 7524 6808 -rect 7484 6746 7512 6802 -rect 7392 6718 7512 6746 -rect 7196 6656 7248 6662 -rect 7196 6598 7248 6604 -rect 7392 6254 7420 6718 -rect 7472 6656 7524 6662 -rect 7472 6598 7524 6604 -rect 7484 6458 7512 6598 -rect 7472 6452 7524 6458 -rect 7472 6394 7524 6400 -rect 7380 6248 7432 6254 -rect 7380 6190 7432 6196 -rect 7104 6180 7156 6186 -rect 7104 6122 7156 6128 -rect 3700 5772 3752 5778 -rect 3700 5714 3752 5720 -rect 6092 5772 6144 5778 -rect 6092 5714 6144 5720 -rect 6828 5772 6880 5778 -rect 6828 5714 6880 5720 -rect 2964 5704 3016 5710 -rect 2964 5646 3016 5652 -rect 3976 5704 4028 5710 -rect 3976 5646 4028 5652 -rect 5448 5704 5500 5710 -rect 5448 5646 5500 5652 -rect 2872 5568 2924 5574 -rect 2872 5510 2924 5516 -rect 3700 5568 3752 5574 -rect 3700 5510 3752 5516 -rect 2596 5228 2648 5234 -rect 2596 5170 2648 5176 -rect 3712 5166 3740 5510 -rect 3988 5370 4016 5646 -rect 4660 5468 4968 5488 -rect 4660 5466 4666 5468 -rect 4722 5466 4746 5468 -rect 4802 5466 4826 5468 -rect 4882 5466 4906 5468 -rect 4962 5466 4968 5468 -rect 4722 5414 4724 5466 -rect 4904 5414 4906 5466 -rect 4660 5412 4666 5414 -rect 4722 5412 4746 5414 -rect 4802 5412 4826 5414 -rect 4882 5412 4906 5414 -rect 4962 5412 4968 5414 -rect 4660 5392 4968 5412 -rect 3976 5364 4028 5370 -rect 3976 5306 4028 5312 -rect 3700 5160 3752 5166 -rect 3700 5102 3752 5108 -rect 5460 5030 5488 5646 -rect 7012 5636 7064 5642 -rect 7012 5578 7064 5584 -rect 7024 5302 7052 5578 -rect 7012 5296 7064 5302 -rect 7012 5238 7064 5244 -rect 6000 5228 6052 5234 -rect 6000 5170 6052 5176 -rect 2504 5024 2556 5030 -rect 2504 4966 2556 4972 -rect 4436 5024 4488 5030 -rect 4436 4966 4488 4972 -rect 5448 5024 5500 5030 -rect 5448 4966 5500 4972 -rect 2516 4282 2544 4966 +rect 5908 7404 5960 7410 +rect 5908 7346 5960 7352 +rect 6000 7336 6052 7342 +rect 6000 7278 6052 7284 +rect 6012 6798 6040 7278 +rect 6000 6792 6052 6798 +rect 6000 6734 6052 6740 +rect 5776 6684 5856 6712 +rect 5724 6666 5776 6672 +rect 5448 6180 5500 6186 +rect 5448 6122 5500 6128 +rect 5632 6180 5684 6186 +rect 5632 6122 5684 6128 +rect 2964 6112 3016 6118 +rect 2964 6054 3016 6060 +rect 4436 6112 4488 6118 +rect 4436 6054 4488 6060 +rect 2872 5908 2924 5914 +rect 2872 5850 2924 5856 +rect 2780 5364 2832 5370 +rect 2780 5306 2832 5312 +rect 2884 5234 2912 5850 +rect 2976 5370 3004 6054 +rect 3110 6012 3418 6032 +rect 3110 6010 3116 6012 +rect 3172 6010 3196 6012 +rect 3252 6010 3276 6012 +rect 3332 6010 3356 6012 +rect 3412 6010 3418 6012 +rect 3172 5958 3174 6010 +rect 3354 5958 3356 6010 +rect 3110 5956 3116 5958 +rect 3172 5956 3196 5958 +rect 3252 5956 3276 5958 +rect 3332 5956 3356 5958 +rect 3412 5956 3418 5958 +rect 3110 5936 3418 5956 +rect 4448 5914 4476 6054 +rect 4436 5908 4488 5914 +rect 4436 5850 4488 5856 +rect 4436 5636 4488 5642 +rect 4436 5578 4488 5584 +rect 3516 5568 3568 5574 +rect 3516 5510 3568 5516 +rect 2964 5364 3016 5370 +rect 2964 5306 3016 5312 +rect 2872 5228 2924 5234 +rect 2872 5170 2924 5176 +rect 2688 5160 2740 5166 +rect 2688 5102 2740 5108 rect 3110 4924 3418 4944 rect 3110 4922 3116 4924 rect 3172 4922 3196 4924 @@ -13125,67 +13701,212 @@ rect 3252 4868 3276 4870 rect 3332 4868 3356 4870 rect 3412 4868 3418 4870 rect 3110 4848 3418 4868 -rect 2964 4684 3016 4690 -rect 2964 4626 3016 4632 -rect 2976 4282 3004 4626 -rect 4448 4554 4476 4966 -rect 4436 4548 4488 4554 -rect 4436 4490 4488 4496 -rect 2504 4276 2556 4282 -rect 2504 4218 2556 4224 -rect 2964 4276 3016 4282 -rect 2964 4218 3016 4224 -rect 296 4072 348 4078 -rect 296 4014 348 4020 +rect 1124 4616 1176 4622 +rect 1124 4558 1176 4564 +rect 1308 4616 1360 4622 +rect 1308 4558 1360 4564 rect 848 4072 900 4078 rect 848 4014 900 4020 -rect 308 3058 336 4014 rect 860 3738 888 4014 -rect 2320 3936 2372 3942 -rect 2320 3878 2372 3884 +rect 1136 3942 1164 4558 +rect 1320 4078 1348 4558 +rect 3528 4554 3556 5510 +rect 4448 5370 4476 5578 +rect 4660 5468 4968 5488 +rect 4660 5466 4666 5468 +rect 4722 5466 4746 5468 +rect 4802 5466 4826 5468 +rect 4882 5466 4906 5468 +rect 4962 5466 4968 5468 +rect 4722 5414 4724 5466 +rect 4904 5414 4906 5466 +rect 4660 5412 4666 5414 +rect 4722 5412 4746 5414 +rect 4802 5412 4826 5414 +rect 4882 5412 4906 5414 +rect 4962 5412 4968 5414 +rect 4660 5392 4968 5412 +rect 4436 5364 4488 5370 +rect 4436 5306 4488 5312 +rect 3608 5228 3660 5234 +rect 3608 5170 3660 5176 +rect 1860 4548 1912 4554 +rect 1860 4490 1912 4496 +rect 3516 4548 3568 4554 +rect 3516 4490 3568 4496 +rect 1872 4214 1900 4490 +rect 3056 4480 3108 4486 +rect 3056 4422 3108 4428 +rect 3068 4214 3096 4422 +rect 3620 4214 3648 5170 +rect 5460 5166 5488 6122 +rect 5540 6112 5592 6118 +rect 5540 6054 5592 6060 +rect 5552 5370 5580 6054 +rect 5736 5710 5764 6666 +rect 6000 6316 6052 6322 +rect 6000 6258 6052 6264 +rect 5724 5704 5776 5710 +rect 5724 5646 5776 5652 +rect 5908 5568 5960 5574 +rect 5908 5510 5960 5516 +rect 5540 5364 5592 5370 +rect 5540 5306 5592 5312 +rect 5920 5234 5948 5510 +rect 6012 5302 6040 6258 +rect 6104 5794 6132 7822 +rect 7116 7750 7144 8842 +rect 7208 8430 7236 9046 +rect 7288 8968 7340 8974 +rect 7288 8910 7340 8916 +rect 7196 8424 7248 8430 +rect 7196 8366 7248 8372 +rect 6920 7744 6972 7750 +rect 7104 7744 7156 7750 +rect 6972 7704 7052 7732 +rect 6920 7686 6972 7692 +rect 7024 7342 7052 7704 +rect 7104 7686 7156 7692 +rect 7300 7546 7328 8910 +rect 7392 7818 7420 9540 +rect 7472 9522 7524 9528 +rect 7472 9376 7524 9382 +rect 7472 9318 7524 9324 +rect 7484 8974 7512 9318 +rect 7472 8968 7524 8974 +rect 7472 8910 7524 8916 +rect 7564 8832 7616 8838 +rect 7564 8774 7616 8780 +rect 7472 8424 7524 8430 +rect 7472 8366 7524 8372 +rect 7380 7812 7432 7818 +rect 7380 7754 7432 7760 +rect 7288 7540 7340 7546 +rect 7288 7482 7340 7488 +rect 6552 7336 6604 7342 +rect 6552 7278 6604 7284 +rect 7012 7336 7064 7342 +rect 7012 7278 7064 7284 +rect 6210 7100 6518 7120 +rect 6210 7098 6216 7100 +rect 6272 7098 6296 7100 +rect 6352 7098 6376 7100 +rect 6432 7098 6456 7100 +rect 6512 7098 6518 7100 +rect 6272 7046 6274 7098 +rect 6454 7046 6456 7098 +rect 6210 7044 6216 7046 +rect 6272 7044 6296 7046 +rect 6352 7044 6376 7046 +rect 6432 7044 6456 7046 +rect 6512 7044 6518 7046 +rect 6210 7024 6518 7044 +rect 6564 7002 6592 7278 +rect 6552 6996 6604 7002 +rect 6552 6938 6604 6944 +rect 7024 6934 7052 7278 +rect 7012 6928 7064 6934 +rect 7012 6870 7064 6876 +rect 7024 6730 7052 6870 +rect 7300 6866 7328 7482 +rect 7392 7342 7420 7754 +rect 7380 7336 7432 7342 +rect 7380 7278 7432 7284 +rect 7380 7200 7432 7206 +rect 7380 7142 7432 7148 +rect 7392 7002 7420 7142 +rect 7380 6996 7432 7002 +rect 7380 6938 7432 6944 +rect 7288 6860 7340 6866 +rect 7288 6802 7340 6808 +rect 7012 6724 7064 6730 +rect 7012 6666 7064 6672 +rect 6552 6248 6604 6254 +rect 6552 6190 6604 6196 +rect 6210 6012 6518 6032 +rect 6210 6010 6216 6012 +rect 6272 6010 6296 6012 +rect 6352 6010 6376 6012 +rect 6432 6010 6456 6012 +rect 6512 6010 6518 6012 +rect 6272 5958 6274 6010 +rect 6454 5958 6456 6010 +rect 6210 5956 6216 5958 +rect 6272 5956 6296 5958 +rect 6352 5956 6376 5958 +rect 6432 5956 6456 5958 +rect 6512 5956 6518 5958 +rect 6210 5936 6518 5956 +rect 6564 5914 6592 6190 +rect 6552 5908 6604 5914 +rect 6552 5850 6604 5856 +rect 6276 5840 6328 5846 +rect 6104 5788 6276 5794 +rect 6104 5782 6328 5788 +rect 6104 5778 6316 5782 +rect 6092 5772 6316 5778 +rect 6144 5766 6316 5772 +rect 6092 5714 6144 5720 +rect 6092 5364 6144 5370 +rect 6092 5306 6144 5312 +rect 6000 5296 6052 5302 +rect 6000 5238 6052 5244 +rect 5908 5228 5960 5234 +rect 5908 5170 5960 5176 +rect 3884 5160 3936 5166 +rect 3884 5102 3936 5108 +rect 5448 5160 5500 5166 +rect 5448 5102 5500 5108 +rect 3700 4480 3752 4486 +rect 3700 4422 3752 4428 +rect 3712 4282 3740 4422 +rect 3700 4276 3752 4282 +rect 3700 4218 3752 4224 +rect 1860 4208 1912 4214 +rect 1860 4150 1912 4156 +rect 3056 4208 3108 4214 +rect 3056 4150 3108 4156 +rect 3608 4208 3660 4214 +rect 3608 4150 3660 4156 +rect 1308 4072 1360 4078 +rect 1308 4014 1360 4020 +rect 1124 3936 1176 3942 +rect 1124 3878 1176 3884 rect 848 3732 900 3738 rect 848 3674 900 3680 -rect 2228 3596 2280 3602 -rect 2228 3538 2280 3544 -rect 2240 3398 2268 3538 -rect 2332 3534 2360 3878 -rect 2320 3528 2372 3534 -rect 2320 3470 2372 3476 -rect 2228 3392 2280 3398 -rect 2228 3334 2280 3340 -rect 1952 3188 2004 3194 -rect 1952 3130 2004 3136 -rect 296 3052 348 3058 -rect 296 2994 348 3000 -rect 308 1902 336 2994 +rect 1320 3194 1348 4014 +rect 1308 3188 1360 3194 +rect 1308 3130 1360 3136 rect 572 2984 624 2990 rect 572 2926 624 2932 rect 584 2650 612 2926 +rect 940 2848 992 2854 +rect 940 2790 992 2796 rect 572 2644 624 2650 rect 572 2586 624 2592 -rect 1964 2038 1992 3130 -rect 2044 3052 2096 3058 -rect 2044 2994 2096 3000 -rect 2056 2854 2084 2994 -rect 2044 2848 2096 2854 -rect 2044 2790 2096 2796 -rect 2056 2514 2084 2790 -rect 2240 2514 2268 3334 -rect 2516 3194 2544 4218 -rect 2596 4140 2648 4146 -rect 2596 4082 2648 4088 -rect 2780 4140 2832 4146 -rect 2780 4082 2832 4088 -rect 2608 3670 2636 4082 -rect 2688 4004 2740 4010 -rect 2688 3946 2740 3952 -rect 2596 3664 2648 3670 -rect 2596 3606 2648 3612 -rect 2596 3528 2648 3534 -rect 2700 3516 2728 3946 -rect 2792 3534 2820 4082 -rect 3608 4072 3660 4078 -rect 3608 4014 3660 4020 +rect 952 2446 980 2790 +rect 940 2440 992 2446 +rect 940 2382 992 2388 +rect 848 1760 900 1766 +rect 848 1702 900 1708 +rect 388 1216 440 1222 +rect 388 1158 440 1164 +rect 400 882 428 1158 +rect 860 882 888 1702 +rect 1320 1358 1348 3130 +rect 1872 3126 1900 4150 +rect 3896 4146 3924 5102 +rect 4068 5024 4120 5030 +rect 4068 4966 4120 4972 +rect 3976 4684 4028 4690 +rect 3976 4626 4028 4632 +rect 3792 4140 3844 4146 +rect 3792 4082 3844 4088 +rect 3884 4140 3936 4146 +rect 3884 4082 3936 4088 +rect 2688 3936 2740 3942 +rect 2688 3878 2740 3884 +rect 2700 3534 2728 3878 rect 3110 3836 3418 3856 rect 3110 3834 3116 3836 rect 3172 3834 3196 3836 @@ -13200,50 +13921,402 @@ rect 3252 3780 3276 3782 rect 3332 3780 3356 3782 rect 3412 3780 3418 3782 rect 3110 3760 3418 3780 -rect 2648 3488 2728 3516 -rect 2780 3528 2832 3534 -rect 2596 3470 2648 3476 -rect 2780 3470 2832 3476 -rect 2504 3188 2556 3194 -rect 2504 3130 2556 3136 -rect 2608 2990 2636 3470 -rect 2596 2984 2648 2990 -rect 2596 2926 2648 2932 -rect 2044 2508 2096 2514 -rect 2044 2450 2096 2456 +rect 3804 3738 3832 4082 +rect 3884 3936 3936 3942 +rect 3884 3878 3936 3884 +rect 3792 3732 3844 3738 +rect 3792 3674 3844 3680 +rect 2872 3596 2924 3602 +rect 2872 3538 2924 3544 +rect 2688 3528 2740 3534 +rect 2688 3470 2740 3476 +rect 2596 3392 2648 3398 +rect 2596 3334 2648 3340 +rect 2688 3392 2740 3398 +rect 2688 3334 2740 3340 +rect 1860 3120 1912 3126 +rect 1860 3062 1912 3068 +rect 2044 2984 2096 2990 +rect 2044 2926 2096 2932 +rect 2056 2446 2084 2926 +rect 2608 2854 2636 3334 +rect 2700 3126 2728 3334 +rect 2688 3120 2740 3126 +rect 2688 3062 2740 3068 +rect 2596 2848 2648 2854 +rect 2596 2790 2648 2796 rect 2228 2508 2280 2514 rect 2228 2450 2280 2456 -rect 2608 2446 2636 2926 -rect 2792 2650 2820 3470 -rect 3620 3466 3648 4014 -rect 4344 3936 4396 3942 -rect 4448 3924 4476 4490 -rect 5460 4434 5488 4966 -rect 6012 4690 6040 5170 -rect 7024 5030 7052 5238 -rect 7576 5234 7604 9454 -rect 9680 9444 9732 9450 -rect 9680 9386 9732 9392 -rect 9310 9276 9618 9296 -rect 9310 9274 9316 9276 -rect 9372 9274 9396 9276 -rect 9452 9274 9476 9276 -rect 9532 9274 9556 9276 -rect 9612 9274 9618 9276 -rect 9372 9222 9374 9274 -rect 9554 9222 9556 9274 -rect 9310 9220 9316 9222 -rect 9372 9220 9396 9222 -rect 9452 9220 9476 9222 -rect 9532 9220 9556 9222 -rect 9612 9220 9618 9222 -rect 9310 9200 9618 9220 -rect 8392 8968 8444 8974 -rect 8392 8910 8444 8916 -rect 8484 8968 8536 8974 -rect 8484 8910 8536 8916 -rect 8300 8900 8352 8906 -rect 8300 8842 8352 8848 +rect 2044 2440 2096 2446 +rect 2044 2382 2096 2388 +rect 2240 2106 2268 2450 +rect 2228 2100 2280 2106 +rect 2228 2042 2280 2048 +rect 2228 1896 2280 1902 +rect 2228 1838 2280 1844 +rect 1308 1352 1360 1358 +rect 1308 1294 1360 1300 +rect 1584 1284 1636 1290 +rect 1584 1226 1636 1232 +rect 388 876 440 882 +rect 388 818 440 824 +rect 848 876 900 882 +rect 848 818 900 824 +rect 1308 672 1360 678 +rect 1308 614 1360 620 +rect 1320 270 1348 614 +rect 1596 474 1624 1226 +rect 2240 950 2268 1838 +rect 2608 1222 2636 2790 +rect 2780 2508 2832 2514 +rect 2780 2450 2832 2456 +rect 2792 1970 2820 2450 +rect 2884 2446 2912 3538 +rect 3700 3052 3752 3058 +rect 3700 2994 3752 3000 +rect 3608 2984 3660 2990 +rect 3608 2926 3660 2932 +rect 3110 2748 3418 2768 +rect 3110 2746 3116 2748 +rect 3172 2746 3196 2748 +rect 3252 2746 3276 2748 +rect 3332 2746 3356 2748 +rect 3412 2746 3418 2748 +rect 3172 2694 3174 2746 +rect 3354 2694 3356 2746 +rect 3110 2692 3116 2694 +rect 3172 2692 3196 2694 +rect 3252 2692 3276 2694 +rect 3332 2692 3356 2694 +rect 3412 2692 3418 2694 +rect 3110 2672 3418 2692 +rect 3516 2576 3568 2582 +rect 3516 2518 3568 2524 +rect 3528 2446 3556 2518 +rect 2872 2440 2924 2446 +rect 2872 2382 2924 2388 +rect 3516 2440 3568 2446 +rect 3516 2382 3568 2388 +rect 2884 2106 2912 2382 +rect 2964 2372 3016 2378 +rect 2964 2314 3016 2320 +rect 2872 2100 2924 2106 +rect 2872 2042 2924 2048 +rect 2780 1964 2832 1970 +rect 2780 1906 2832 1912 +rect 2792 1562 2820 1906 +rect 2884 1902 2912 2042 +rect 2872 1896 2924 1902 +rect 2872 1838 2924 1844 +rect 2780 1556 2832 1562 +rect 2780 1498 2832 1504 +rect 2596 1216 2648 1222 +rect 2596 1158 2648 1164 +rect 2228 944 2280 950 +rect 2228 886 2280 892 +rect 2608 882 2636 1158 +rect 2792 1018 2820 1498 +rect 2780 1012 2832 1018 +rect 2780 954 2832 960 +rect 2596 876 2648 882 +rect 2596 818 2648 824 +rect 2608 474 2636 818 +rect 1584 468 1636 474 +rect 1584 410 1636 416 +rect 2596 468 2648 474 +rect 2596 410 2648 416 +rect 2976 270 3004 2314 +rect 3424 2304 3476 2310 +rect 3424 2246 3476 2252 +rect 3436 2106 3464 2246 +rect 3424 2100 3476 2106 +rect 3424 2042 3476 2048 +rect 3528 1834 3556 2382 +rect 3620 1902 3648 2926 +rect 3712 2650 3740 2994 +rect 3896 2650 3924 3878 +rect 3988 3602 4016 4626 +rect 4080 4622 4108 4966 +rect 5540 4820 5592 4826 +rect 5540 4762 5592 4768 +rect 4068 4616 4120 4622 +rect 5552 4570 5580 4762 +rect 5816 4752 5868 4758 +rect 5816 4694 5868 4700 +rect 5632 4684 5684 4690 +rect 5632 4626 5684 4632 +rect 4068 4558 4120 4564 +rect 5460 4554 5580 4570 +rect 4988 4548 5040 4554 +rect 4988 4490 5040 4496 +rect 5448 4548 5580 4554 +rect 5500 4542 5580 4548 +rect 5448 4490 5500 4496 +rect 4660 4380 4968 4400 +rect 4660 4378 4666 4380 +rect 4722 4378 4746 4380 +rect 4802 4378 4826 4380 +rect 4882 4378 4906 4380 +rect 4962 4378 4968 4380 +rect 4722 4326 4724 4378 +rect 4904 4326 4906 4378 +rect 4660 4324 4666 4326 +rect 4722 4324 4746 4326 +rect 4802 4324 4826 4326 +rect 4882 4324 4906 4326 +rect 4962 4324 4968 4326 +rect 4660 4304 4968 4324 +rect 5000 4214 5028 4490 +rect 4988 4208 5040 4214 +rect 4988 4150 5040 4156 +rect 5552 4146 5580 4542 +rect 5644 4282 5672 4626 +rect 5632 4276 5684 4282 +rect 5632 4218 5684 4224 +rect 5540 4140 5592 4146 +rect 5540 4082 5592 4088 +rect 4896 4072 4948 4078 +rect 4896 4014 4948 4020 +rect 5356 4072 5408 4078 +rect 5356 4014 5408 4020 +rect 4068 3936 4120 3942 +rect 4068 3878 4120 3884 +rect 3976 3596 4028 3602 +rect 3976 3538 4028 3544 +rect 4080 3534 4108 3878 +rect 4528 3664 4580 3670 +rect 4528 3606 4580 3612 +rect 4068 3528 4120 3534 +rect 4068 3470 4120 3476 +rect 4436 3460 4488 3466 +rect 4436 3402 4488 3408 +rect 4160 3052 4212 3058 +rect 4160 2994 4212 3000 +rect 4344 3052 4396 3058 +rect 4344 2994 4396 3000 +rect 3976 2984 4028 2990 +rect 3976 2926 4028 2932 +rect 3700 2644 3752 2650 +rect 3700 2586 3752 2592 +rect 3884 2644 3936 2650 +rect 3884 2586 3936 2592 +rect 3988 1902 4016 2926 +rect 4172 1970 4200 2994 +rect 4356 2514 4384 2994 +rect 4448 2530 4476 3402 +rect 4540 3058 4568 3606 +rect 4908 3534 4936 4014 +rect 4896 3528 4948 3534 +rect 4896 3470 4948 3476 +rect 5264 3528 5316 3534 +rect 5264 3470 5316 3476 +rect 4660 3292 4968 3312 +rect 4660 3290 4666 3292 +rect 4722 3290 4746 3292 +rect 4802 3290 4826 3292 +rect 4882 3290 4906 3292 +rect 4962 3290 4968 3292 +rect 4722 3238 4724 3290 +rect 4904 3238 4906 3290 +rect 4660 3236 4666 3238 +rect 4722 3236 4746 3238 +rect 4802 3236 4826 3238 +rect 4882 3236 4906 3238 +rect 4962 3236 4968 3238 +rect 4660 3216 4968 3236 +rect 4528 3052 4580 3058 +rect 5172 3052 5224 3058 +rect 4580 3012 4660 3040 +rect 4528 2994 4580 3000 +rect 4528 2848 4580 2854 +rect 4528 2790 4580 2796 +rect 4540 2650 4568 2790 +rect 4528 2644 4580 2650 +rect 4528 2586 4580 2592 +rect 4344 2508 4396 2514 +rect 4448 2502 4568 2530 +rect 4344 2450 4396 2456 +rect 4540 2446 4568 2502 +rect 4528 2440 4580 2446 +rect 4528 2382 4580 2388 +rect 4344 2304 4396 2310 +rect 4344 2246 4396 2252 +rect 4436 2304 4488 2310 +rect 4436 2246 4488 2252 +rect 4356 1970 4384 2246 +rect 4448 1970 4476 2246 +rect 4540 2038 4568 2382 +rect 4632 2378 4660 3012 +rect 5172 2994 5224 3000 +rect 5080 2848 5132 2854 +rect 5080 2790 5132 2796 +rect 4712 2644 4764 2650 +rect 4712 2586 4764 2592 +rect 4724 2446 4752 2586 +rect 4712 2440 4764 2446 +rect 4712 2382 4764 2388 +rect 4620 2372 4672 2378 +rect 4620 2314 4672 2320 +rect 4660 2204 4968 2224 +rect 4660 2202 4666 2204 +rect 4722 2202 4746 2204 +rect 4802 2202 4826 2204 +rect 4882 2202 4906 2204 +rect 4962 2202 4968 2204 +rect 4722 2150 4724 2202 +rect 4904 2150 4906 2202 +rect 4660 2148 4666 2150 +rect 4722 2148 4746 2150 +rect 4802 2148 4826 2150 +rect 4882 2148 4906 2150 +rect 4962 2148 4968 2150 +rect 4660 2128 4968 2148 +rect 4528 2032 4580 2038 +rect 4528 1974 4580 1980 +rect 5092 1970 5120 2790 +rect 4160 1964 4212 1970 +rect 4160 1906 4212 1912 +rect 4344 1964 4396 1970 +rect 4344 1906 4396 1912 +rect 4436 1964 4488 1970 +rect 4436 1906 4488 1912 +rect 5080 1964 5132 1970 +rect 5080 1906 5132 1912 +rect 3608 1896 3660 1902 +rect 3608 1838 3660 1844 +rect 3976 1896 4028 1902 +rect 3976 1838 4028 1844 +rect 3516 1828 3568 1834 +rect 3516 1770 3568 1776 +rect 3110 1660 3418 1680 +rect 3110 1658 3116 1660 +rect 3172 1658 3196 1660 +rect 3252 1658 3276 1660 +rect 3332 1658 3356 1660 +rect 3412 1658 3418 1660 +rect 3172 1606 3174 1658 +rect 3354 1606 3356 1658 +rect 3110 1604 3116 1606 +rect 3172 1604 3196 1606 +rect 3252 1604 3276 1606 +rect 3332 1604 3356 1606 +rect 3412 1604 3418 1606 +rect 3110 1584 3418 1604 +rect 3424 1284 3476 1290 +rect 3424 1226 3476 1232 +rect 3436 1018 3464 1226 +rect 3424 1012 3476 1018 +rect 3424 954 3476 960 +rect 3110 572 3418 592 +rect 3110 570 3116 572 +rect 3172 570 3196 572 +rect 3252 570 3276 572 +rect 3332 570 3356 572 +rect 3412 570 3418 572 +rect 3172 518 3174 570 +rect 3354 518 3356 570 +rect 3110 516 3116 518 +rect 3172 516 3196 518 +rect 3252 516 3276 518 +rect 3332 516 3356 518 +rect 3412 516 3418 518 +rect 3110 496 3418 516 +rect 3528 338 3556 1770 +rect 3620 1358 3648 1838 +rect 3608 1352 3660 1358 +rect 3608 1294 3660 1300 +rect 3620 814 3648 1294 +rect 3988 1290 4016 1838 +rect 3976 1284 4028 1290 +rect 3976 1226 4028 1232 +rect 3884 1216 3936 1222 +rect 3884 1158 3936 1164 +rect 3608 808 3660 814 +rect 3608 750 3660 756 +rect 3792 808 3844 814 +rect 3792 750 3844 756 +rect 3804 474 3832 750 +rect 3896 678 3924 1158 +rect 3988 814 4016 1226 +rect 4660 1116 4968 1136 +rect 4660 1114 4666 1116 +rect 4722 1114 4746 1116 +rect 4802 1114 4826 1116 +rect 4882 1114 4906 1116 +rect 4962 1114 4968 1116 +rect 4722 1062 4724 1114 +rect 4904 1062 4906 1114 +rect 4660 1060 4666 1062 +rect 4722 1060 4746 1062 +rect 4802 1060 4826 1062 +rect 4882 1060 4906 1062 +rect 4962 1060 4968 1062 +rect 4660 1040 4968 1060 +rect 5184 882 5212 2994 +rect 5276 2310 5304 3470 +rect 5368 2650 5396 4014 +rect 5828 3534 5856 4694 +rect 6104 4690 6132 5306 +rect 6210 4924 6518 4944 +rect 6210 4922 6216 4924 +rect 6272 4922 6296 4924 +rect 6352 4922 6376 4924 +rect 6432 4922 6456 4924 +rect 6512 4922 6518 4924 +rect 6272 4870 6274 4922 +rect 6454 4870 6456 4922 +rect 6210 4868 6216 4870 +rect 6272 4868 6296 4870 +rect 6352 4868 6376 4870 +rect 6432 4868 6456 4870 +rect 6512 4868 6518 4870 +rect 6210 4848 6518 4868 +rect 6092 4684 6144 4690 +rect 6092 4626 6144 4632 +rect 5816 3528 5868 3534 +rect 5816 3470 5868 3476 +rect 6104 3194 6132 4626 +rect 7024 4554 7052 6666 +rect 7484 5370 7512 8366 +rect 7576 7954 7604 8774 +rect 7668 8566 7696 10406 +rect 8864 10198 8892 10746 +rect 10876 10736 10928 10742 +rect 10876 10678 10928 10684 +rect 13084 10736 13136 10742 +rect 13084 10678 13136 10684 +rect 8944 10600 8996 10606 +rect 8944 10542 8996 10548 +rect 8852 10192 8904 10198 +rect 8852 10134 8904 10140 +rect 7760 9820 8068 9840 +rect 7760 9818 7766 9820 +rect 7822 9818 7846 9820 +rect 7902 9818 7926 9820 +rect 7982 9818 8006 9820 +rect 8062 9818 8068 9820 +rect 7822 9766 7824 9818 +rect 8004 9766 8006 9818 +rect 7760 9764 7766 9766 +rect 7822 9764 7846 9766 +rect 7902 9764 7926 9766 +rect 7982 9764 8006 9766 +rect 8062 9764 8068 9766 +rect 7760 9744 8068 9764 +rect 8864 9654 8892 10134 +rect 8852 9648 8904 9654 +rect 8852 9590 8904 9596 +rect 8484 9512 8536 9518 +rect 8484 9454 8536 9460 +rect 8496 9178 8524 9454 +rect 8852 9444 8904 9450 +rect 8852 9386 8904 9392 +rect 8864 9330 8892 9386 +rect 8772 9302 8892 9330 +rect 8484 9172 8536 9178 +rect 8484 9114 8536 9120 +rect 8116 8968 8168 8974 +rect 8116 8910 8168 8916 rect 7760 8732 8068 8752 rect 7760 8730 7766 8732 rect 7822 8730 7846 8732 @@ -13258,44 +14331,10 @@ rect 7902 8676 7926 8678 rect 7982 8676 8006 8678 rect 8062 8676 8068 8678 rect 7760 8656 8068 8676 -rect 7656 8288 7708 8294 -rect 7656 8230 7708 8236 -rect 7932 8288 7984 8294 -rect 7932 8230 7984 8236 -rect 7668 8022 7696 8230 -rect 7944 8022 7972 8230 -rect 7656 8016 7708 8022 -rect 7656 7958 7708 7964 -rect 7932 8016 7984 8022 -rect 7932 7958 7984 7964 -rect 8312 7954 8340 8842 -rect 8404 8498 8432 8910 -rect 8392 8492 8444 8498 -rect 8392 8434 8444 8440 -rect 8496 7954 8524 8910 -rect 9128 8900 9180 8906 -rect 9128 8842 9180 8848 -rect 9496 8900 9548 8906 -rect 9496 8842 9548 8848 -rect 8576 8832 8628 8838 -rect 8576 8774 8628 8780 -rect 8588 8498 8616 8774 -rect 8576 8492 8628 8498 -rect 8576 8434 8628 8440 -rect 8588 8294 8616 8434 -rect 8668 8424 8720 8430 -rect 8668 8366 8720 8372 -rect 8680 8294 8708 8366 -rect 8576 8288 8628 8294 -rect 8576 8230 8628 8236 -rect 8668 8288 8720 8294 -rect 8668 8230 8720 8236 -rect 8300 7948 8352 7954 -rect 8300 7890 8352 7896 -rect 8484 7948 8536 7954 -rect 8484 7890 8536 7896 -rect 8116 7880 8168 7886 -rect 8116 7822 8168 7828 +rect 7656 8560 7708 8566 +rect 7656 8502 7708 8508 +rect 7564 7948 7616 7954 +rect 7564 7890 7616 7896 rect 7760 7644 8068 7664 rect 7760 7642 7766 7644 rect 7822 7642 7846 7644 @@ -13310,26 +14349,37 @@ rect 7902 7588 7926 7590 rect 7982 7588 8006 7590 rect 8062 7588 8068 7590 rect 7760 7568 8068 7588 -rect 8024 7336 8076 7342 -rect 8024 7278 8076 7284 -rect 8036 6866 8064 7278 -rect 8128 6934 8156 7822 -rect 8392 7812 8444 7818 -rect 8392 7754 8444 7760 -rect 8404 7478 8432 7754 -rect 8392 7472 8444 7478 -rect 8392 7414 8444 7420 -rect 8300 7200 8352 7206 -rect 8220 7148 8300 7154 -rect 8220 7142 8352 7148 -rect 8220 7126 8340 7142 -rect 8116 6928 8168 6934 -rect 8116 6870 8168 6876 -rect 8024 6860 8076 6866 -rect 8024 6802 8076 6808 -rect 7656 6792 7708 6798 -rect 7656 6734 7708 6740 -rect 7668 6254 7696 6734 +rect 8128 7478 8156 8910 +rect 8484 8900 8536 8906 +rect 8484 8842 8536 8848 +rect 8208 8492 8260 8498 +rect 8208 8434 8260 8440 +rect 8220 7750 8248 8434 +rect 8208 7744 8260 7750 +rect 8208 7686 8260 7692 +rect 8496 7546 8524 8842 +rect 8772 8634 8800 9302 +rect 8852 9036 8904 9042 +rect 8852 8978 8904 8984 +rect 8760 8628 8812 8634 +rect 8760 8570 8812 8576 +rect 8760 8424 8812 8430 +rect 8760 8366 8812 8372 +rect 8484 7540 8536 7546 +rect 8484 7482 8536 7488 +rect 7656 7472 7708 7478 +rect 7656 7414 7708 7420 +rect 8116 7472 8168 7478 +rect 8116 7414 8168 7420 +rect 7564 7200 7616 7206 +rect 7564 7142 7616 7148 +rect 7576 6254 7604 7142 +rect 7668 6322 7696 7414 +rect 8208 7336 8260 7342 +rect 8208 7278 8260 7284 +rect 8220 7002 8248 7278 +rect 8208 6996 8260 7002 +rect 8208 6938 8260 6944 rect 7760 6556 8068 6576 rect 7760 6554 7766 6556 rect 7822 6554 7846 6556 @@ -13344,52 +14394,497 @@ rect 7902 6500 7926 6502 rect 7982 6500 8006 6502 rect 8062 6500 8068 6502 rect 7760 6480 8068 6500 -rect 7656 6248 7708 6254 -rect 7656 6190 7708 6196 -rect 7668 5914 7696 6190 -rect 8128 6186 8156 6870 -rect 8116 6180 8168 6186 -rect 8116 6122 8168 6128 -rect 8220 6066 8248 7126 -rect 8404 6866 8432 7414 -rect 8680 7410 8708 8230 -rect 9140 7954 9168 8842 -rect 9508 8634 9536 8842 -rect 9692 8634 9720 9386 +rect 7656 6316 7708 6322 +rect 7656 6258 7708 6264 +rect 8024 6316 8076 6322 +rect 8024 6258 8076 6264 +rect 7564 6248 7616 6254 +rect 7564 6190 7616 6196 +rect 8036 5710 8064 6258 +rect 8024 5704 8076 5710 +rect 8024 5646 8076 5652 +rect 8220 5642 8248 6938 +rect 8772 6662 8800 8366 +rect 8864 7954 8892 8978 +rect 8956 8634 8984 10542 +rect 9310 10364 9618 10384 +rect 9310 10362 9316 10364 +rect 9372 10362 9396 10364 +rect 9452 10362 9476 10364 +rect 9532 10362 9556 10364 +rect 9612 10362 9618 10364 +rect 9372 10310 9374 10362 +rect 9554 10310 9556 10362 +rect 9310 10308 9316 10310 +rect 9372 10308 9396 10310 +rect 9452 10308 9476 10310 +rect 9532 10308 9556 10310 +rect 9612 10308 9618 10310 +rect 9310 10288 9618 10308 +rect 9220 10124 9272 10130 +rect 9220 10066 9272 10072 +rect 9036 9512 9088 9518 +rect 9036 9454 9088 9460 +rect 9048 9382 9076 9454 +rect 9036 9376 9088 9382 +rect 9036 9318 9088 9324 +rect 9048 8906 9076 9318 +rect 9036 8900 9088 8906 +rect 9036 8842 9088 8848 +rect 8944 8628 8996 8634 +rect 8944 8570 8996 8576 +rect 8852 7948 8904 7954 +rect 8852 7890 8904 7896 +rect 8864 7546 8892 7890 +rect 9128 7744 9180 7750 +rect 9128 7686 9180 7692 +rect 8852 7540 8904 7546 +rect 8852 7482 8904 7488 +rect 8944 7540 8996 7546 +rect 8944 7482 8996 7488 +rect 8956 7342 8984 7482 +rect 9140 7410 9168 7686 +rect 9128 7404 9180 7410 +rect 9128 7346 9180 7352 +rect 8944 7336 8996 7342 +rect 8944 7278 8996 7284 +rect 9036 7336 9088 7342 +rect 9036 7278 9088 7284 +rect 8852 6724 8904 6730 +rect 8852 6666 8904 6672 +rect 8760 6656 8812 6662 +rect 8760 6598 8812 6604 +rect 8864 6458 8892 6666 +rect 8852 6452 8904 6458 +rect 8852 6394 8904 6400 +rect 8392 6248 8444 6254 +rect 8392 6190 8444 6196 +rect 8300 6112 8352 6118 +rect 8300 6054 8352 6060 +rect 8312 5914 8340 6054 +rect 8300 5908 8352 5914 +rect 8300 5850 8352 5856 +rect 8208 5636 8260 5642 +rect 8208 5578 8260 5584 +rect 7760 5468 8068 5488 +rect 7760 5466 7766 5468 +rect 7822 5466 7846 5468 +rect 7902 5466 7926 5468 +rect 7982 5466 8006 5468 +rect 8062 5466 8068 5468 +rect 7822 5414 7824 5466 +rect 8004 5414 8006 5466 +rect 7760 5412 7766 5414 +rect 7822 5412 7846 5414 +rect 7902 5412 7926 5414 +rect 7982 5412 8006 5414 +rect 8062 5412 8068 5414 +rect 7760 5392 8068 5412 +rect 7472 5364 7524 5370 +rect 7472 5306 7524 5312 +rect 8208 5160 8260 5166 +rect 8208 5102 8260 5108 +rect 8220 4826 8248 5102 +rect 8404 5030 8432 6190 +rect 8956 6186 8984 7278 +rect 9048 7206 9076 7278 +rect 9036 7200 9088 7206 +rect 9036 7142 9088 7148 +rect 8944 6180 8996 6186 +rect 8944 6122 8996 6128 +rect 8576 6112 8628 6118 +rect 8576 6054 8628 6060 +rect 8668 6112 8720 6118 +rect 8668 6054 8720 6060 +rect 9036 6112 9088 6118 +rect 9036 6054 9088 6060 +rect 8484 5364 8536 5370 +rect 8484 5306 8536 5312 +rect 8392 5024 8444 5030 +rect 8392 4966 8444 4972 +rect 8208 4820 8260 4826 +rect 8208 4762 8260 4768 +rect 8208 4616 8260 4622 +rect 8208 4558 8260 4564 +rect 7012 4548 7064 4554 +rect 7012 4490 7064 4496 +rect 7024 4282 7052 4490 +rect 7380 4480 7432 4486 +rect 7380 4422 7432 4428 +rect 7012 4276 7064 4282 +rect 7012 4218 7064 4224 +rect 6828 3936 6880 3942 +rect 6828 3878 6880 3884 +rect 6210 3836 6518 3856 +rect 6210 3834 6216 3836 +rect 6272 3834 6296 3836 +rect 6352 3834 6376 3836 +rect 6432 3834 6456 3836 +rect 6512 3834 6518 3836 +rect 6272 3782 6274 3834 +rect 6454 3782 6456 3834 +rect 6210 3780 6216 3782 +rect 6272 3780 6296 3782 +rect 6352 3780 6376 3782 +rect 6432 3780 6456 3782 +rect 6512 3780 6518 3782 +rect 6210 3760 6518 3780 +rect 6644 3596 6696 3602 +rect 6644 3538 6696 3544 +rect 6552 3392 6604 3398 +rect 6552 3334 6604 3340 +rect 6092 3188 6144 3194 +rect 6092 3130 6144 3136 +rect 6092 2984 6144 2990 +rect 6092 2926 6144 2932 +rect 6104 2650 6132 2926 +rect 6210 2748 6518 2768 +rect 6210 2746 6216 2748 +rect 6272 2746 6296 2748 +rect 6352 2746 6376 2748 +rect 6432 2746 6456 2748 +rect 6512 2746 6518 2748 +rect 6272 2694 6274 2746 +rect 6454 2694 6456 2746 +rect 6210 2692 6216 2694 +rect 6272 2692 6296 2694 +rect 6352 2692 6376 2694 +rect 6432 2692 6456 2694 +rect 6512 2692 6518 2694 +rect 6210 2672 6518 2692 +rect 5356 2644 5408 2650 +rect 5356 2586 5408 2592 +rect 6092 2644 6144 2650 +rect 6092 2586 6144 2592 +rect 5264 2304 5316 2310 +rect 5264 2246 5316 2252 +rect 5276 1426 5304 2246 +rect 5368 1766 5396 2586 +rect 6564 2514 6592 3334 +rect 6552 2508 6604 2514 +rect 6552 2450 6604 2456 +rect 6000 2440 6052 2446 +rect 6000 2382 6052 2388 +rect 5448 2304 5500 2310 +rect 5448 2246 5500 2252 +rect 5460 1970 5488 2246 +rect 5448 1964 5500 1970 +rect 5448 1906 5500 1912 +rect 5816 1896 5868 1902 +rect 5816 1838 5868 1844 +rect 5908 1896 5960 1902 +rect 5908 1838 5960 1844 +rect 5356 1760 5408 1766 +rect 5356 1702 5408 1708 +rect 5264 1420 5316 1426 +rect 5264 1362 5316 1368 +rect 5540 1352 5592 1358 +rect 5540 1294 5592 1300 +rect 5172 876 5224 882 +rect 5172 818 5224 824 +rect 3976 808 4028 814 +rect 3976 750 4028 756 +rect 5264 808 5316 814 +rect 5264 750 5316 756 +rect 3884 672 3936 678 +rect 3884 614 3936 620 +rect 5276 474 5304 750 +rect 3792 468 3844 474 +rect 3792 410 3844 416 +rect 5264 468 5316 474 +rect 5264 410 5316 416 +rect 5552 406 5580 1294 +rect 5828 1018 5856 1838 +rect 5920 1562 5948 1838 +rect 5908 1556 5960 1562 +rect 5908 1498 5960 1504 +rect 6012 1426 6040 2382 +rect 6210 1660 6518 1680 +rect 6210 1658 6216 1660 +rect 6272 1658 6296 1660 +rect 6352 1658 6376 1660 +rect 6432 1658 6456 1660 +rect 6512 1658 6518 1660 +rect 6272 1606 6274 1658 +rect 6454 1606 6456 1658 +rect 6210 1604 6216 1606 +rect 6272 1604 6296 1606 +rect 6352 1604 6376 1606 +rect 6432 1604 6456 1606 +rect 6512 1604 6518 1606 +rect 6210 1584 6518 1604 +rect 6656 1562 6684 3538 +rect 6840 3534 6868 3878 +rect 7024 3602 7052 4218 +rect 7392 4146 7420 4422 +rect 7760 4380 8068 4400 +rect 7760 4378 7766 4380 +rect 7822 4378 7846 4380 +rect 7902 4378 7926 4380 +rect 7982 4378 8006 4380 +rect 8062 4378 8068 4380 +rect 7822 4326 7824 4378 +rect 8004 4326 8006 4378 +rect 7760 4324 7766 4326 +rect 7822 4324 7846 4326 +rect 7902 4324 7926 4326 +rect 7982 4324 8006 4326 +rect 8062 4324 8068 4326 +rect 7760 4304 8068 4324 +rect 8220 4282 8248 4558 +rect 8208 4276 8260 4282 +rect 8208 4218 8260 4224 +rect 7380 4140 7432 4146 +rect 7380 4082 7432 4088 +rect 8208 4140 8260 4146 +rect 8208 4082 8260 4088 +rect 8220 3670 8248 4082 +rect 8208 3664 8260 3670 +rect 8208 3606 8260 3612 +rect 7012 3596 7064 3602 +rect 7012 3538 7064 3544 +rect 6828 3528 6880 3534 +rect 6828 3470 6880 3476 +rect 7024 3466 7052 3538 +rect 7012 3460 7064 3466 +rect 7012 3402 7064 3408 +rect 7564 3460 7616 3466 +rect 7564 3402 7616 3408 +rect 8116 3460 8168 3466 +rect 8116 3402 8168 3408 +rect 6736 3392 6788 3398 +rect 6736 3334 6788 3340 +rect 6748 2650 6776 3334 +rect 7024 3126 7052 3402 +rect 7012 3120 7064 3126 +rect 7012 3062 7064 3068 +rect 7576 3058 7604 3402 +rect 7760 3292 8068 3312 +rect 7760 3290 7766 3292 +rect 7822 3290 7846 3292 +rect 7902 3290 7926 3292 +rect 7982 3290 8006 3292 +rect 8062 3290 8068 3292 +rect 7822 3238 7824 3290 +rect 8004 3238 8006 3290 +rect 7760 3236 7766 3238 +rect 7822 3236 7846 3238 +rect 7902 3236 7926 3238 +rect 7982 3236 8006 3238 +rect 8062 3236 8068 3238 +rect 7760 3216 8068 3236 +rect 8128 3194 8156 3402 +rect 8116 3188 8168 3194 +rect 8116 3130 8168 3136 +rect 7564 3052 7616 3058 +rect 7564 2994 7616 3000 +rect 8024 3052 8076 3058 +rect 8024 2994 8076 3000 +rect 6920 2984 6972 2990 +rect 6920 2926 6972 2932 +rect 6828 2916 6880 2922 +rect 6828 2858 6880 2864 +rect 6736 2644 6788 2650 +rect 6736 2586 6788 2592 +rect 6840 2514 6868 2858 +rect 6828 2508 6880 2514 +rect 6828 2450 6880 2456 +rect 6932 2038 6960 2926 +rect 7196 2848 7248 2854 +rect 7196 2790 7248 2796 +rect 7208 2446 7236 2790 +rect 8036 2582 8064 2994 +rect 7656 2576 7708 2582 +rect 7656 2518 7708 2524 +rect 8024 2576 8076 2582 +rect 8024 2518 8076 2524 +rect 7196 2440 7248 2446 +rect 7196 2382 7248 2388 +rect 7012 2304 7064 2310 +rect 7012 2246 7064 2252 +rect 6920 2032 6972 2038 +rect 6920 1974 6972 1980 +rect 7024 1902 7052 2246 +rect 7104 2100 7156 2106 +rect 7104 2042 7156 2048 +rect 7012 1896 7064 1902 +rect 7012 1838 7064 1844 +rect 6920 1828 6972 1834 +rect 6920 1770 6972 1776 +rect 6932 1562 6960 1770 +rect 7012 1760 7064 1766 +rect 7012 1702 7064 1708 +rect 6644 1556 6696 1562 +rect 6644 1498 6696 1504 +rect 6920 1556 6972 1562 +rect 6920 1498 6972 1504 +rect 6656 1426 6684 1498 +rect 6000 1420 6052 1426 +rect 6000 1362 6052 1368 +rect 6644 1420 6696 1426 +rect 6644 1362 6696 1368 +rect 6552 1352 6604 1358 +rect 6552 1294 6604 1300 +rect 6368 1284 6420 1290 +rect 6368 1226 6420 1232 +rect 6092 1216 6144 1222 +rect 6092 1158 6144 1164 +rect 5816 1012 5868 1018 +rect 5816 954 5868 960 +rect 5540 400 5592 406 +rect 5540 342 5592 348 +rect 6104 338 6132 1158 +rect 6380 746 6408 1226 +rect 6368 740 6420 746 +rect 6368 682 6420 688 +rect 6210 572 6518 592 +rect 6210 570 6216 572 +rect 6272 570 6296 572 +rect 6352 570 6376 572 +rect 6432 570 6456 572 +rect 6512 570 6518 572 +rect 6272 518 6274 570 +rect 6454 518 6456 570 +rect 6210 516 6216 518 +rect 6272 516 6296 518 +rect 6352 516 6376 518 +rect 6432 516 6456 518 +rect 6512 516 6518 518 +rect 6210 496 6518 516 +rect 6564 474 6592 1294 +rect 7024 1222 7052 1702 +rect 7116 1358 7144 2042 +rect 7208 1601 7236 2382 +rect 7668 1986 7696 2518 +rect 8116 2440 8168 2446 +rect 8116 2382 8168 2388 +rect 7760 2204 8068 2224 +rect 7760 2202 7766 2204 +rect 7822 2202 7846 2204 +rect 7902 2202 7926 2204 +rect 7982 2202 8006 2204 +rect 8062 2202 8068 2204 +rect 7822 2150 7824 2202 +rect 8004 2150 8006 2202 +rect 7760 2148 7766 2150 +rect 7822 2148 7846 2150 +rect 7902 2148 7926 2150 +rect 7982 2148 8006 2150 +rect 8062 2148 8068 2150 +rect 7760 2128 8068 2148 +rect 7300 1970 7696 1986 +rect 8022 2000 8078 2009 +rect 7288 1964 7708 1970 +rect 7340 1958 7656 1964 +rect 7288 1906 7340 1912 +rect 7656 1906 7708 1912 +rect 7932 1964 7984 1970 +rect 8022 1935 8078 1944 +rect 7932 1906 7984 1912 +rect 7472 1828 7524 1834 +rect 7472 1770 7524 1776 +rect 7288 1760 7340 1766 +rect 7288 1702 7340 1708 +rect 7194 1592 7250 1601 +rect 7194 1527 7250 1536 +rect 7196 1488 7248 1494 +rect 7196 1430 7248 1436 +rect 7104 1352 7156 1358 +rect 7104 1294 7156 1300 +rect 6920 1216 6972 1222 +rect 6920 1158 6972 1164 +rect 7012 1216 7064 1222 +rect 7012 1158 7064 1164 +rect 6552 468 6604 474 +rect 6552 410 6604 416 +rect 3516 332 3568 338 +rect 3516 274 3568 280 +rect 6092 332 6144 338 +rect 6092 274 6144 280 +rect 1308 264 1360 270 +rect 1308 206 1360 212 +rect 2964 264 3016 270 +rect 2964 206 3016 212 +rect 6932 202 6960 1158 +rect 7024 882 7052 1158 +rect 7012 876 7064 882 +rect 7012 818 7064 824 +rect 7116 474 7144 1294 +rect 7208 1290 7236 1430 +rect 7196 1284 7248 1290 +rect 7196 1226 7248 1232 +rect 7104 468 7156 474 +rect 7104 410 7156 416 +rect 7300 270 7328 1702 +rect 7380 1420 7432 1426 +rect 7380 1362 7432 1368 +rect 7392 1290 7420 1362 +rect 7484 1358 7512 1770 +rect 7944 1766 7972 1906 +rect 8036 1902 8064 1935 +rect 8024 1896 8076 1902 +rect 8024 1838 8076 1844 +rect 7840 1760 7892 1766 +rect 7840 1702 7892 1708 +rect 7932 1760 7984 1766 +rect 7932 1702 7984 1708 +rect 7852 1426 7880 1702 +rect 8128 1494 8156 2382 +rect 8220 2106 8248 3606 +rect 8496 3534 8524 5306 +rect 8588 5166 8616 6054 +rect 8680 5710 8708 6054 +rect 8668 5704 8720 5710 +rect 8668 5646 8720 5652 +rect 9048 5234 9076 6054 +rect 9232 5370 9260 10066 +rect 10888 9994 10916 10678 +rect 11888 10668 11940 10674 +rect 11888 10610 11940 10616 +rect 12900 10668 12952 10674 +rect 12900 10610 12952 10616 +rect 11244 10600 11296 10606 +rect 11244 10542 11296 10548 +rect 10876 9988 10928 9994 +rect 10876 9930 10928 9936 +rect 10232 9920 10284 9926 +rect 10232 9862 10284 9868 +rect 10692 9920 10744 9926 +rect 10692 9862 10744 9868 +rect 9864 9648 9916 9654 +rect 9916 9608 9996 9636 +rect 9864 9590 9916 9596 +rect 9772 9580 9824 9586 +rect 9772 9522 9824 9528 +rect 9784 9382 9812 9522 +rect 9864 9444 9916 9450 +rect 9864 9386 9916 9392 rect 9772 9376 9824 9382 -rect 9876 9364 9904 9862 -rect 9968 9586 9996 10066 -rect 11072 9976 11100 10542 -rect 11256 10266 11284 10610 -rect 11244 10260 11296 10266 -rect 11244 10202 11296 10208 -rect 11072 9948 11284 9976 -rect 10860 9820 11168 9840 -rect 10860 9818 10866 9820 -rect 10922 9818 10946 9820 -rect 11002 9818 11026 9820 -rect 11082 9818 11106 9820 -rect 11162 9818 11168 9820 -rect 10922 9766 10924 9818 -rect 11104 9766 11106 9818 -rect 10860 9764 10866 9766 -rect 10922 9764 10946 9766 -rect 11002 9764 11026 9766 -rect 11082 9764 11106 9766 -rect 11162 9764 11168 9766 -rect 10860 9744 11168 9764 -rect 10048 9648 10100 9654 -rect 10048 9590 10100 9596 -rect 10692 9648 10744 9654 -rect 10692 9590 10744 9596 -rect 9956 9580 10008 9586 -rect 9956 9522 10008 9528 -rect 9824 9336 9904 9364 rect 9772 9318 9824 9324 -rect 9496 8628 9548 8634 -rect 9496 8570 9548 8576 -rect 9680 8628 9732 8634 -rect 9680 8570 9732 8576 +rect 9310 9276 9618 9296 +rect 9310 9274 9316 9276 +rect 9372 9274 9396 9276 +rect 9452 9274 9476 9276 +rect 9532 9274 9556 9276 +rect 9612 9274 9618 9276 +rect 9372 9222 9374 9274 +rect 9554 9222 9556 9274 +rect 9310 9220 9316 9222 +rect 9372 9220 9396 9222 +rect 9452 9220 9476 9222 +rect 9532 9220 9556 9222 +rect 9612 9220 9618 9222 +rect 9310 9200 9618 9220 +rect 9404 8968 9456 8974 +rect 9404 8910 9456 8916 +rect 9416 8430 9444 8910 +rect 9588 8628 9640 8634 +rect 9588 8570 9640 8576 +rect 9600 8498 9628 8570 +rect 9588 8492 9640 8498 +rect 9588 8434 9640 8440 +rect 9404 8424 9456 8430 +rect 9404 8366 9456 8372 rect 9310 8188 9618 8208 rect 9310 8186 9316 8188 rect 9372 8186 9396 8188 @@ -13405,150 +14900,69 @@ rect 9532 8132 9556 8134 rect 9612 8132 9618 8134 rect 9310 8112 9618 8132 rect 9784 8090 9812 9318 +rect 9876 8906 9904 9386 +rect 9968 9382 9996 9608 +rect 9956 9376 10008 9382 +rect 9956 9318 10008 9324 +rect 9864 8900 9916 8906 +rect 9864 8842 9916 8848 +rect 9876 8498 9904 8842 +rect 9968 8838 9996 9318 +rect 9956 8832 10008 8838 +rect 9956 8774 10008 8780 +rect 10140 8832 10192 8838 +rect 10140 8774 10192 8780 +rect 9864 8492 9916 8498 +rect 9864 8434 9916 8440 +rect 10152 8294 10180 8774 +rect 10244 8634 10272 9862 +rect 10508 9104 10560 9110 +rect 10508 9046 10560 9052 +rect 10324 9036 10376 9042 +rect 10324 8978 10376 8984 +rect 10232 8628 10284 8634 +rect 10232 8570 10284 8576 +rect 10140 8288 10192 8294 +rect 10140 8230 10192 8236 rect 9772 8084 9824 8090 rect 9772 8026 9824 8032 -rect 9128 7948 9180 7954 -rect 9128 7890 9180 7896 -rect 9140 7546 9168 7890 -rect 9128 7540 9180 7546 -rect 9128 7482 9180 7488 -rect 8668 7404 8720 7410 -rect 8668 7346 8720 7352 -rect 8852 7404 8904 7410 -rect 8852 7346 8904 7352 -rect 9864 7404 9916 7410 -rect 9864 7346 9916 7352 -rect 8484 7200 8536 7206 -rect 8484 7142 8536 7148 -rect 8392 6860 8444 6866 -rect 8392 6802 8444 6808 -rect 8496 6730 8524 7142 -rect 8484 6724 8536 6730 -rect 8484 6666 8536 6672 -rect 8128 6038 8248 6066 -rect 7656 5908 7708 5914 -rect 7656 5850 7708 5856 -rect 8128 5574 8156 6038 -rect 8864 5914 8892 7346 -rect 9036 7336 9088 7342 -rect 9036 7278 9088 7284 -rect 9048 6934 9076 7278 -rect 9310 7100 9618 7120 -rect 9310 7098 9316 7100 -rect 9372 7098 9396 7100 -rect 9452 7098 9476 7100 -rect 9532 7098 9556 7100 -rect 9612 7098 9618 7100 -rect 9372 7046 9374 7098 -rect 9554 7046 9556 7098 -rect 9310 7044 9316 7046 -rect 9372 7044 9396 7046 -rect 9452 7044 9476 7046 -rect 9532 7044 9556 7046 -rect 9612 7044 9618 7046 -rect 9310 7024 9618 7044 -rect 9036 6928 9088 6934 -rect 9036 6870 9088 6876 -rect 9048 6322 9076 6870 -rect 9680 6724 9732 6730 -rect 9680 6666 9732 6672 -rect 9692 6322 9720 6666 -rect 9772 6384 9824 6390 -rect 9772 6326 9824 6332 -rect 9036 6316 9088 6322 -rect 9036 6258 9088 6264 -rect 9680 6316 9732 6322 -rect 9680 6258 9732 6264 -rect 9128 6112 9180 6118 -rect 9128 6054 9180 6060 -rect 8852 5908 8904 5914 -rect 8852 5850 8904 5856 -rect 8116 5568 8168 5574 -rect 8116 5510 8168 5516 -rect 7760 5468 8068 5488 -rect 7760 5466 7766 5468 -rect 7822 5466 7846 5468 -rect 7902 5466 7926 5468 -rect 7982 5466 8006 5468 -rect 8062 5466 8068 5468 -rect 7822 5414 7824 5466 -rect 8004 5414 8006 5466 -rect 7760 5412 7766 5414 -rect 7822 5412 7846 5414 -rect 7902 5412 7926 5414 -rect 7982 5412 8006 5414 -rect 8062 5412 8068 5414 -rect 7760 5392 8068 5412 -rect 8128 5302 8156 5510 -rect 9140 5302 9168 6054 -rect 9310 6012 9618 6032 -rect 9310 6010 9316 6012 -rect 9372 6010 9396 6012 -rect 9452 6010 9476 6012 -rect 9532 6010 9556 6012 -rect 9612 6010 9618 6012 -rect 9372 5958 9374 6010 -rect 9554 5958 9556 6010 -rect 9310 5956 9316 5958 -rect 9372 5956 9396 5958 -rect 9452 5956 9476 5958 -rect 9532 5956 9556 5958 -rect 9612 5956 9618 5958 -rect 9310 5936 9618 5956 -rect 9692 5914 9720 6258 -rect 9680 5908 9732 5914 -rect 9680 5850 9732 5856 -rect 9784 5574 9812 6326 -rect 9876 6186 9904 7346 -rect 9864 6180 9916 6186 -rect 9864 6122 9916 6128 -rect 9772 5568 9824 5574 -rect 9772 5510 9824 5516 -rect 9784 5370 9812 5510 -rect 9968 5370 9996 9522 -rect 10060 7818 10088 9590 -rect 10704 9178 10732 9590 -rect 11152 9512 11204 9518 -rect 11256 9500 11284 9948 -rect 11204 9472 11284 9500 -rect 11152 9454 11204 9460 -rect 10692 9172 10744 9178 -rect 10692 9114 10744 9120 -rect 10692 9036 10744 9042 -rect 10692 8978 10744 8984 -rect 10140 8968 10192 8974 -rect 10140 8910 10192 8916 -rect 10152 8566 10180 8910 -rect 10140 8560 10192 8566 -rect 10140 8502 10192 8508 -rect 10600 8560 10652 8566 -rect 10600 8502 10652 8508 -rect 10048 7812 10100 7818 -rect 10048 7754 10100 7760 -rect 10140 7812 10192 7818 -rect 10140 7754 10192 7760 -rect 10152 7290 10180 7754 -rect 10508 7404 10560 7410 -rect 10508 7346 10560 7352 -rect 10060 7274 10180 7290 -rect 10048 7268 10180 7274 -rect 10100 7262 10180 7268 -rect 10048 7210 10100 7216 -rect 10048 6996 10100 7002 -rect 10048 6938 10100 6944 -rect 10060 6186 10088 6938 -rect 10152 6254 10180 7262 -rect 10232 7268 10284 7274 -rect 10232 7210 10284 7216 -rect 10244 6798 10272 7210 -rect 10520 6866 10548 7346 -rect 10612 6984 10640 8502 -rect 10704 7546 10732 8978 +rect 10336 7342 10364 8978 +rect 10520 8566 10548 9046 +rect 10704 8634 10732 9862 +rect 10860 9820 11168 9840 +rect 10860 9818 10866 9820 +rect 10922 9818 10946 9820 +rect 11002 9818 11026 9820 +rect 11082 9818 11106 9820 +rect 11162 9818 11168 9820 +rect 10922 9766 10924 9818 +rect 11104 9766 11106 9818 +rect 10860 9764 10866 9766 +rect 10922 9764 10946 9766 +rect 11002 9764 11026 9766 +rect 11082 9764 11106 9766 +rect 11162 9764 11168 9766 +rect 10860 9744 11168 9764 +rect 11256 9722 11284 10542 +rect 11520 10124 11572 10130 +rect 11520 10066 11572 10072 +rect 11244 9716 11296 9722 +rect 11244 9658 11296 9664 +rect 11336 9376 11388 9382 +rect 11336 9318 11388 9324 +rect 11348 8974 11376 9318 +rect 11428 9036 11480 9042 +rect 11428 8978 11480 8984 rect 10784 8968 10836 8974 rect 10784 8910 10836 8916 -rect 11244 8968 11296 8974 -rect 11244 8910 11296 8916 -rect 10796 8294 10824 8910 +rect 11336 8968 11388 8974 +rect 11336 8910 11388 8916 +rect 10692 8628 10744 8634 +rect 10692 8570 10744 8576 +rect 10508 8560 10560 8566 +rect 10508 8502 10560 8508 +rect 10600 8424 10652 8430 +rect 10796 8412 10824 8910 rect 10860 8732 11168 8752 rect 10860 8730 10866 8732 rect 10922 8730 10946 8732 @@ -13563,31 +14977,65 @@ rect 11002 8676 11026 8678 rect 11082 8676 11106 8678 rect 11162 8676 11168 8678 rect 10860 8656 11168 8676 -rect 11256 8634 11284 8910 -rect 11244 8628 11296 8634 -rect 11244 8570 11296 8576 -rect 11348 8362 11376 10678 -rect 15200 10668 15252 10674 -rect 15200 10610 15252 10616 +rect 10652 8384 10824 8412 +rect 10600 8366 10652 8372 +rect 11152 8356 11204 8362 +rect 11152 8298 11204 8304 +rect 11164 7886 11192 8298 +rect 11440 8294 11468 8978 +rect 11532 8430 11560 10066 +rect 11900 9178 11928 10610 rect 12808 10600 12860 10606 rect 12808 10542 12860 10548 -rect 11520 10464 11572 10470 -rect 11520 10406 11572 10412 -rect 11428 9376 11480 9382 -rect 11428 9318 11480 9324 -rect 11336 8356 11388 8362 -rect 11336 8298 11388 8304 -rect 10784 8288 10836 8294 -rect 10784 8230 10836 8236 -rect 11244 8288 11296 8294 -rect 11244 8230 11296 8236 -rect 10692 7540 10744 7546 -rect 10692 7482 10744 7488 -rect 10704 7154 10732 7482 -rect 10796 7392 10824 8230 -rect 11256 7750 11284 8230 -rect 11336 8016 11388 8022 -rect 11336 7958 11388 7964 +rect 12410 10364 12718 10384 +rect 12410 10362 12416 10364 +rect 12472 10362 12496 10364 +rect 12552 10362 12576 10364 +rect 12632 10362 12656 10364 +rect 12712 10362 12718 10364 +rect 12472 10310 12474 10362 +rect 12654 10310 12656 10362 +rect 12410 10308 12416 10310 +rect 12472 10308 12496 10310 +rect 12552 10308 12576 10310 +rect 12632 10308 12656 10310 +rect 12712 10308 12718 10310 +rect 12410 10288 12718 10308 +rect 12820 10266 12848 10542 +rect 12808 10260 12860 10266 +rect 12808 10202 12860 10208 +rect 12440 9920 12492 9926 +rect 12440 9862 12492 9868 +rect 12452 9722 12480 9862 +rect 12440 9716 12492 9722 +rect 12440 9658 12492 9664 +rect 12256 9512 12308 9518 +rect 12256 9454 12308 9460 +rect 12164 9376 12216 9382 +rect 12164 9318 12216 9324 +rect 11888 9172 11940 9178 +rect 11888 9114 11940 9120 +rect 12072 9172 12124 9178 +rect 12072 9114 12124 9120 +rect 11980 8900 12032 8906 +rect 11980 8842 12032 8848 +rect 11992 8498 12020 8842 +rect 12084 8838 12112 9114 +rect 12072 8832 12124 8838 +rect 12072 8774 12124 8780 +rect 11796 8492 11848 8498 +rect 11796 8434 11848 8440 +rect 11980 8492 12032 8498 +rect 11980 8434 12032 8440 +rect 11520 8424 11572 8430 +rect 11520 8366 11572 8372 +rect 11428 8288 11480 8294 +rect 11428 8230 11480 8236 +rect 11440 7954 11468 8230 +rect 11428 7948 11480 7954 +rect 11428 7890 11480 7896 +rect 11152 7880 11204 7886 +rect 11152 7822 11204 7828 rect 11244 7744 11296 7750 rect 11244 7686 11296 7692 rect 10860 7644 11168 7664 @@ -13607,852 +15055,86 @@ rect 10860 7568 11168 7588 rect 11256 7546 11284 7686 rect 11244 7540 11296 7546 rect 11244 7482 11296 7488 -rect 11348 7410 11376 7958 -rect 11440 7954 11468 9318 -rect 11532 8634 11560 10406 -rect 12410 10364 12718 10384 -rect 12410 10362 12416 10364 -rect 12472 10362 12496 10364 -rect 12552 10362 12576 10364 -rect 12632 10362 12656 10364 -rect 12712 10362 12718 10364 -rect 12472 10310 12474 10362 -rect 12654 10310 12656 10362 -rect 12410 10308 12416 10310 -rect 12472 10308 12496 10310 -rect 12552 10308 12576 10310 -rect 12632 10308 12656 10310 -rect 12712 10308 12718 10310 -rect 12410 10288 12718 10308 -rect 11796 9580 11848 9586 -rect 11796 9522 11848 9528 -rect 11612 9512 11664 9518 -rect 11612 9454 11664 9460 -rect 11520 8628 11572 8634 -rect 11520 8570 11572 8576 -rect 11428 7948 11480 7954 -rect 11428 7890 11480 7896 -rect 10876 7404 10928 7410 -rect 10796 7364 10876 7392 -rect 10876 7346 10928 7352 -rect 11336 7404 11388 7410 -rect 11336 7346 11388 7352 -rect 11060 7336 11112 7342 -rect 11060 7278 11112 7284 -rect 10968 7200 11020 7206 -rect 10704 7126 10916 7154 -rect 10968 7142 11020 7148 -rect 10612 6956 10824 6984 -rect 10508 6860 10560 6866 -rect 10508 6802 10560 6808 -rect 10692 6860 10744 6866 -rect 10692 6802 10744 6808 -rect 10232 6792 10284 6798 -rect 10416 6792 10468 6798 -rect 10232 6734 10284 6740 -rect 10336 6740 10416 6746 -rect 10336 6734 10468 6740 -rect 10336 6718 10456 6734 -rect 10232 6452 10284 6458 -rect 10336 6440 10364 6718 -rect 10416 6656 10468 6662 -rect 10416 6598 10468 6604 -rect 10284 6412 10364 6440 -rect 10232 6394 10284 6400 -rect 10428 6390 10456 6598 -rect 10416 6384 10468 6390 -rect 10416 6326 10468 6332 -rect 10140 6248 10192 6254 -rect 10140 6190 10192 6196 -rect 10048 6180 10100 6186 -rect 10048 6122 10100 6128 -rect 10416 6112 10468 6118 -rect 10416 6054 10468 6060 -rect 10428 5778 10456 6054 -rect 10048 5772 10100 5778 -rect 10048 5714 10100 5720 -rect 10416 5772 10468 5778 -rect 10416 5714 10468 5720 -rect 9772 5364 9824 5370 -rect 9956 5364 10008 5370 -rect 9772 5306 9824 5312 -rect 9876 5324 9956 5352 -rect 8116 5296 8168 5302 -rect 8116 5238 8168 5244 -rect 9128 5296 9180 5302 -rect 9876 5250 9904 5324 -rect 9956 5306 10008 5312 -rect 9128 5238 9180 5244 -rect 7564 5228 7616 5234 -rect 7564 5170 7616 5176 -rect 9692 5222 9904 5250 -rect 8484 5160 8536 5166 -rect 8484 5102 8536 5108 -rect 7012 5024 7064 5030 -rect 7012 4966 7064 4972 -rect 6210 4924 6518 4944 -rect 6210 4922 6216 4924 -rect 6272 4922 6296 4924 -rect 6352 4922 6376 4924 -rect 6432 4922 6456 4924 -rect 6512 4922 6518 4924 -rect 6272 4870 6274 4922 -rect 6454 4870 6456 4922 -rect 6210 4868 6216 4870 -rect 6272 4868 6296 4870 -rect 6352 4868 6376 4870 -rect 6432 4868 6456 4870 -rect 6512 4868 6518 4870 -rect 6210 4848 6518 4868 -rect 6000 4684 6052 4690 -rect 6000 4626 6052 4632 -rect 8300 4684 8352 4690 -rect 8300 4626 8352 4632 -rect 5460 4406 5672 4434 -rect 4660 4380 4968 4400 -rect 4660 4378 4666 4380 -rect 4722 4378 4746 4380 -rect 4802 4378 4826 4380 -rect 4882 4378 4906 4380 -rect 4962 4378 4968 4380 -rect 4722 4326 4724 4378 -rect 4904 4326 4906 4378 -rect 4660 4324 4666 4326 -rect 4722 4324 4746 4326 -rect 4802 4324 4826 4326 -rect 4882 4324 4906 4326 -rect 4962 4324 4968 4326 -rect 4660 4304 4968 4324 -rect 5540 4276 5592 4282 -rect 5540 4218 5592 4224 -rect 4396 3896 4476 3924 -rect 4344 3878 4396 3884 -rect 3608 3460 3660 3466 -rect 3608 3402 3660 3408 -rect 3148 3392 3200 3398 -rect 3148 3334 3200 3340 -rect 3516 3392 3568 3398 -rect 3516 3334 3568 3340 -rect 3160 2990 3188 3334 -rect 3148 2984 3200 2990 -rect 3148 2926 3200 2932 -rect 3528 2922 3556 3334 -rect 2872 2916 2924 2922 -rect 2872 2858 2924 2864 -rect 3516 2916 3568 2922 -rect 3516 2858 3568 2864 -rect 2780 2644 2832 2650 -rect 2780 2586 2832 2592 -rect 2596 2440 2648 2446 -rect 2596 2382 2648 2388 -rect 2884 2378 2912 2858 -rect 3110 2748 3418 2768 -rect 3110 2746 3116 2748 -rect 3172 2746 3196 2748 -rect 3252 2746 3276 2748 -rect 3332 2746 3356 2748 -rect 3412 2746 3418 2748 -rect 3172 2694 3174 2746 -rect 3354 2694 3356 2746 -rect 3110 2692 3116 2694 -rect 3172 2692 3196 2694 -rect 3252 2692 3276 2694 -rect 3332 2692 3356 2694 -rect 3412 2692 3418 2694 -rect 3110 2672 3418 2692 -rect 3528 2650 3556 2858 -rect 3516 2644 3568 2650 -rect 3516 2586 3568 2592 -rect 2964 2440 3016 2446 -rect 2964 2382 3016 2388 -rect 2872 2372 2924 2378 -rect 2872 2314 2924 2320 -rect 2780 2304 2832 2310 -rect 2780 2246 2832 2252 -rect 1952 2032 2004 2038 -rect 1952 1974 2004 1980 -rect 296 1896 348 1902 -rect 296 1838 348 1844 -rect 1768 1828 1820 1834 -rect 1768 1770 1820 1776 -rect 1780 1358 1808 1770 -rect 1964 1766 1992 1974 -rect 2792 1902 2820 2246 -rect 2976 1970 3004 2382 -rect 4356 2378 4384 3878 -rect 5264 3460 5316 3466 -rect 5264 3402 5316 3408 -rect 4660 3292 4968 3312 -rect 4660 3290 4666 3292 -rect 4722 3290 4746 3292 -rect 4802 3290 4826 3292 -rect 4882 3290 4906 3292 -rect 4962 3290 4968 3292 -rect 4722 3238 4724 3290 -rect 4904 3238 4906 3290 -rect 4660 3236 4666 3238 -rect 4722 3236 4746 3238 -rect 4802 3236 4826 3238 -rect 4882 3236 4906 3238 -rect 4962 3236 4968 3238 -rect 4660 3216 4968 3236 -rect 5276 3126 5304 3402 -rect 5448 3392 5500 3398 -rect 5448 3334 5500 3340 -rect 5460 3126 5488 3334 -rect 5264 3120 5316 3126 -rect 5264 3062 5316 3068 -rect 5448 3120 5500 3126 -rect 5448 3062 5500 3068 -rect 5276 2774 5304 3062 -rect 5276 2746 5396 2774 -rect 4344 2372 4396 2378 -rect 4344 2314 4396 2320 -rect 4356 1970 4384 2314 -rect 4988 2304 5040 2310 -rect 4988 2246 5040 2252 -rect 4660 2204 4968 2224 -rect 4660 2202 4666 2204 -rect 4722 2202 4746 2204 -rect 4802 2202 4826 2204 -rect 4882 2202 4906 2204 -rect 4962 2202 4968 2204 -rect 4722 2150 4724 2202 -rect 4904 2150 4906 2202 -rect 4660 2148 4666 2150 -rect 4722 2148 4746 2150 -rect 4802 2148 4826 2150 -rect 4882 2148 4906 2150 -rect 4962 2148 4968 2150 -rect 4660 2128 4968 2148 -rect 5000 1970 5028 2246 -rect 2964 1964 3016 1970 -rect 2964 1906 3016 1912 -rect 4344 1964 4396 1970 -rect 4344 1906 4396 1912 -rect 4988 1964 5040 1970 -rect 4988 1906 5040 1912 -rect 5080 1964 5132 1970 -rect 5368 1952 5396 2746 -rect 5460 2650 5488 3062 -rect 5448 2644 5500 2650 -rect 5448 2586 5500 2592 -rect 5552 2514 5580 4218 -rect 5644 4214 5672 4406 -rect 6012 4282 6040 4626 -rect 6184 4616 6236 4622 -rect 6184 4558 6236 4564 -rect 6552 4616 6604 4622 -rect 6552 4558 6604 4564 -rect 7012 4616 7064 4622 -rect 7012 4558 7064 4564 -rect 6092 4480 6144 4486 -rect 6092 4422 6144 4428 -rect 6000 4276 6052 4282 -rect 6000 4218 6052 4224 -rect 5632 4208 5684 4214 -rect 5632 4150 5684 4156 -rect 6104 4078 6132 4422 -rect 6092 4072 6144 4078 -rect 6092 4014 6144 4020 -rect 6000 3936 6052 3942 -rect 6196 3924 6224 4558 -rect 6000 3878 6052 3884 -rect 6104 3896 6224 3924 -rect 6012 3602 6040 3878 -rect 6000 3596 6052 3602 -rect 6000 3538 6052 3544 -rect 5908 3528 5960 3534 -rect 5908 3470 5960 3476 -rect 5724 3188 5776 3194 -rect 5724 3130 5776 3136 -rect 5736 2922 5764 3130 -rect 5920 3058 5948 3470 -rect 5908 3052 5960 3058 -rect 5908 2994 5960 3000 -rect 6104 2990 6132 3896 -rect 6210 3836 6518 3856 -rect 6210 3834 6216 3836 -rect 6272 3834 6296 3836 -rect 6352 3834 6376 3836 -rect 6432 3834 6456 3836 -rect 6512 3834 6518 3836 -rect 6272 3782 6274 3834 -rect 6454 3782 6456 3834 -rect 6210 3780 6216 3782 -rect 6272 3780 6296 3782 -rect 6352 3780 6376 3782 -rect 6432 3780 6456 3782 -rect 6512 3780 6518 3782 -rect 6210 3760 6518 3780 -rect 6564 3738 6592 4558 -rect 6736 4480 6788 4486 -rect 6736 4422 6788 4428 -rect 6748 3738 6776 4422 -rect 7024 4146 7052 4558 -rect 7656 4480 7708 4486 -rect 7656 4422 7708 4428 -rect 7668 4282 7696 4422 -rect 7760 4380 8068 4400 -rect 7760 4378 7766 4380 -rect 7822 4378 7846 4380 -rect 7902 4378 7926 4380 -rect 7982 4378 8006 4380 -rect 8062 4378 8068 4380 -rect 7822 4326 7824 4378 -rect 8004 4326 8006 4378 -rect 7760 4324 7766 4326 -rect 7822 4324 7846 4326 -rect 7902 4324 7926 4326 -rect 7982 4324 8006 4326 -rect 8062 4324 8068 4326 -rect 7760 4304 8068 4324 -rect 7656 4276 7708 4282 -rect 7656 4218 7708 4224 -rect 7012 4140 7064 4146 -rect 7012 4082 7064 4088 -rect 8208 4140 8260 4146 -rect 8208 4082 8260 4088 -rect 6552 3732 6604 3738 -rect 6552 3674 6604 3680 -rect 6736 3732 6788 3738 -rect 6736 3674 6788 3680 -rect 6828 3664 6880 3670 -rect 6828 3606 6880 3612 -rect 6840 2990 6868 3606 -rect 7024 3466 7052 4082 -rect 7288 3936 7340 3942 -rect 7288 3878 7340 3884 -rect 7300 3534 7328 3878 -rect 8220 3670 8248 4082 -rect 8312 4078 8340 4626 -rect 8300 4072 8352 4078 -rect 8300 4014 8352 4020 -rect 8208 3664 8260 3670 -rect 8208 3606 8260 3612 -rect 7288 3528 7340 3534 -rect 7288 3470 7340 3476 -rect 8312 3466 8340 4014 -rect 8496 3738 8524 5102 -rect 9036 5024 9088 5030 -rect 9036 4966 9088 4972 -rect 8944 4684 8996 4690 -rect 8944 4626 8996 4632 -rect 8956 4282 8984 4626 -rect 9048 4622 9076 4966 -rect 9310 4924 9618 4944 -rect 9310 4922 9316 4924 -rect 9372 4922 9396 4924 -rect 9452 4922 9476 4924 -rect 9532 4922 9556 4924 -rect 9612 4922 9618 4924 -rect 9372 4870 9374 4922 -rect 9554 4870 9556 4922 -rect 9310 4868 9316 4870 -rect 9372 4868 9396 4870 -rect 9452 4868 9476 4870 -rect 9532 4868 9556 4870 -rect 9612 4868 9618 4870 -rect 9310 4848 9618 4868 -rect 9036 4616 9088 4622 -rect 9036 4558 9088 4564 -rect 8944 4276 8996 4282 -rect 8944 4218 8996 4224 -rect 8760 4140 8812 4146 -rect 8680 4100 8760 4128 -rect 8680 3942 8708 4100 -rect 8760 4082 8812 4088 -rect 8668 3936 8720 3942 -rect 8668 3878 8720 3884 -rect 8760 3936 8812 3942 -rect 8760 3878 8812 3884 -rect 8484 3732 8536 3738 -rect 8484 3674 8536 3680 -rect 8576 3732 8628 3738 -rect 8576 3674 8628 3680 -rect 7012 3460 7064 3466 -rect 7012 3402 7064 3408 -rect 8300 3460 8352 3466 -rect 8300 3402 8352 3408 -rect 7380 3392 7432 3398 -rect 7380 3334 7432 3340 -rect 7472 3392 7524 3398 -rect 7472 3334 7524 3340 -rect 7392 3194 7420 3334 -rect 7380 3188 7432 3194 -rect 7380 3130 7432 3136 -rect 6920 3120 6972 3126 -rect 6920 3062 6972 3068 -rect 7196 3120 7248 3126 -rect 7196 3062 7248 3068 -rect 6092 2984 6144 2990 -rect 6828 2984 6880 2990 -rect 6092 2926 6144 2932 -rect 6748 2944 6828 2972 -rect 5724 2916 5776 2922 -rect 5724 2858 5776 2864 -rect 5908 2916 5960 2922 -rect 5908 2858 5960 2864 -rect 5632 2848 5684 2854 -rect 5632 2790 5684 2796 -rect 5540 2508 5592 2514 -rect 5540 2450 5592 2456 -rect 5552 2038 5580 2450 -rect 5644 2378 5672 2790 -rect 5920 2774 5948 2858 -rect 5920 2746 6040 2774 -rect 5632 2372 5684 2378 -rect 5632 2314 5684 2320 -rect 5540 2032 5592 2038 -rect 5540 1974 5592 1980 -rect 5448 1964 5500 1970 -rect 5368 1924 5448 1952 -rect 5080 1906 5132 1912 -rect 5448 1906 5500 1912 -rect 2780 1896 2832 1902 -rect 2780 1838 2832 1844 -rect 4068 1896 4120 1902 -rect 4068 1838 4120 1844 -rect 1952 1760 2004 1766 -rect 1952 1702 2004 1708 -rect 2228 1760 2280 1766 -rect 2228 1702 2280 1708 -rect 2504 1760 2556 1766 -rect 2504 1702 2556 1708 -rect 1768 1352 1820 1358 -rect 1768 1294 1820 1300 -rect 2240 678 2268 1702 -rect 2516 882 2544 1702 -rect 3110 1660 3418 1680 -rect 3110 1658 3116 1660 -rect 3172 1658 3196 1660 -rect 3252 1658 3276 1660 -rect 3332 1658 3356 1660 -rect 3412 1658 3418 1660 -rect 3172 1606 3174 1658 -rect 3354 1606 3356 1658 -rect 3110 1604 3116 1606 -rect 3172 1604 3196 1606 -rect 3252 1604 3276 1606 -rect 3332 1604 3356 1606 -rect 3412 1604 3418 1606 -rect 3110 1584 3418 1604 -rect 4080 1494 4108 1838 -rect 4068 1488 4120 1494 -rect 4068 1430 4120 1436 -rect 4356 1358 4384 1906 -rect 4436 1760 4488 1766 -rect 4436 1702 4488 1708 -rect 4528 1760 4580 1766 -rect 4528 1702 4580 1708 -rect 4344 1352 4396 1358 -rect 4344 1294 4396 1300 -rect 4356 882 4384 1294 -rect 4448 950 4476 1702 -rect 4540 1426 4568 1702 -rect 5092 1494 5120 1906 -rect 5172 1760 5224 1766 -rect 5172 1702 5224 1708 -rect 5080 1488 5132 1494 -rect 5080 1430 5132 1436 -rect 4528 1420 4580 1426 -rect 4528 1362 4580 1368 -rect 4988 1352 5040 1358 -rect 4988 1294 5040 1300 -rect 4528 1284 4580 1290 -rect 4528 1226 4580 1232 -rect 4436 944 4488 950 -rect 4436 886 4488 892 -rect 2504 876 2556 882 -rect 2504 818 2556 824 -rect 4344 876 4396 882 -rect 4540 864 4568 1226 -rect 4660 1116 4968 1136 -rect 4660 1114 4666 1116 -rect 4722 1114 4746 1116 -rect 4802 1114 4826 1116 -rect 4882 1114 4906 1116 -rect 4962 1114 4968 1116 -rect 4722 1062 4724 1114 -rect 4904 1062 4906 1114 -rect 4660 1060 4666 1062 -rect 4722 1060 4746 1062 -rect 4802 1060 4826 1062 -rect 4882 1060 4906 1062 -rect 4962 1060 4968 1062 -rect 4660 1040 4968 1060 -rect 5000 1018 5028 1294 -rect 4988 1012 5040 1018 -rect 4988 954 5040 960 -rect 4894 912 4950 921 -rect 4712 876 4764 882 -rect 4540 836 4712 864 -rect 4344 818 4396 824 -rect 5092 882 5120 1430 -rect 5184 1222 5212 1702 -rect 5264 1284 5316 1290 -rect 5264 1226 5316 1232 -rect 5172 1216 5224 1222 -rect 5172 1158 5224 1164 -rect 4894 847 4896 856 -rect 4712 818 4764 824 -rect 4948 847 4950 856 -rect 5080 876 5132 882 -rect 4896 818 4948 824 -rect 5080 818 5132 824 -rect 4356 678 4384 818 -rect 2228 672 2280 678 -rect 2228 614 2280 620 -rect 4344 672 4396 678 -rect 4344 614 4396 620 -rect 3110 572 3418 592 -rect 3110 570 3116 572 -rect 3172 570 3196 572 -rect 3252 570 3276 572 -rect 3332 570 3356 572 -rect 3412 570 3418 572 -rect 3172 518 3174 570 -rect 3354 518 3356 570 -rect 3110 516 3116 518 -rect 3172 516 3196 518 -rect 3252 516 3276 518 -rect 3332 516 3356 518 -rect 3412 516 3418 518 -rect 3110 496 3418 516 -rect 4724 202 4752 818 -rect 5184 270 5212 1158 -rect 5276 921 5304 1226 -rect 5356 1216 5408 1222 -rect 5356 1158 5408 1164 -rect 5262 912 5318 921 -rect 5262 847 5318 856 -rect 5368 746 5396 1158 -rect 5552 1034 5580 1974 -rect 6012 1358 6040 2746 -rect 6210 2748 6518 2768 -rect 6210 2746 6216 2748 -rect 6272 2746 6296 2748 -rect 6352 2746 6376 2748 -rect 6432 2746 6456 2748 -rect 6512 2746 6518 2748 -rect 6272 2694 6274 2746 -rect 6454 2694 6456 2746 -rect 6210 2692 6216 2694 -rect 6272 2692 6296 2694 -rect 6352 2692 6376 2694 -rect 6432 2692 6456 2694 -rect 6512 2692 6518 2694 -rect 6210 2672 6518 2692 -rect 6092 2440 6144 2446 -rect 6092 2382 6144 2388 -rect 6104 2038 6132 2382 -rect 6092 2032 6144 2038 -rect 6092 1974 6144 1980 -rect 6748 1970 6776 2944 -rect 6828 2926 6880 2932 -rect 6828 2848 6880 2854 -rect 6828 2790 6880 2796 -rect 6840 1970 6868 2790 -rect 6736 1964 6788 1970 -rect 6736 1906 6788 1912 -rect 6828 1964 6880 1970 -rect 6828 1906 6880 1912 -rect 6210 1660 6518 1680 -rect 6210 1658 6216 1660 -rect 6272 1658 6296 1660 -rect 6352 1658 6376 1660 -rect 6432 1658 6456 1660 -rect 6512 1658 6518 1660 -rect 6272 1606 6274 1658 -rect 6454 1606 6456 1658 -rect 6210 1604 6216 1606 -rect 6272 1604 6296 1606 -rect 6352 1604 6376 1606 -rect 6432 1604 6456 1606 -rect 6512 1604 6518 1606 -rect 6210 1584 6518 1604 -rect 6748 1426 6776 1906 -rect 6736 1420 6788 1426 -rect 6736 1362 6788 1368 -rect 5908 1352 5960 1358 -rect 5908 1294 5960 1300 -rect 6000 1352 6052 1358 -rect 6000 1294 6052 1300 -rect 5816 1216 5868 1222 -rect 5816 1158 5868 1164 -rect 5460 1006 5580 1034 -rect 5460 950 5488 1006 -rect 5448 944 5500 950 -rect 5448 886 5500 892 -rect 5632 808 5684 814 -rect 5632 750 5684 756 -rect 5356 740 5408 746 -rect 5356 682 5408 688 -rect 5172 264 5224 270 -rect 5172 206 5224 212 -rect 4712 196 4764 202 -rect 4712 138 4764 144 -rect 5368 134 5396 682 -rect 5644 474 5672 750 -rect 5632 468 5684 474 -rect 5632 410 5684 416 -rect 5828 338 5856 1158 -rect 5920 1018 5948 1294 -rect 6932 1222 6960 3062 -rect 7208 2650 7236 3062 -rect 7484 2854 7512 3334 -rect 7760 3292 8068 3312 -rect 7760 3290 7766 3292 -rect 7822 3290 7846 3292 -rect 7902 3290 7926 3292 -rect 7982 3290 8006 3292 -rect 8062 3290 8068 3292 -rect 7822 3238 7824 3290 -rect 8004 3238 8006 3290 -rect 7760 3236 7766 3238 -rect 7822 3236 7846 3238 -rect 7902 3236 7926 3238 -rect 7982 3236 8006 3238 -rect 8062 3236 8068 3238 -rect 7760 3216 8068 3236 -rect 8208 3188 8260 3194 -rect 8208 3130 8260 3136 -rect 7472 2848 7524 2854 -rect 7472 2790 7524 2796 -rect 7196 2644 7248 2650 -rect 7196 2586 7248 2592 -rect 7472 2372 7524 2378 -rect 7472 2314 7524 2320 -rect 7484 1970 7512 2314 -rect 7760 2204 8068 2224 -rect 7760 2202 7766 2204 -rect 7822 2202 7846 2204 -rect 7902 2202 7926 2204 -rect 7982 2202 8006 2204 -rect 8062 2202 8068 2204 -rect 7822 2150 7824 2202 -rect 8004 2150 8006 2202 -rect 7760 2148 7766 2150 -rect 7822 2148 7846 2150 -rect 7902 2148 7926 2150 -rect 7982 2148 8006 2150 -rect 8062 2148 8068 2150 -rect 7760 2128 8068 2148 -rect 7472 1964 7524 1970 -rect 7472 1906 7524 1912 -rect 7380 1896 7432 1902 -rect 7380 1838 7432 1844 -rect 7392 1358 7420 1838 -rect 7484 1494 7512 1906 -rect 7472 1488 7524 1494 -rect 7472 1430 7524 1436 -rect 7012 1352 7064 1358 -rect 7010 1320 7012 1329 -rect 7380 1352 7432 1358 -rect 7064 1320 7066 1329 -rect 7380 1294 7432 1300 -rect 7010 1255 7066 1264 -rect 6920 1216 6972 1222 -rect 6920 1158 6972 1164 -rect 7392 1018 7420 1294 -rect 5908 1012 5960 1018 -rect 5908 954 5960 960 -rect 7380 1012 7432 1018 -rect 7380 954 7432 960 -rect 5816 332 5868 338 -rect 5816 274 5868 280 -rect 5920 270 5948 954 -rect 7484 882 7512 1430 -rect 7564 1284 7616 1290 -rect 7564 1226 7616 1232 -rect 7576 1018 7604 1226 -rect 8220 1222 8248 3130 -rect 8312 3058 8340 3402 -rect 8588 3194 8616 3674 -rect 8666 3632 8722 3641 -rect 8772 3602 8800 3878 -rect 8666 3567 8722 3576 -rect 8760 3596 8812 3602 -rect 8680 3534 8708 3567 -rect 8760 3538 8812 3544 -rect 8668 3528 8720 3534 -rect 8668 3470 8720 3476 -rect 8944 3528 8996 3534 -rect 9048 3505 9076 4558 -rect 9220 4072 9272 4078 -rect 9220 4014 9272 4020 -rect 9128 3936 9180 3942 -rect 9128 3878 9180 3884 -rect 8944 3470 8996 3476 -rect 9034 3496 9090 3505 -rect 8576 3188 8628 3194 -rect 8576 3130 8628 3136 -rect 8760 3188 8812 3194 -rect 8760 3130 8812 3136 -rect 8300 3052 8352 3058 -rect 8300 2994 8352 3000 -rect 8772 2582 8800 3130 -rect 8956 3126 8984 3470 -rect 9034 3431 9036 3440 -rect 9088 3431 9090 3440 -rect 9036 3402 9088 3408 -rect 9048 3371 9076 3402 -rect 8944 3120 8996 3126 -rect 8942 3088 8944 3097 -rect 8996 3088 8998 3097 -rect 8942 3023 8998 3032 -rect 9140 2774 9168 3878 -rect 8956 2746 9168 2774 -rect 8760 2576 8812 2582 -rect 8760 2518 8812 2524 -rect 8576 2372 8628 2378 -rect 8576 2314 8628 2320 -rect 8300 2304 8352 2310 -rect 8300 2246 8352 2252 -rect 8312 1834 8340 2246 -rect 8588 1902 8616 2314 -rect 8576 1896 8628 1902 -rect 8576 1838 8628 1844 -rect 8300 1828 8352 1834 -rect 8300 1770 8352 1776 -rect 8208 1216 8260 1222 -rect 8208 1158 8260 1164 -rect 7760 1116 8068 1136 -rect 7760 1114 7766 1116 -rect 7822 1114 7846 1116 -rect 7902 1114 7926 1116 -rect 7982 1114 8006 1116 -rect 8062 1114 8068 1116 -rect 7822 1062 7824 1114 -rect 8004 1062 8006 1114 -rect 7760 1060 7766 1062 -rect 7822 1060 7846 1062 -rect 7902 1060 7926 1062 -rect 7982 1060 8006 1062 -rect 8062 1060 8068 1062 -rect 7760 1040 8068 1060 -rect 7564 1012 7616 1018 -rect 7564 954 7616 960 -rect 7746 912 7802 921 -rect 7288 876 7340 882 -rect 7288 818 7340 824 -rect 7472 876 7524 882 -rect 8220 882 8248 1158 -rect 7746 847 7748 856 -rect 7472 818 7524 824 -rect 7800 847 7802 856 -rect 8208 876 8260 882 -rect 7748 818 7800 824 -rect 8208 818 8260 824 -rect 6210 572 6518 592 -rect 6210 570 6216 572 -rect 6272 570 6296 572 -rect 6352 570 6376 572 -rect 6432 570 6456 572 -rect 6512 570 6518 572 -rect 6272 518 6274 570 -rect 6454 518 6456 570 -rect 6210 516 6216 518 -rect 6272 516 6296 518 -rect 6352 516 6376 518 -rect 6432 516 6456 518 -rect 6512 516 6518 518 -rect 6210 496 6518 516 -rect 7300 474 7328 818 -rect 8312 814 8340 1770 -rect 8668 1760 8720 1766 -rect 8668 1702 8720 1708 -rect 8680 1426 8708 1702 -rect 8772 1426 8800 2518 -rect 8668 1420 8720 1426 -rect 8668 1362 8720 1368 -rect 8760 1420 8812 1426 -rect 8760 1362 8812 1368 -rect 8576 1352 8628 1358 -rect 8852 1352 8904 1358 -rect 8576 1294 8628 1300 -rect 8758 1320 8814 1329 -rect 8588 950 8616 1294 -rect 8852 1294 8904 1300 -rect 8758 1255 8814 1264 -rect 8576 944 8628 950 -rect 8576 886 8628 892 -rect 8772 882 8800 1255 -rect 8760 876 8812 882 -rect 8760 818 8812 824 -rect 8300 808 8352 814 -rect 8300 750 8352 756 -rect 8864 474 8892 1294 -rect 8956 1018 8984 2746 -rect 9036 1420 9088 1426 -rect 9036 1362 9088 1368 -rect 8944 1012 8996 1018 -rect 8944 954 8996 960 -rect 7288 468 7340 474 -rect 7288 410 7340 416 -rect 8852 468 8904 474 -rect 8852 410 8904 416 -rect 9048 377 9076 1362 -rect 9232 1329 9260 4014 -rect 9310 3836 9618 3856 -rect 9310 3834 9316 3836 -rect 9372 3834 9396 3836 -rect 9452 3834 9476 3836 -rect 9532 3834 9556 3836 -rect 9612 3834 9618 3836 -rect 9372 3782 9374 3834 -rect 9554 3782 9556 3834 -rect 9310 3780 9316 3782 -rect 9372 3780 9396 3782 -rect 9452 3780 9476 3782 -rect 9532 3780 9556 3782 -rect 9612 3780 9618 3782 -rect 9310 3760 9618 3780 -rect 9404 3392 9456 3398 -rect 9404 3334 9456 3340 -rect 9416 3058 9444 3334 -rect 9404 3052 9456 3058 -rect 9404 2994 9456 3000 -rect 9310 2748 9618 2768 -rect 9310 2746 9316 2748 -rect 9372 2746 9396 2748 -rect 9452 2746 9476 2748 -rect 9532 2746 9556 2748 -rect 9612 2746 9618 2748 -rect 9372 2694 9374 2746 -rect 9554 2694 9556 2746 -rect 9310 2692 9316 2694 -rect 9372 2692 9396 2694 -rect 9452 2692 9476 2694 -rect 9532 2692 9556 2694 -rect 9612 2692 9618 2694 -rect 9310 2672 9618 2692 -rect 9692 2514 9720 5222 -rect 10060 5166 10088 5714 -rect 10520 5166 10548 6802 -rect 10600 6656 10652 6662 -rect 10600 6598 10652 6604 -rect 10612 6458 10640 6598 -rect 10600 6452 10652 6458 -rect 10600 6394 10652 6400 -rect 10704 6322 10732 6802 -rect 10796 6730 10824 6956 -rect 10888 6866 10916 7126 -rect 10980 7002 11008 7142 -rect 10968 6996 11020 7002 -rect 10968 6938 11020 6944 -rect 10876 6860 10928 6866 -rect 10876 6802 10928 6808 -rect 10980 6730 11008 6938 -rect 11072 6798 11100 7278 -rect 11060 6792 11112 6798 -rect 11060 6734 11112 6740 -rect 10784 6724 10836 6730 -rect 10784 6666 10836 6672 +rect 11060 7404 11112 7410 +rect 11060 7346 11112 7352 +rect 10324 7336 10376 7342 +rect 10324 7278 10376 7284 +rect 9310 7100 9618 7120 +rect 9310 7098 9316 7100 +rect 9372 7098 9396 7100 +rect 9452 7098 9476 7100 +rect 9532 7098 9556 7100 +rect 9612 7098 9618 7100 +rect 9372 7046 9374 7098 +rect 9554 7046 9556 7098 +rect 9310 7044 9316 7046 +rect 9372 7044 9396 7046 +rect 9452 7044 9476 7046 +rect 9532 7044 9556 7046 +rect 9612 7044 9618 7046 +rect 9310 7024 9618 7044 +rect 10336 6798 10364 7278 +rect 10784 7268 10836 7274 +rect 10784 7210 10836 7216 +rect 10796 7002 10824 7210 +rect 10784 6996 10836 7002 +rect 10784 6938 10836 6944 +rect 10324 6792 10376 6798 +rect 10324 6734 10376 6740 +rect 10692 6724 10744 6730 +rect 10692 6666 10744 6672 +rect 10704 6118 10732 6666 +rect 10692 6112 10744 6118 +rect 10692 6054 10744 6060 +rect 9310 6012 9618 6032 +rect 9310 6010 9316 6012 +rect 9372 6010 9396 6012 +rect 9452 6010 9476 6012 +rect 9532 6010 9556 6012 +rect 9612 6010 9618 6012 +rect 9372 5958 9374 6010 +rect 9554 5958 9556 6010 +rect 9310 5956 9316 5958 +rect 9372 5956 9396 5958 +rect 9452 5956 9476 5958 +rect 9532 5956 9556 5958 +rect 9612 5956 9618 5958 +rect 9310 5936 9618 5956 +rect 10704 5574 10732 6054 +rect 9312 5568 9364 5574 +rect 9312 5510 9364 5516 +rect 10692 5568 10744 5574 +rect 10692 5510 10744 5516 +rect 9220 5364 9272 5370 +rect 9140 5324 9220 5352 +rect 8852 5228 8904 5234 +rect 8852 5170 8904 5176 +rect 9036 5228 9088 5234 +rect 9036 5170 9088 5176 +rect 8576 5160 8628 5166 +rect 8576 5102 8628 5108 +rect 8864 4826 8892 5170 +rect 8852 4820 8904 4826 +rect 8852 4762 8904 4768 +rect 9140 4690 9168 5324 +rect 9220 5306 9272 5312 +rect 9324 5302 9352 5510 +rect 9312 5296 9364 5302 +rect 9312 5238 9364 5244 +rect 10796 5234 10824 6938 +rect 11072 6848 11100 7346 +rect 11440 7342 11468 7890 +rect 11428 7336 11480 7342 +rect 11428 7278 11480 7284 +rect 11428 7200 11480 7206 +rect 11428 7142 11480 7148 +rect 10980 6820 11100 6848 +rect 10980 6730 11008 6820 +rect 11440 6798 11468 7142 +rect 11428 6792 11480 6798 +rect 11428 6734 11480 6740 rect 10968 6724 11020 6730 rect 10968 6666 11020 6672 -rect 11244 6656 11296 6662 -rect 11244 6598 11296 6604 rect 10860 6556 11168 6576 rect 10860 6554 10866 6556 rect 10922 6554 10946 6556 @@ -14467,19 +15149,9 @@ rect 11002 6500 11026 6502 rect 11082 6500 11106 6502 rect 11162 6500 11168 6502 rect 10860 6480 11168 6500 -rect 10600 6316 10652 6322 -rect 10600 6258 10652 6264 -rect 10692 6316 10744 6322 -rect 10692 6258 10744 6264 -rect 10612 5234 10640 6258 -rect 10704 5778 10732 6258 -rect 11256 5914 11284 6598 -rect 11244 5908 11296 5914 -rect 11244 5850 11296 5856 -rect 10692 5772 10744 5778 -rect 10692 5714 10744 5720 -rect 11244 5772 11296 5778 -rect 11244 5714 11296 5720 +rect 11440 5778 11468 6734 +rect 11428 5772 11480 5778 +rect 11428 5714 11480 5720 rect 10860 5468 11168 5488 rect 10860 5466 10866 5468 rect 10922 5466 10946 5468 @@ -14494,53 +15166,39 @@ rect 11002 5412 11026 5414 rect 11082 5412 11106 5414 rect 11162 5412 11168 5414 rect 10860 5392 11168 5412 -rect 11256 5302 11284 5714 -rect 11624 5370 11652 9454 -rect 11808 9178 11836 9522 -rect 12820 9518 12848 10542 -rect 13176 10532 13228 10538 -rect 13176 10474 13228 10480 -rect 14372 10532 14424 10538 -rect 14372 10474 14424 10480 -rect 13188 10266 13216 10474 -rect 13360 10464 13412 10470 -rect 13360 10406 13412 10412 -rect 13176 10260 13228 10266 -rect 13176 10202 13228 10208 -rect 13372 10130 13400 10406 -rect 13360 10124 13412 10130 -rect 13360 10066 13412 10072 -rect 14384 9926 14412 10474 -rect 14464 10464 14516 10470 -rect 14464 10406 14516 10412 -rect 14832 10464 14884 10470 -rect 14832 10406 14884 10412 -rect 14476 10130 14504 10406 -rect 14464 10124 14516 10130 -rect 14464 10066 14516 10072 -rect 14372 9920 14424 9926 -rect 14372 9862 14424 9868 -rect 13960 9820 14268 9840 -rect 13960 9818 13966 9820 -rect 14022 9818 14046 9820 -rect 14102 9818 14126 9820 -rect 14182 9818 14206 9820 -rect 14262 9818 14268 9820 -rect 14022 9766 14024 9818 -rect 14204 9766 14206 9818 -rect 13960 9764 13966 9766 -rect 14022 9764 14046 9766 -rect 14102 9764 14126 9766 -rect 14182 9764 14206 9766 -rect 14262 9764 14268 9766 -rect 13960 9744 14268 9764 -rect 14384 9722 14412 9862 -rect 14372 9716 14424 9722 -rect 14372 9658 14424 9664 -rect 14464 9716 14516 9722 -rect 14464 9658 14516 9664 -rect 12808 9512 12860 9518 -rect 12808 9454 12860 9460 +rect 11336 5364 11388 5370 +rect 11336 5306 11388 5312 +rect 10784 5228 10836 5234 +rect 10784 5170 10836 5176 +rect 9220 5092 9272 5098 +rect 9220 5034 9272 5040 +rect 9232 4690 9260 5034 +rect 9310 4924 9618 4944 +rect 9310 4922 9316 4924 +rect 9372 4922 9396 4924 +rect 9452 4922 9476 4924 +rect 9532 4922 9556 4924 +rect 9612 4922 9618 4924 +rect 9372 4870 9374 4922 +rect 9554 4870 9556 4922 +rect 9310 4868 9316 4870 +rect 9372 4868 9396 4870 +rect 9452 4868 9476 4870 +rect 9532 4868 9556 4870 +rect 9612 4868 9618 4870 +rect 9310 4848 9618 4868 +rect 11348 4690 11376 5306 +rect 11440 5234 11468 5714 +rect 11532 5370 11560 8366 +rect 11808 8090 11836 8434 +rect 11888 8288 11940 8294 +rect 11888 8230 11940 8236 +rect 11796 8084 11848 8090 +rect 11796 8026 11848 8032 +rect 11900 7886 11928 8230 +rect 12084 8022 12112 8774 +rect 12176 8634 12204 9318 +rect 12268 8838 12296 9454 rect 12410 9276 12718 9296 rect 12410 9274 12416 9276 rect 12472 9274 12496 9276 @@ -14555,102 +15213,60 @@ rect 12552 9220 12576 9222 rect 12632 9220 12656 9222 rect 12712 9220 12718 9222 rect 12410 9200 12718 9220 -rect 11796 9172 11848 9178 -rect 11796 9114 11848 9120 -rect 11808 8906 11836 9114 -rect 11796 8900 11848 8906 -rect 11848 8860 11928 8888 -rect 11796 8842 11848 8848 -rect 11704 8424 11756 8430 -rect 11704 8366 11756 8372 -rect 11716 7546 11744 8366 -rect 11796 7812 11848 7818 -rect 11796 7754 11848 7760 -rect 11704 7540 11756 7546 -rect 11704 7482 11756 7488 -rect 11808 7410 11836 7754 -rect 11796 7404 11848 7410 -rect 11796 7346 11848 7352 -rect 11808 6798 11836 7346 -rect 11796 6792 11848 6798 -rect 11796 6734 11848 6740 -rect 11900 6458 11928 8860 -rect 11980 8832 12032 8838 -rect 11980 8774 12032 8780 -rect 11992 8498 12020 8774 -rect 12820 8650 12848 9454 -rect 14476 9382 14504 9658 -rect 14844 9586 14872 10406 -rect 15212 10266 15240 10610 -rect 15292 10464 15344 10470 -rect 15292 10406 15344 10412 -rect 14924 10260 14976 10266 -rect 14924 10202 14976 10208 -rect 15200 10260 15252 10266 -rect 15200 10202 15252 10208 -rect 14832 9580 14884 9586 -rect 14832 9522 14884 9528 -rect 13360 9376 13412 9382 -rect 13360 9318 13412 9324 -rect 13452 9376 13504 9382 -rect 13452 9318 13504 9324 -rect 14464 9376 14516 9382 -rect 14464 9318 14516 9324 -rect 13372 9042 13400 9318 -rect 13360 9036 13412 9042 -rect 13360 8978 13412 8984 -rect 13464 8974 13492 9318 -rect 14476 9178 14504 9318 -rect 14188 9172 14240 9178 -rect 14188 9114 14240 9120 -rect 14464 9172 14516 9178 -rect 14516 9132 14596 9160 -rect 14464 9114 14516 9120 -rect 13452 8968 13504 8974 -rect 13452 8910 13504 8916 -rect 12728 8622 12848 8650 -rect 11980 8492 12032 8498 -rect 11980 8434 12032 8440 -rect 11992 7886 12020 8434 -rect 12164 8424 12216 8430 -rect 12164 8366 12216 8372 -rect 11980 7880 12032 7886 -rect 11980 7822 12032 7828 -rect 12176 7342 12204 8366 -rect 12728 8362 12756 8622 -rect 13464 8566 13492 8910 -rect 14200 8906 14228 9114 -rect 14464 9036 14516 9042 -rect 14464 8978 14516 8984 -rect 14188 8900 14240 8906 -rect 14188 8842 14240 8848 -rect 14372 8832 14424 8838 -rect 14372 8774 14424 8780 -rect 13960 8732 14268 8752 -rect 13960 8730 13966 8732 -rect 14022 8730 14046 8732 -rect 14102 8730 14126 8732 -rect 14182 8730 14206 8732 -rect 14262 8730 14268 8732 -rect 14022 8678 14024 8730 -rect 14204 8678 14206 8730 -rect 13960 8676 13966 8678 -rect 14022 8676 14046 8678 -rect 14102 8676 14126 8678 -rect 14182 8676 14206 8678 -rect 14262 8676 14268 8678 -rect 13960 8656 14268 8676 -rect 12900 8560 12952 8566 -rect 12900 8502 12952 8508 -rect 13452 8560 13504 8566 -rect 13452 8502 13504 8508 -rect 12808 8492 12860 8498 -rect 12808 8434 12860 8440 -rect 12716 8356 12768 8362 -rect 12716 8298 12768 8304 -rect 12256 8288 12308 8294 -rect 12256 8230 12308 8236 -rect 12268 7886 12296 8230 +rect 12440 9104 12492 9110 +rect 12440 9046 12492 9052 +rect 12256 8832 12308 8838 +rect 12256 8774 12308 8780 +rect 12164 8628 12216 8634 +rect 12164 8570 12216 8576 +rect 12348 8560 12400 8566 +rect 12268 8520 12348 8548 +rect 12072 8016 12124 8022 +rect 12072 7958 12124 7964 +rect 11704 7880 11756 7886 +rect 11704 7822 11756 7828 +rect 11888 7880 11940 7886 +rect 11888 7822 11940 7828 +rect 11716 7410 11744 7822 +rect 12084 7546 12112 7958 +rect 12164 7812 12216 7818 +rect 12164 7754 12216 7760 +rect 12072 7540 12124 7546 +rect 12072 7482 12124 7488 +rect 12176 7410 12204 7754 +rect 12268 7750 12296 8520 +rect 12348 8502 12400 8508 +rect 12452 8378 12480 9046 +rect 12820 9042 12848 10202 +rect 12912 9110 12940 10610 +rect 12992 9376 13044 9382 +rect 12992 9318 13044 9324 +rect 12900 9104 12952 9110 +rect 12900 9046 12952 9052 +rect 12808 9036 12860 9042 +rect 12808 8978 12860 8984 +rect 12716 8968 12768 8974 +rect 13004 8956 13032 9318 +rect 12716 8910 12768 8916 +rect 12912 8928 13032 8956 +rect 12624 8832 12676 8838 +rect 12624 8774 12676 8780 +rect 12360 8362 12480 8378 +rect 12636 8362 12664 8774 +rect 12728 8634 12756 8910 +rect 12716 8628 12768 8634 +rect 12716 8570 12768 8576 +rect 12912 8498 12940 8928 +rect 12900 8492 12952 8498 +rect 12900 8434 12952 8440 +rect 12912 8362 12940 8434 +rect 12348 8356 12480 8362 +rect 12400 8350 12480 8356 +rect 12624 8356 12676 8362 +rect 12348 8298 12400 8304 +rect 12624 8298 12676 8304 +rect 12900 8356 12952 8362 +rect 12900 8298 12952 8304 rect 12410 8188 12718 8208 rect 12410 8186 12416 8188 rect 12472 8186 12496 8188 @@ -14665,52 +15281,25 @@ rect 12552 8132 12576 8134 rect 12632 8132 12656 8134 rect 12712 8132 12718 8134 rect 12410 8112 12718 8132 -rect 12820 8022 12848 8434 -rect 12912 8090 12940 8502 -rect 14384 8498 14412 8774 -rect 13360 8492 13412 8498 -rect 13360 8434 13412 8440 -rect 14372 8492 14424 8498 -rect 14372 8434 14424 8440 -rect 13268 8424 13320 8430 -rect 13268 8366 13320 8372 -rect 12900 8084 12952 8090 -rect 12900 8026 12952 8032 -rect 12808 8016 12860 8022 -rect 12808 7958 12860 7964 -rect 12256 7880 12308 7886 -rect 12256 7822 12308 7828 -rect 12268 7410 12296 7822 -rect 13280 7818 13308 8366 -rect 13372 8090 13400 8434 -rect 14476 8430 14504 8978 -rect 14568 8634 14596 9132 -rect 14556 8628 14608 8634 -rect 14556 8570 14608 8576 -rect 14464 8424 14516 8430 -rect 14464 8366 14516 8372 -rect 14280 8288 14332 8294 -rect 14280 8230 14332 8236 -rect 13360 8084 13412 8090 -rect 13360 8026 13412 8032 -rect 14292 7954 14320 8230 -rect 13728 7948 13780 7954 -rect 13728 7890 13780 7896 -rect 14280 7948 14332 7954 -rect 14280 7890 14332 7896 -rect 13544 7880 13596 7886 -rect 13544 7822 13596 7828 -rect 13268 7812 13320 7818 -rect 13268 7754 13320 7760 -rect 13452 7744 13504 7750 -rect 13452 7686 13504 7692 -rect 13464 7410 13492 7686 -rect 12256 7404 12308 7410 -rect 12256 7346 12308 7352 -rect 13452 7404 13504 7410 -rect 13452 7346 13504 7352 -rect 12164 7336 12216 7342 -rect 12164 7278 12216 7284 +rect 12256 7744 12308 7750 +rect 12256 7686 12308 7692 +rect 11704 7404 11756 7410 +rect 11704 7346 11756 7352 +rect 12164 7404 12216 7410 +rect 12164 7346 12216 7352 +rect 12268 7342 12296 7686 +rect 12900 7404 12952 7410 +rect 12900 7346 12952 7352 +rect 12256 7336 12308 7342 +rect 12256 7278 12308 7284 +rect 12808 7336 12860 7342 +rect 12808 7278 12860 7284 +rect 12164 7200 12216 7206 +rect 12164 7142 12216 7148 +rect 12256 7200 12308 7206 +rect 12256 7142 12308 7148 +rect 12176 6390 12204 7142 +rect 12268 7002 12296 7142 rect 12410 7100 12718 7120 rect 12410 7098 12416 7100 rect 12472 7098 12496 7100 @@ -14725,112 +15314,24 @@ rect 12552 7044 12576 7046 rect 12632 7044 12656 7046 rect 12712 7044 12718 7046 rect 12410 7024 12718 7044 -rect 13556 6798 13584 7822 -rect 13636 7812 13688 7818 -rect 13636 7754 13688 7760 -rect 13648 7449 13676 7754 -rect 13740 7546 13768 7890 -rect 13820 7812 13872 7818 -rect 13820 7754 13872 7760 -rect 14372 7812 14424 7818 -rect 14372 7754 14424 7760 -rect 13728 7540 13780 7546 -rect 13728 7482 13780 7488 -rect 13634 7440 13690 7449 -rect 13634 7375 13636 7384 -rect 13688 7375 13690 7384 -rect 13728 7438 13780 7444 -rect 13728 7380 13780 7386 -rect 13636 7346 13688 7352 -rect 13648 7315 13676 7346 -rect 13740 7206 13768 7380 -rect 13728 7200 13780 7206 -rect 13728 7142 13780 7148 -rect 13544 6792 13596 6798 -rect 13544 6734 13596 6740 -rect 12348 6656 12400 6662 -rect 12348 6598 12400 6604 -rect 11888 6452 11940 6458 -rect 11888 6394 11940 6400 -rect 11900 6254 11928 6394 -rect 12360 6390 12388 6598 -rect 12348 6384 12400 6390 -rect 12348 6326 12400 6332 -rect 11888 6248 11940 6254 -rect 11888 6190 11940 6196 -rect 11900 5642 11928 6190 -rect 13556 6186 13584 6734 -rect 13728 6656 13780 6662 -rect 13728 6598 13780 6604 -rect 13740 6390 13768 6598 -rect 13832 6458 13860 7754 -rect 13960 7644 14268 7664 -rect 13960 7642 13966 7644 -rect 14022 7642 14046 7644 -rect 14102 7642 14126 7644 -rect 14182 7642 14206 7644 -rect 14262 7642 14268 7644 -rect 14022 7590 14024 7642 -rect 14204 7590 14206 7642 -rect 13960 7588 13966 7590 -rect 14022 7588 14046 7590 -rect 14102 7588 14126 7590 -rect 14182 7588 14206 7590 -rect 14262 7588 14268 7590 -rect 13960 7568 14268 7588 -rect 14384 7478 14412 7754 -rect 14004 7472 14056 7478 -rect 13910 7440 13966 7449 -rect 14004 7414 14056 7420 -rect 14372 7472 14424 7478 -rect 14372 7414 14424 7420 -rect 13910 7375 13912 7384 -rect 13964 7375 13966 7384 -rect 13912 7346 13964 7352 -rect 14016 7206 14044 7414 -rect 14004 7200 14056 7206 -rect 14004 7142 14056 7148 -rect 14372 7200 14424 7206 -rect 14372 7142 14424 7148 -rect 14384 6798 14412 7142 -rect 14476 6866 14504 8366 -rect 14556 7880 14608 7886 -rect 14556 7822 14608 7828 -rect 14568 7478 14596 7822 -rect 14648 7744 14700 7750 -rect 14648 7686 14700 7692 -rect 14556 7472 14608 7478 -rect 14556 7414 14608 7420 -rect 14660 7410 14688 7686 -rect 14648 7404 14700 7410 -rect 14648 7346 14700 7352 -rect 14464 6860 14516 6866 -rect 14464 6802 14516 6808 -rect 14372 6792 14424 6798 -rect 14372 6734 14424 6740 -rect 13960 6556 14268 6576 -rect 13960 6554 13966 6556 -rect 14022 6554 14046 6556 -rect 14102 6554 14126 6556 -rect 14182 6554 14206 6556 -rect 14262 6554 14268 6556 -rect 14022 6502 14024 6554 -rect 14204 6502 14206 6554 -rect 13960 6500 13966 6502 -rect 14022 6500 14046 6502 -rect 14102 6500 14126 6502 -rect 14182 6500 14206 6502 -rect 14262 6500 14268 6502 -rect 13960 6480 14268 6500 -rect 14384 6458 14412 6734 -rect 13820 6452 13872 6458 -rect 13820 6394 13872 6400 -rect 14372 6452 14424 6458 -rect 14372 6394 14424 6400 -rect 13728 6384 13780 6390 -rect 13728 6326 13780 6332 -rect 13544 6180 13596 6186 -rect 13544 6122 13596 6128 +rect 12256 6996 12308 7002 +rect 12256 6938 12308 6944 +rect 12820 6866 12848 7278 +rect 12808 6860 12860 6866 +rect 12808 6802 12860 6808 +rect 12820 6662 12848 6802 +rect 12912 6798 12940 7346 +rect 12900 6792 12952 6798 +rect 12900 6734 12952 6740 +rect 12808 6656 12860 6662 +rect 12808 6598 12860 6604 +rect 12164 6384 12216 6390 +rect 12164 6326 12216 6332 +rect 12808 6248 12860 6254 +rect 12808 6190 12860 6196 +rect 11704 6112 11756 6118 +rect 11704 6054 11756 6060 +rect 11716 5642 11744 6054 rect 12410 6012 12718 6032 rect 12410 6010 12416 6012 rect 12472 6010 12496 6012 @@ -14845,40 +15346,62 @@ rect 12552 5956 12576 5958 rect 12632 5956 12656 5958 rect 12712 5956 12718 5958 rect 12410 5936 12718 5956 -rect 13832 5642 13860 6394 -rect 14372 6248 14424 6254 -rect 14372 6190 14424 6196 -rect 14096 6112 14148 6118 -rect 14096 6054 14148 6060 -rect 14108 5778 14136 6054 -rect 14096 5772 14148 5778 -rect 14096 5714 14148 5720 -rect 14384 5710 14412 6190 -rect 14740 5772 14792 5778 -rect 14740 5714 14792 5720 -rect 14372 5704 14424 5710 -rect 14372 5646 14424 5652 -rect 11888 5636 11940 5642 -rect 11888 5578 11940 5584 -rect 13820 5636 13872 5642 -rect 13820 5578 13872 5584 -rect 11336 5364 11388 5370 -rect 11336 5306 11388 5312 -rect 11612 5364 11664 5370 -rect 11612 5306 11664 5312 -rect 11244 5296 11296 5302 -rect 11244 5238 11296 5244 -rect 10600 5228 10652 5234 -rect 10600 5170 10652 5176 -rect 10048 5160 10100 5166 -rect 10048 5102 10100 5108 -rect 10508 5160 10560 5166 -rect 10508 5102 10560 5108 -rect 11244 4752 11296 4758 -rect 11244 4694 11296 4700 -rect 10048 4684 10100 4690 -rect 10048 4626 10100 4632 -rect 10060 4282 10088 4626 +rect 11704 5636 11756 5642 +rect 11704 5578 11756 5584 +rect 12820 5574 12848 6190 +rect 12348 5568 12400 5574 +rect 12808 5568 12860 5574 +rect 12400 5516 12572 5522 +rect 12348 5510 12572 5516 +rect 12808 5510 12860 5516 +rect 12360 5494 12572 5510 +rect 12544 5370 12572 5494 +rect 11520 5364 11572 5370 +rect 11520 5306 11572 5312 +rect 12532 5364 12584 5370 +rect 12532 5306 12584 5312 +rect 11428 5228 11480 5234 +rect 11428 5170 11480 5176 +rect 11704 5228 11756 5234 +rect 11704 5170 11756 5176 +rect 11520 5024 11572 5030 +rect 11520 4966 11572 4972 +rect 11532 4690 11560 4966 +rect 9128 4684 9180 4690 +rect 9128 4626 9180 4632 +rect 9220 4684 9272 4690 +rect 9220 4626 9272 4632 +rect 11336 4684 11388 4690 +rect 11336 4626 11388 4632 +rect 11520 4684 11572 4690 +rect 11520 4626 11572 4632 +rect 8944 4548 8996 4554 +rect 8944 4490 8996 4496 +rect 8852 4140 8904 4146 +rect 8852 4082 8904 4088 +rect 8392 3528 8444 3534 +rect 8392 3470 8444 3476 +rect 8484 3528 8536 3534 +rect 8484 3470 8536 3476 +rect 8404 3194 8432 3470 +rect 8392 3188 8444 3194 +rect 8392 3130 8444 3136 +rect 8668 3052 8720 3058 +rect 8668 2994 8720 3000 +rect 8680 2922 8708 2994 +rect 8668 2916 8720 2922 +rect 8668 2858 8720 2864 +rect 8680 2446 8708 2858 +rect 8864 2650 8892 4082 +rect 8956 4078 8984 4490 +rect 9128 4480 9180 4486 +rect 9128 4422 9180 4428 +rect 10784 4480 10836 4486 +rect 10784 4422 10836 4428 +rect 8944 4072 8996 4078 +rect 8944 4014 8996 4020 +rect 9140 3448 9168 4422 +rect 10796 4146 10824 4422 rect 10860 4380 11168 4400 rect 10860 4378 10866 4380 rect 10922 4378 10946 4380 @@ -14893,75 +15416,121 @@ rect 11002 4324 11026 4326 rect 11082 4324 11106 4326 rect 11162 4324 11168 4326 rect 10860 4304 11168 4324 -rect 10048 4276 10100 4282 -rect 10048 4218 10100 4224 -rect 9864 4072 9916 4078 -rect 9864 4014 9916 4020 -rect 10232 4072 10284 4078 -rect 10232 4014 10284 4020 -rect 9772 3528 9824 3534 -rect 9770 3496 9772 3505 -rect 9824 3496 9826 3505 -rect 9770 3431 9826 3440 -rect 9772 3392 9824 3398 -rect 9772 3334 9824 3340 -rect 9680 2508 9732 2514 -rect 9680 2450 9732 2456 -rect 9692 2038 9720 2450 -rect 9784 2310 9812 3334 -rect 9876 2854 9904 4014 -rect 9956 3460 10008 3466 -rect 9956 3402 10008 3408 -rect 9968 3194 9996 3402 -rect 9956 3188 10008 3194 -rect 9956 3130 10008 3136 -rect 10244 3058 10272 4014 -rect 11256 3670 11284 4694 -rect 11348 4690 11376 5306 -rect 11900 5302 11928 5578 -rect 13960 5468 14268 5488 -rect 13960 5466 13966 5468 -rect 14022 5466 14046 5468 -rect 14102 5466 14126 5468 -rect 14182 5466 14206 5468 -rect 14262 5466 14268 5468 -rect 14022 5414 14024 5466 -rect 14204 5414 14206 5466 -rect 13960 5412 13966 5414 -rect 14022 5412 14046 5414 -rect 14102 5412 14126 5414 -rect 14182 5412 14206 5414 -rect 14262 5412 14268 5414 -rect 13960 5392 14268 5412 -rect 11888 5296 11940 5302 -rect 11888 5238 11940 5244 -rect 14280 5296 14332 5302 -rect 14280 5238 14332 5244 -rect 11336 4684 11388 4690 -rect 11336 4626 11388 4632 -rect 11428 4684 11480 4690 -rect 11428 4626 11480 4632 -rect 11336 4548 11388 4554 -rect 11336 4490 11388 4496 -rect 11348 4146 11376 4490 -rect 11440 4282 11468 4626 -rect 11428 4276 11480 4282 -rect 11428 4218 11480 4224 -rect 11336 4140 11388 4146 -rect 11336 4082 11388 4088 -rect 11348 4010 11376 4082 -rect 11336 4004 11388 4010 -rect 11336 3946 11388 3952 -rect 11244 3664 11296 3670 -rect 11244 3606 11296 3612 -rect 11796 3596 11848 3602 -rect 11796 3538 11848 3544 -rect 10416 3528 10468 3534 -rect 10416 3470 10468 3476 -rect 11244 3528 11296 3534 -rect 11244 3470 11296 3476 -rect 11518 3496 11574 3505 -rect 10428 3194 10456 3470 +rect 10784 4140 10836 4146 +rect 10784 4082 10836 4088 +rect 11244 4140 11296 4146 +rect 11244 4082 11296 4088 +rect 9310 3836 9618 3856 +rect 9310 3834 9316 3836 +rect 9372 3834 9396 3836 +rect 9452 3834 9476 3836 +rect 9532 3834 9556 3836 +rect 9612 3834 9618 3836 +rect 9372 3782 9374 3834 +rect 9554 3782 9556 3834 +rect 9310 3780 9316 3782 +rect 9372 3780 9396 3782 +rect 9452 3780 9476 3782 +rect 9532 3780 9556 3782 +rect 9612 3780 9618 3782 +rect 9310 3760 9618 3780 +rect 11256 3738 11284 4082 +rect 11336 4072 11388 4078 +rect 11336 4014 11388 4020 +rect 11152 3732 11204 3738 +rect 11152 3674 11204 3680 +rect 11244 3732 11296 3738 +rect 11244 3674 11296 3680 +rect 10048 3528 10100 3534 +rect 10048 3470 10100 3476 +rect 9220 3460 9272 3466 +rect 9140 3420 9220 3448 +rect 8944 3052 8996 3058 +rect 8944 2994 8996 3000 +rect 8956 2922 8984 2994 +rect 8944 2916 8996 2922 +rect 8944 2858 8996 2864 +rect 8852 2644 8904 2650 +rect 8852 2586 8904 2592 +rect 9036 2508 9088 2514 +rect 9036 2450 9088 2456 +rect 8668 2440 8720 2446 +rect 8668 2382 8720 2388 +rect 8680 2106 8708 2382 +rect 8208 2100 8260 2106 +rect 8208 2042 8260 2048 +rect 8668 2100 8720 2106 +rect 8668 2042 8720 2048 +rect 8760 1964 8812 1970 +rect 8760 1906 8812 1912 +rect 8220 1834 8524 1850 +rect 8220 1828 8536 1834 +rect 8220 1822 8484 1828 +rect 8116 1488 8168 1494 +rect 8116 1430 8168 1436 +rect 7840 1420 7892 1426 +rect 7840 1362 7892 1368 +rect 8128 1358 8156 1430 +rect 7472 1352 7524 1358 +rect 7472 1294 7524 1300 +rect 8116 1352 8168 1358 +rect 8116 1294 8168 1300 +rect 7380 1284 7432 1290 +rect 7380 1226 7432 1232 +rect 7392 814 7420 1226 +rect 7380 808 7432 814 +rect 7380 750 7432 756 +rect 7484 746 7512 1294 +rect 8220 1222 8248 1822 +rect 8484 1770 8536 1776 +rect 8772 1766 8800 1906 +rect 8760 1760 8812 1766 +rect 8760 1702 8812 1708 +rect 8208 1216 8260 1222 +rect 8208 1158 8260 1164 +rect 8576 1216 8628 1222 +rect 8576 1158 8628 1164 +rect 7760 1116 8068 1136 +rect 7760 1114 7766 1116 +rect 7822 1114 7846 1116 +rect 7902 1114 7926 1116 +rect 7982 1114 8006 1116 +rect 8062 1114 8068 1116 +rect 7822 1062 7824 1114 +rect 8004 1062 8006 1114 +rect 7760 1060 7766 1062 +rect 7822 1060 7846 1062 +rect 7902 1060 7926 1062 +rect 7982 1060 8006 1062 +rect 8062 1060 8068 1062 +rect 7760 1040 8068 1060 +rect 8392 944 8444 950 +rect 8392 886 8444 892 +rect 7472 740 7524 746 +rect 7472 682 7524 688 +rect 8404 474 8432 886 +rect 8588 474 8616 1158 +rect 8772 814 8800 1702 +rect 8852 1352 8904 1358 +rect 8852 1294 8904 1300 +rect 8864 950 8892 1294 +rect 8852 944 8904 950 +rect 8852 886 8904 892 +rect 8760 808 8812 814 +rect 8760 750 8812 756 +rect 8852 672 8904 678 +rect 8852 614 8904 620 +rect 8392 468 8444 474 +rect 8392 410 8444 416 +rect 8576 468 8628 474 +rect 8576 410 8628 416 +rect 8864 270 8892 614 +rect 9048 338 9076 2450 +rect 9140 1018 9168 3420 +rect 9220 3402 9272 3408 +rect 10060 3058 10088 3470 +rect 11164 3380 11192 3674 +rect 11164 3352 11284 3380 rect 10860 3292 11168 3312 rect 10860 3290 10866 3292 rect 10922 3290 10946 3292 @@ -14976,263 +15545,53 @@ rect 11002 3236 11026 3238 rect 11082 3236 11106 3238 rect 11162 3236 11168 3238 rect 10860 3216 11168 3236 -rect 10416 3188 10468 3194 -rect 10416 3130 10468 3136 -rect 10874 3088 10930 3097 -rect 10232 3052 10284 3058 -rect 10232 2994 10284 3000 -rect 10600 3052 10652 3058 -rect 10600 2994 10652 3000 -rect 10784 3052 10836 3058 -rect 10874 3023 10930 3032 -rect 11150 3088 11206 3097 -rect 11150 3023 11152 3032 -rect 10784 2994 10836 3000 -rect 9864 2848 9916 2854 -rect 9864 2790 9916 2796 -rect 9772 2304 9824 2310 -rect 9772 2246 9824 2252 -rect 9680 2032 9732 2038 -rect 9680 1974 9732 1980 -rect 9772 2032 9824 2038 -rect 9772 1974 9824 1980 -rect 9680 1896 9732 1902 -rect 9784 1884 9812 1974 -rect 9732 1856 9812 1884 -rect 9680 1838 9732 1844 -rect 9876 1834 9904 2790 -rect 10612 2582 10640 2994 -rect 10796 2922 10824 2994 -rect 10784 2916 10836 2922 -rect 10784 2858 10836 2864 -rect 10600 2576 10652 2582 -rect 10600 2518 10652 2524 -rect 10796 2514 10824 2858 -rect 10784 2508 10836 2514 -rect 10784 2450 10836 2456 -rect 10888 2446 10916 3023 -rect 11204 3023 11206 3032 -rect 11152 2994 11204 3000 -rect 11256 2650 11284 3470 -rect 11808 3466 11836 3538 -rect 11518 3431 11520 3440 -rect 11572 3431 11574 3440 -rect 11796 3460 11848 3466 -rect 11520 3402 11572 3408 -rect 11796 3402 11848 3408 -rect 11336 3392 11388 3398 -rect 11336 3334 11388 3340 -rect 11348 3126 11376 3334 -rect 11808 3194 11836 3402 -rect 11900 3194 11928 5238 -rect 13820 5160 13872 5166 -rect 13820 5102 13872 5108 -rect 12410 4924 12718 4944 -rect 12410 4922 12416 4924 -rect 12472 4922 12496 4924 -rect 12552 4922 12576 4924 -rect 12632 4922 12656 4924 -rect 12712 4922 12718 4924 -rect 12472 4870 12474 4922 -rect 12654 4870 12656 4922 -rect 12410 4868 12416 4870 -rect 12472 4868 12496 4870 -rect 12552 4868 12576 4870 -rect 12632 4868 12656 4870 -rect 12712 4868 12718 4870 -rect 12410 4848 12718 4868 -rect 12256 4616 12308 4622 -rect 12256 4558 12308 4564 -rect 12164 4276 12216 4282 -rect 12164 4218 12216 4224 -rect 12176 3670 12204 4218 -rect 12164 3664 12216 3670 -rect 12164 3606 12216 3612 -rect 11796 3188 11848 3194 -rect 11796 3130 11848 3136 -rect 11888 3188 11940 3194 -rect 11888 3130 11940 3136 -rect 11336 3120 11388 3126 -rect 11336 3062 11388 3068 -rect 11428 3052 11480 3058 -rect 11428 2994 11480 3000 -rect 11244 2644 11296 2650 -rect 11244 2586 11296 2592 -rect 10876 2440 10928 2446 -rect 10876 2382 10928 2388 -rect 11244 2372 11296 2378 -rect 11244 2314 11296 2320 -rect 10140 2304 10192 2310 -rect 10140 2246 10192 2252 -rect 9956 2100 10008 2106 -rect 9956 2042 10008 2048 -rect 9968 1970 9996 2042 -rect 9956 1964 10008 1970 -rect 9956 1906 10008 1912 -rect 9864 1828 9916 1834 -rect 9864 1770 9916 1776 -rect 10152 1766 10180 2246 -rect 10860 2204 11168 2224 -rect 10860 2202 10866 2204 -rect 10922 2202 10946 2204 -rect 11002 2202 11026 2204 -rect 11082 2202 11106 2204 -rect 11162 2202 11168 2204 -rect 10922 2150 10924 2202 -rect 11104 2150 11106 2202 -rect 10860 2148 10866 2150 -rect 10922 2148 10946 2150 -rect 11002 2148 11026 2150 -rect 11082 2148 11106 2150 -rect 11162 2148 11168 2150 -rect 10860 2128 11168 2148 -rect 11256 1970 11284 2314 -rect 11440 2106 11468 2994 -rect 11704 2984 11756 2990 -rect 11704 2926 11756 2932 -rect 11716 2378 11744 2926 -rect 11704 2372 11756 2378 -rect 11704 2314 11756 2320 -rect 11900 2310 11928 3130 -rect 12268 3058 12296 4558 -rect 13176 4480 13228 4486 -rect 13176 4422 13228 4428 -rect 13728 4480 13780 4486 -rect 13728 4422 13780 4428 -rect 13188 4146 13216 4422 -rect 13740 4282 13768 4422 -rect 13728 4276 13780 4282 -rect 13648 4236 13728 4264 -rect 13176 4140 13228 4146 -rect 13176 4082 13228 4088 -rect 12992 4072 13044 4078 -rect 12992 4014 13044 4020 -rect 13452 4072 13504 4078 -rect 13452 4014 13504 4020 -rect 12808 3936 12860 3942 -rect 12808 3878 12860 3884 -rect 12410 3836 12718 3856 -rect 12410 3834 12416 3836 -rect 12472 3834 12496 3836 -rect 12552 3834 12576 3836 -rect 12632 3834 12656 3836 -rect 12712 3834 12718 3836 -rect 12472 3782 12474 3834 -rect 12654 3782 12656 3834 -rect 12410 3780 12416 3782 -rect 12472 3780 12496 3782 -rect 12552 3780 12576 3782 -rect 12632 3780 12656 3782 -rect 12712 3780 12718 3782 -rect 12410 3760 12718 3780 -rect 12532 3664 12584 3670 -rect 12346 3632 12402 3641 -rect 12532 3606 12584 3612 -rect 12346 3567 12348 3576 -rect 12400 3567 12402 3576 -rect 12348 3538 12400 3544 -rect 12544 3398 12572 3606 -rect 12716 3528 12768 3534 -rect 12820 3516 12848 3878 -rect 13004 3754 13032 4014 -rect 13004 3738 13124 3754 -rect 13004 3732 13136 3738 -rect 13004 3726 13084 3732 -rect 13084 3674 13136 3680 -rect 13464 3534 13492 4014 -rect 13544 3732 13596 3738 -rect 13544 3674 13596 3680 -rect 12768 3488 12848 3516 -rect 13452 3528 13504 3534 -rect 13450 3496 13452 3505 -rect 13504 3496 13506 3505 -rect 12716 3470 12768 3476 -rect 13450 3431 13506 3440 -rect 12532 3392 12584 3398 -rect 12532 3334 12584 3340 -rect 12624 3392 12676 3398 -rect 12624 3334 12676 3340 -rect 12636 3126 12664 3334 -rect 13556 3194 13584 3674 -rect 13544 3188 13596 3194 -rect 13544 3130 13596 3136 -rect 12624 3120 12676 3126 -rect 12624 3062 12676 3068 -rect 13648 3058 13676 4236 -rect 13728 4218 13780 4224 -rect 13728 4140 13780 4146 -rect 13728 4082 13780 4088 -rect 13740 3534 13768 4082 -rect 13832 3534 13860 5102 -rect 14292 4554 14320 5238 -rect 14384 4826 14412 5646 -rect 14372 4820 14424 4826 -rect 14372 4762 14424 4768 -rect 14556 4820 14608 4826 -rect 14556 4762 14608 4768 -rect 14280 4548 14332 4554 -rect 14332 4508 14412 4536 -rect 14280 4490 14332 4496 -rect 13960 4380 14268 4400 -rect 13960 4378 13966 4380 -rect 14022 4378 14046 4380 -rect 14102 4378 14126 4380 -rect 14182 4378 14206 4380 -rect 14262 4378 14268 4380 -rect 14022 4326 14024 4378 -rect 14204 4326 14206 4378 -rect 13960 4324 13966 4326 -rect 14022 4324 14046 4326 -rect 14102 4324 14126 4326 -rect 14182 4324 14206 4326 -rect 14262 4324 14268 4326 -rect 13960 4304 14268 4324 -rect 14384 4078 14412 4508 -rect 14464 4480 14516 4486 -rect 14464 4422 14516 4428 -rect 14372 4072 14424 4078 -rect 14372 4014 14424 4020 -rect 14096 3936 14148 3942 -rect 14096 3878 14148 3884 -rect 13728 3528 13780 3534 -rect 13728 3470 13780 3476 -rect 13820 3528 13872 3534 -rect 13820 3470 13872 3476 -rect 12256 3052 12308 3058 -rect 13636 3052 13688 3058 -rect 12256 2994 12308 3000 -rect 13556 3012 13636 3040 -rect 12410 2748 12718 2768 -rect 12410 2746 12416 2748 -rect 12472 2746 12496 2748 -rect 12552 2746 12576 2748 -rect 12632 2746 12656 2748 -rect 12712 2746 12718 2748 -rect 12472 2694 12474 2746 -rect 12654 2694 12656 2746 -rect 12410 2692 12416 2694 -rect 12472 2692 12496 2694 -rect 12552 2692 12576 2694 -rect 12632 2692 12656 2694 -rect 12712 2692 12718 2694 -rect 12410 2672 12718 2692 -rect 12808 2508 12860 2514 -rect 12808 2450 12860 2456 -rect 11888 2304 11940 2310 -rect 11888 2246 11940 2252 -rect 12716 2304 12768 2310 -rect 12716 2246 12768 2252 -rect 11428 2100 11480 2106 -rect 11428 2042 11480 2048 -rect 12728 1970 12756 2246 -rect 10232 1964 10284 1970 -rect 10232 1906 10284 1912 -rect 11244 1964 11296 1970 -rect 11244 1906 11296 1912 -rect 12716 1964 12768 1970 -rect 12716 1906 12768 1912 -rect 10140 1760 10192 1766 -rect 10140 1702 10192 1708 +rect 10048 3052 10100 3058 +rect 10048 2994 10100 3000 +rect 9310 2748 9618 2768 +rect 9310 2746 9316 2748 +rect 9372 2746 9396 2748 +rect 9452 2746 9476 2748 +rect 9532 2746 9556 2748 +rect 9612 2746 9618 2748 +rect 9372 2694 9374 2746 +rect 9554 2694 9556 2746 +rect 9310 2692 9316 2694 +rect 9372 2692 9396 2694 +rect 9452 2692 9476 2694 +rect 9532 2692 9556 2694 +rect 9612 2692 9618 2694 +rect 9310 2672 9618 2692 +rect 10048 2644 10100 2650 +rect 10048 2586 10100 2592 +rect 9220 2508 9272 2514 +rect 9220 2450 9272 2456 +rect 9232 2310 9260 2450 +rect 9496 2440 9548 2446 +rect 9496 2382 9548 2388 +rect 9312 2372 9364 2378 +rect 9312 2314 9364 2320 +rect 9220 2304 9272 2310 +rect 9220 2246 9272 2252 +rect 9232 1970 9260 2246 +rect 9324 2106 9352 2314 +rect 9312 2100 9364 2106 +rect 9312 2042 9364 2048 +rect 9404 2032 9456 2038 +rect 9402 2000 9404 2009 +rect 9456 2000 9458 2009 +rect 9220 1964 9272 1970 +rect 9402 1935 9458 1944 +rect 9220 1906 9272 1912 +rect 9508 1902 9536 2382 +rect 9772 1964 9824 1970 +rect 9772 1906 9824 1912 +rect 9496 1896 9548 1902 +rect 9324 1844 9496 1850 +rect 9324 1838 9548 1844 +rect 9324 1834 9536 1838 +rect 9312 1828 9536 1834 +rect 9364 1822 9536 1828 +rect 9312 1770 9364 1776 rect 9310 1660 9618 1680 rect 9310 1658 9316 1660 rect 9372 1658 9396 1660 @@ -15247,77 +15606,320 @@ rect 9452 1604 9476 1606 rect 9532 1604 9556 1606 rect 9612 1604 9618 1606 rect 9310 1584 9618 1604 -rect 10152 1562 10180 1702 -rect 10140 1556 10192 1562 -rect 10140 1498 10192 1504 -rect 9218 1320 9274 1329 -rect 10152 1290 10180 1498 -rect 9218 1255 9274 1264 -rect 10140 1284 10192 1290 -rect 10140 1226 10192 1232 -rect 9404 1216 9456 1222 -rect 9404 1158 9456 1164 -rect 9416 1018 9444 1158 -rect 9404 1012 9456 1018 -rect 9404 954 9456 960 -rect 9680 944 9732 950 -rect 9680 886 9732 892 -rect 10138 912 10194 921 -rect 9312 876 9364 882 -rect 9312 818 9364 824 -rect 9324 785 9352 818 -rect 9310 776 9366 785 -rect 9692 746 9720 886 -rect 10138 847 10140 856 -rect 10192 847 10194 856 -rect 10140 818 10192 824 -rect 10244 814 10272 1906 -rect 12820 1902 12848 2450 -rect 13556 1970 13584 3012 -rect 13636 2994 13688 3000 -rect 13740 2774 13768 3470 -rect 13832 3058 13860 3470 -rect 14108 3466 14136 3878 -rect 14476 3738 14504 4422 -rect 14568 4214 14596 4762 -rect 14752 4690 14780 5714 -rect 14936 5234 14964 10202 -rect 15304 9586 15332 10406 -rect 15292 9580 15344 9586 -rect 15292 9522 15344 9528 -rect 15108 8560 15160 8566 -rect 15108 8502 15160 8508 -rect 15120 8090 15148 8502 -rect 15108 8084 15160 8090 -rect 15108 8026 15160 8032 -rect 15016 7744 15068 7750 -rect 15016 7686 15068 7692 -rect 15028 7342 15056 7686 -rect 15120 7478 15148 8026 -rect 15108 7472 15160 7478 -rect 15108 7414 15160 7420 -rect 15016 7336 15068 7342 -rect 15016 7278 15068 7284 -rect 15120 7002 15148 7414 -rect 15108 6996 15160 7002 -rect 15108 6938 15160 6944 -rect 15200 6656 15252 6662 -rect 15200 6598 15252 6604 -rect 15212 6458 15240 6598 -rect 15200 6452 15252 6458 -rect 15200 6394 15252 6400 -rect 15016 5636 15068 5642 -rect 15016 5578 15068 5584 -rect 14924 5228 14976 5234 -rect 14924 5170 14976 5176 -rect 14740 4684 14792 4690 -rect 14740 4626 14792 4632 -rect 14648 4548 14700 4554 -rect 14648 4490 14700 4496 -rect 14660 4282 14688 4490 -rect 14936 4282 14964 5170 -rect 15028 4690 15056 5578 -rect 15396 5574 15424 11206 +rect 9680 1556 9732 1562 +rect 9680 1498 9732 1504 +rect 9692 1290 9720 1498 +rect 9784 1426 9812 1906 +rect 9864 1760 9916 1766 +rect 9864 1702 9916 1708 +rect 9772 1420 9824 1426 +rect 9772 1362 9824 1368 +rect 9876 1358 9904 1702 +rect 10060 1562 10088 2586 +rect 11256 2446 11284 3352 +rect 11348 2650 11376 4014 +rect 11716 3942 11744 5170 +rect 11796 5024 11848 5030 +rect 11796 4966 11848 4972 +rect 11808 4214 11836 4966 +rect 12410 4924 12718 4944 +rect 12410 4922 12416 4924 +rect 12472 4922 12496 4924 +rect 12552 4922 12576 4924 +rect 12632 4922 12656 4924 +rect 12712 4922 12718 4924 +rect 12472 4870 12474 4922 +rect 12654 4870 12656 4922 +rect 12410 4868 12416 4870 +rect 12472 4868 12496 4870 +rect 12552 4868 12576 4870 +rect 12632 4868 12656 4870 +rect 12712 4868 12718 4870 +rect 12410 4848 12718 4868 +rect 13096 4690 13124 10678 +rect 15108 10668 15160 10674 +rect 15108 10610 15160 10616 +rect 13268 10464 13320 10470 +rect 13268 10406 13320 10412 +rect 14464 10464 14516 10470 +rect 14464 10406 14516 10412 +rect 14740 10464 14792 10470 +rect 14740 10406 14792 10412 +rect 13280 10130 13308 10406 +rect 13820 10260 13872 10266 +rect 13820 10202 13872 10208 +rect 13268 10124 13320 10130 +rect 13268 10066 13320 10072 +rect 13176 8900 13228 8906 +rect 13176 8842 13228 8848 +rect 13188 8634 13216 8842 +rect 13636 8832 13688 8838 +rect 13636 8774 13688 8780 +rect 13648 8634 13676 8774 +rect 13176 8628 13228 8634 +rect 13176 8570 13228 8576 +rect 13636 8628 13688 8634 +rect 13636 8570 13688 8576 +rect 13648 8022 13676 8570 +rect 13832 8430 13860 10202 +rect 14476 10130 14504 10406 +rect 14464 10124 14516 10130 +rect 14464 10066 14516 10072 +rect 13960 9820 14268 9840 +rect 13960 9818 13966 9820 +rect 14022 9818 14046 9820 +rect 14102 9818 14126 9820 +rect 14182 9818 14206 9820 +rect 14262 9818 14268 9820 +rect 14022 9766 14024 9818 +rect 14204 9766 14206 9818 +rect 13960 9764 13966 9766 +rect 14022 9764 14046 9766 +rect 14102 9764 14126 9766 +rect 14182 9764 14206 9766 +rect 14262 9764 14268 9766 +rect 13960 9744 14268 9764 +rect 14752 9586 14780 10406 +rect 15120 10266 15148 10610 +rect 15200 10464 15252 10470 +rect 15200 10406 15252 10412 +rect 15108 10260 15160 10266 +rect 15108 10202 15160 10208 +rect 15212 9586 15240 10406 +rect 14740 9580 14792 9586 +rect 14740 9522 14792 9528 +rect 15200 9580 15252 9586 +rect 15200 9522 15252 9528 +rect 14740 9444 14792 9450 +rect 14740 9386 14792 9392 +rect 14752 9042 14780 9386 +rect 15292 9376 15344 9382 +rect 15212 9336 15292 9364 +rect 14464 9036 14516 9042 +rect 14464 8978 14516 8984 +rect 14740 9036 14792 9042 +rect 14740 8978 14792 8984 +rect 13960 8732 14268 8752 +rect 13960 8730 13966 8732 +rect 14022 8730 14046 8732 +rect 14102 8730 14126 8732 +rect 14182 8730 14206 8732 +rect 14262 8730 14268 8732 +rect 14022 8678 14024 8730 +rect 14204 8678 14206 8730 +rect 13960 8676 13966 8678 +rect 14022 8676 14046 8678 +rect 14102 8676 14126 8678 +rect 14182 8676 14206 8678 +rect 14262 8676 14268 8678 +rect 13960 8656 14268 8676 +rect 14476 8634 14504 8978 +rect 15212 8906 15240 9336 +rect 15292 9318 15344 9324 +rect 15200 8900 15252 8906 +rect 15200 8842 15252 8848 +rect 14924 8832 14976 8838 +rect 14924 8774 14976 8780 +rect 14464 8628 14516 8634 +rect 14464 8570 14516 8576 +rect 13820 8424 13872 8430 +rect 13820 8366 13872 8372 +rect 14004 8356 14056 8362 +rect 14004 8298 14056 8304 +rect 13636 8016 13688 8022 +rect 13636 7958 13688 7964 +rect 14016 7886 14044 8298 +rect 14372 7948 14424 7954 +rect 14372 7890 14424 7896 +rect 14004 7880 14056 7886 +rect 14004 7822 14056 7828 +rect 13960 7644 14268 7664 +rect 13960 7642 13966 7644 +rect 14022 7642 14046 7644 +rect 14102 7642 14126 7644 +rect 14182 7642 14206 7644 +rect 14262 7642 14268 7644 +rect 14022 7590 14024 7642 +rect 14204 7590 14206 7642 +rect 13960 7588 13966 7590 +rect 14022 7588 14046 7590 +rect 14102 7588 14126 7590 +rect 14182 7588 14206 7590 +rect 14262 7588 14268 7590 +rect 13960 7568 14268 7588 +rect 14384 7478 14412 7890 +rect 14188 7472 14240 7478 +rect 14372 7472 14424 7478 +rect 14240 7420 14320 7426 +rect 14188 7414 14320 7420 +rect 14372 7414 14424 7420 +rect 14004 7404 14056 7410 +rect 14200 7398 14320 7414 +rect 14004 7346 14056 7352 +rect 13544 6792 13596 6798 +rect 13544 6734 13596 6740 +rect 13556 6458 13584 6734 +rect 14016 6730 14044 7346 +rect 14096 7268 14148 7274 +rect 14096 7210 14148 7216 +rect 14108 7002 14136 7210 +rect 14096 6996 14148 7002 +rect 14096 6938 14148 6944 +rect 14292 6934 14320 7398 +rect 14280 6928 14332 6934 +rect 14280 6870 14332 6876 +rect 14292 6746 14320 6870 +rect 14384 6866 14412 7414 +rect 14476 7410 14504 8570 +rect 14936 8498 14964 8774 +rect 14924 8492 14976 8498 +rect 14924 8434 14976 8440 +rect 14648 8356 14700 8362 +rect 14648 8298 14700 8304 +rect 14660 7886 14688 8298 +rect 14832 8288 14884 8294 +rect 14832 8230 14884 8236 +rect 14844 7886 14872 8230 +rect 14648 7880 14700 7886 +rect 14648 7822 14700 7828 +rect 14832 7880 14884 7886 +rect 14832 7822 14884 7828 +rect 14740 7812 14792 7818 +rect 14740 7754 14792 7760 +rect 14464 7404 14516 7410 +rect 14464 7346 14516 7352 +rect 14372 6860 14424 6866 +rect 14372 6802 14424 6808 +rect 14004 6724 14056 6730 +rect 14292 6718 14412 6746 +rect 14004 6666 14056 6672 +rect 13960 6556 14268 6576 +rect 13960 6554 13966 6556 +rect 14022 6554 14046 6556 +rect 14102 6554 14126 6556 +rect 14182 6554 14206 6556 +rect 14262 6554 14268 6556 +rect 14022 6502 14024 6554 +rect 14204 6502 14206 6554 +rect 13960 6500 13966 6502 +rect 14022 6500 14046 6502 +rect 14102 6500 14126 6502 +rect 14182 6500 14206 6502 +rect 14262 6500 14268 6502 +rect 13960 6480 14268 6500 +rect 13544 6452 13596 6458 +rect 13544 6394 13596 6400 +rect 13820 6316 13872 6322 +rect 13820 6258 13872 6264 +rect 13832 5574 13860 6258 +rect 14384 6254 14412 6718 +rect 14476 6254 14504 7346 +rect 14556 6656 14608 6662 +rect 14556 6598 14608 6604 +rect 14372 6248 14424 6254 +rect 14372 6190 14424 6196 +rect 14464 6248 14516 6254 +rect 14464 6190 14516 6196 +rect 14280 6112 14332 6118 +rect 14280 6054 14332 6060 +rect 14292 5778 14320 6054 +rect 14476 5846 14504 6190 +rect 14464 5840 14516 5846 +rect 14464 5782 14516 5788 +rect 14280 5772 14332 5778 +rect 14280 5714 14332 5720 +rect 13820 5568 13872 5574 +rect 13820 5510 13872 5516 +rect 14372 5568 14424 5574 +rect 14372 5510 14424 5516 +rect 13960 5468 14268 5488 +rect 13960 5466 13966 5468 +rect 14022 5466 14046 5468 +rect 14102 5466 14126 5468 +rect 14182 5466 14206 5468 +rect 14262 5466 14268 5468 +rect 14022 5414 14024 5466 +rect 14204 5414 14206 5466 +rect 13960 5412 13966 5414 +rect 14022 5412 14046 5414 +rect 14102 5412 14126 5414 +rect 14182 5412 14206 5414 +rect 14262 5412 14268 5414 +rect 13960 5392 14268 5412 +rect 13452 5364 13504 5370 +rect 13452 5306 13504 5312 +rect 13268 5296 13320 5302 +rect 13268 5238 13320 5244 +rect 13084 4684 13136 4690 +rect 13084 4626 13136 4632 +rect 12440 4480 12492 4486 +rect 12440 4422 12492 4428 +rect 11796 4208 11848 4214 +rect 11796 4150 11848 4156 +rect 12256 4208 12308 4214 +rect 12256 4150 12308 4156 +rect 11704 3936 11756 3942 +rect 11704 3878 11756 3884 +rect 12268 3738 12296 4150 +rect 12452 4146 12480 4422 +rect 13096 4162 13124 4626 +rect 13280 4622 13308 5238 +rect 13268 4616 13320 4622 +rect 13268 4558 13320 4564 +rect 12440 4140 12492 4146 +rect 13096 4134 13216 4162 +rect 12440 4082 12492 4088 +rect 13188 4078 13216 4134 +rect 13176 4072 13228 4078 +rect 13176 4014 13228 4020 +rect 12900 4004 12952 4010 +rect 12900 3946 12952 3952 +rect 12410 3836 12718 3856 +rect 12410 3834 12416 3836 +rect 12472 3834 12496 3836 +rect 12552 3834 12576 3836 +rect 12632 3834 12656 3836 +rect 12712 3834 12718 3836 +rect 12472 3782 12474 3834 +rect 12654 3782 12656 3834 +rect 12410 3780 12416 3782 +rect 12472 3780 12496 3782 +rect 12552 3780 12576 3782 +rect 12632 3780 12656 3782 +rect 12712 3780 12718 3782 +rect 12410 3760 12718 3780 +rect 12256 3732 12308 3738 +rect 12256 3674 12308 3680 +rect 11796 3596 11848 3602 +rect 11796 3538 11848 3544 +rect 11704 3392 11756 3398 +rect 11704 3334 11756 3340 +rect 11336 2644 11388 2650 +rect 11336 2586 11388 2592 +rect 11244 2440 11296 2446 +rect 11244 2382 11296 2388 +rect 11716 2378 11744 3334 +rect 11808 2854 11836 3538 +rect 12912 3534 12940 3946 +rect 13280 3602 13308 4558 +rect 13464 4486 13492 5306 +rect 14384 5030 14412 5510 +rect 14476 5234 14504 5782 +rect 14568 5710 14596 6598 +rect 14648 6316 14700 6322 +rect 14648 6258 14700 6264 +rect 14556 5704 14608 5710 +rect 14556 5646 14608 5652 +rect 14660 5642 14688 6258 +rect 14752 6118 14780 7754 +rect 15108 6656 15160 6662 +rect 15108 6598 15160 6604 +rect 15120 6458 15148 6598 +rect 15108 6452 15160 6458 +rect 15108 6394 15160 6400 +rect 14740 6112 14792 6118 +rect 14740 6054 14792 6060 +rect 15396 5914 15424 11206 rect 15580 11098 15608 11206 rect 15658 11200 15714 12000 rect 18510 11200 18566 12000 @@ -15366,6 +15968,9 @@ rect 16488 10056 16540 10062 rect 16540 10004 16620 10010 rect 16488 9998 16620 10004 rect 16500 9982 16620 9998 +rect 16120 9920 16172 9926 +rect 16120 9862 16172 9868 +rect 16132 9654 16160 9862 rect 16592 9722 16620 9982 rect 18328 9920 18380 9926 rect 18328 9862 18380 9868 @@ -15385,11 +15990,14 @@ rect 17362 9764 17368 9766 rect 17060 9744 17368 9764 rect 16580 9716 16632 9722 rect 16580 9658 16632 9664 +rect 16120 9648 16172 9654 +rect 16120 9590 16172 9596 +rect 16132 9382 16160 9590 rect 18340 9518 18368 9862 rect 18328 9512 18380 9518 rect 18328 9454 18380 9460 -rect 16672 9376 16724 9382 -rect 16672 9318 16724 9324 +rect 16120 9376 16172 9382 +rect 16120 9318 16172 9324 rect 15510 9276 15818 9296 rect 15510 9274 15516 9276 rect 15572 9274 15596 9276 @@ -15404,16 +16012,8 @@ rect 15652 9220 15676 9222 rect 15732 9220 15756 9222 rect 15812 9220 15818 9222 rect 15510 9200 15818 9220 -rect 16684 9042 16712 9318 -rect 16672 9036 16724 9042 -rect 16672 8978 16724 8984 -rect 15844 8968 15896 8974 -rect 15844 8910 15896 8916 -rect 15856 8634 15884 8910 -rect 16488 8900 16540 8906 -rect 16488 8842 16540 8848 -rect 15844 8628 15896 8634 -rect 15844 8570 15896 8576 +rect 15936 8968 15988 8974 +rect 15936 8910 15988 8916 rect 15510 8188 15818 8208 rect 15510 8186 15516 8188 rect 15572 8186 15596 8188 @@ -15428,10 +16028,14 @@ rect 15652 8132 15676 8134 rect 15732 8132 15756 8134 rect 15812 8132 15818 8134 rect 15510 8112 15818 8132 -rect 16500 8090 16528 8842 -rect 16684 8430 16712 8978 -rect 18052 8832 18104 8838 -rect 18052 8774 18104 8780 +rect 15948 8022 15976 8910 +rect 16132 8820 16160 9318 +rect 17408 9172 17460 9178 +rect 17408 9114 17460 9120 +rect 16212 8832 16264 8838 +rect 16132 8792 16212 8820 +rect 16212 8774 16264 8780 +rect 16224 8566 16252 8774 rect 17060 8732 17368 8752 rect 17060 8730 17066 8732 rect 17122 8730 17146 8732 @@ -15446,23 +16050,14 @@ rect 17202 8676 17226 8678 rect 17282 8676 17306 8678 rect 17362 8676 17368 8678 rect 17060 8656 17368 8676 -rect 16672 8424 16724 8430 -rect 16672 8366 16724 8372 -rect 17868 8424 17920 8430 -rect 17868 8366 17920 8372 -rect 16488 8084 16540 8090 -rect 16540 8044 16620 8072 -rect 16488 8026 16540 8032 -rect 16592 7818 16620 8044 -rect 16684 7954 16712 8366 -rect 16672 7948 16724 7954 -rect 16672 7890 16724 7896 -rect 16120 7812 16172 7818 -rect 16120 7754 16172 7760 -rect 16580 7812 16632 7818 -rect 16580 7754 16632 7760 -rect 17500 7812 17552 7818 -rect 17500 7754 17552 7760 +rect 16212 8560 16264 8566 +rect 16948 8560 17000 8566 +rect 16264 8508 16344 8514 +rect 16212 8502 16344 8508 +rect 16948 8502 17000 8508 +rect 16224 8486 16344 8502 +rect 15936 8016 15988 8022 +rect 15936 7958 15988 7964 rect 15510 7100 15818 7120 rect 15510 7098 15516 7100 rect 15572 7098 15596 7100 @@ -15477,69 +16072,32 @@ rect 15652 7044 15676 7046 rect 15732 7044 15756 7046 rect 15812 7044 15818 7046 rect 15510 7024 15818 7044 -rect 16132 7002 16160 7754 -rect 17060 7644 17368 7664 -rect 17060 7642 17066 7644 -rect 17122 7642 17146 7644 -rect 17202 7642 17226 7644 -rect 17282 7642 17306 7644 -rect 17362 7642 17368 7644 -rect 17122 7590 17124 7642 -rect 17304 7590 17306 7642 -rect 17060 7588 17066 7590 -rect 17122 7588 17146 7590 -rect 17202 7588 17226 7590 -rect 17282 7588 17306 7590 -rect 17362 7588 17368 7590 -rect 17060 7568 17368 7588 -rect 16304 7336 16356 7342 -rect 16304 7278 16356 7284 -rect 15844 6996 15896 7002 -rect 15844 6938 15896 6944 -rect 16120 6996 16172 7002 -rect 16120 6938 16172 6944 -rect 15856 6730 15884 6938 rect 15844 6724 15896 6730 rect 15844 6666 15896 6672 -rect 15510 6012 15818 6032 -rect 15510 6010 15516 6012 -rect 15572 6010 15596 6012 -rect 15652 6010 15676 6012 -rect 15732 6010 15756 6012 -rect 15812 6010 15818 6012 -rect 15572 5958 15574 6010 -rect 15754 5958 15756 6010 -rect 15510 5956 15516 5958 -rect 15572 5956 15596 5958 -rect 15652 5956 15676 5958 -rect 15732 5956 15756 5958 -rect 15812 5956 15818 5958 -rect 15510 5936 15818 5956 -rect 15856 5914 15884 6666 -rect 15844 5908 15896 5914 -rect 15844 5850 15896 5856 -rect 15856 5574 15884 5850 -rect 16316 5710 16344 7278 -rect 17060 6556 17368 6576 -rect 17060 6554 17066 6556 -rect 17122 6554 17146 6556 -rect 17202 6554 17226 6556 -rect 17282 6554 17306 6556 -rect 17362 6554 17368 6556 -rect 17122 6502 17124 6554 -rect 17304 6502 17306 6554 -rect 17060 6500 17066 6502 -rect 17122 6500 17146 6502 -rect 17202 6500 17226 6502 -rect 17282 6500 17306 6502 -rect 17362 6500 17368 6502 -rect 17060 6480 17368 6500 -rect 17512 6254 17540 7754 -rect 17880 6798 17908 8366 -rect 17868 6792 17920 6798 -rect 17868 6734 17920 6740 -rect 18064 6458 18092 8774 -rect 18524 7206 18552 11200 +rect 15856 6118 15884 6666 +rect 15948 6662 15976 7958 +rect 16316 7818 16344 8486 +rect 16580 8424 16632 8430 +rect 16580 8366 16632 8372 +rect 16592 7954 16620 8366 +rect 16764 8288 16816 8294 +rect 16764 8230 16816 8236 +rect 16776 7954 16804 8230 +rect 16580 7948 16632 7954 +rect 16580 7890 16632 7896 +rect 16764 7948 16816 7954 +rect 16764 7890 16816 7896 +rect 16304 7812 16356 7818 +rect 16304 7754 16356 7760 +rect 16316 7206 16344 7754 +rect 16960 7410 16988 8502 +rect 17420 8430 17448 9114 +rect 17868 8968 17920 8974 +rect 17868 8910 17920 8916 +rect 17408 8424 17460 8430 +rect 17408 8366 17460 8372 +rect 17880 7886 17908 8910 +rect 18524 8090 18552 11200 rect 18786 11183 18842 11192 rect 18800 10674 18828 11183 rect 18788 10668 18840 10674 @@ -15554,355 +16112,288 @@ rect 18604 8434 18656 8440 rect 18616 8265 18644 8434 rect 18602 8256 18658 8265 rect 18602 8191 18658 8200 -rect 18512 7200 18564 7206 -rect 18512 7142 18564 7148 -rect 18328 6860 18380 6866 -rect 18328 6802 18380 6808 -rect 18052 6452 18104 6458 -rect 18052 6394 18104 6400 -rect 18340 6254 18368 6802 -rect 18512 6792 18564 6798 -rect 18510 6760 18512 6769 -rect 18564 6760 18566 6769 -rect 18510 6695 18566 6704 -rect 17316 6248 17368 6254 -rect 17316 6190 17368 6196 -rect 17500 6248 17552 6254 -rect 17500 6190 17552 6196 -rect 18144 6248 18196 6254 -rect 18144 6190 18196 6196 -rect 18328 6248 18380 6254 -rect 18328 6190 18380 6196 -rect 16396 6112 16448 6118 -rect 16396 6054 16448 6060 -rect 16408 5778 16436 6054 -rect 17328 5914 17356 6190 -rect 18156 5914 18184 6190 -rect 17316 5908 17368 5914 -rect 17316 5850 17368 5856 -rect 18144 5908 18196 5914 -rect 18144 5850 18196 5856 -rect 16396 5772 16448 5778 -rect 16396 5714 16448 5720 -rect 16304 5704 16356 5710 -rect 16304 5646 16356 5652 -rect 15384 5568 15436 5574 -rect 15384 5510 15436 5516 -rect 15844 5568 15896 5574 -rect 15844 5510 15896 5516 -rect 15856 5302 15884 5510 -rect 17060 5468 17368 5488 -rect 17060 5466 17066 5468 -rect 17122 5466 17146 5468 -rect 17202 5466 17226 5468 -rect 17282 5466 17306 5468 -rect 17362 5466 17368 5468 -rect 17122 5414 17124 5466 -rect 17304 5414 17306 5466 -rect 17060 5412 17066 5414 -rect 17122 5412 17146 5414 -rect 17202 5412 17226 5414 -rect 17282 5412 17306 5414 -rect 17362 5412 17368 5414 -rect 17060 5392 17368 5412 -rect 18144 5364 18196 5370 -rect 18144 5306 18196 5312 -rect 15292 5296 15344 5302 -rect 15292 5238 15344 5244 -rect 15660 5296 15712 5302 -rect 15844 5296 15896 5302 -rect 15712 5256 15844 5284 -rect 15660 5238 15712 5244 -rect 15844 5238 15896 5244 -rect 15304 4758 15332 5238 -rect 16672 5024 16724 5030 -rect 16672 4966 16724 4972 -rect 15510 4924 15818 4944 -rect 15510 4922 15516 4924 -rect 15572 4922 15596 4924 -rect 15652 4922 15676 4924 -rect 15732 4922 15756 4924 -rect 15812 4922 15818 4924 -rect 15572 4870 15574 4922 -rect 15754 4870 15756 4922 -rect 15510 4868 15516 4870 -rect 15572 4868 15596 4870 -rect 15652 4868 15676 4870 -rect 15732 4868 15756 4870 -rect 15812 4868 15818 4870 -rect 15510 4848 15818 4868 -rect 15292 4752 15344 4758 -rect 15292 4694 15344 4700 -rect 15016 4684 15068 4690 -rect 15016 4626 15068 4632 -rect 14648 4276 14700 4282 -rect 14648 4218 14700 4224 -rect 14924 4276 14976 4282 -rect 14924 4218 14976 4224 -rect 14556 4208 14608 4214 -rect 14556 4150 14608 4156 -rect 14832 4072 14884 4078 -rect 14936 4060 14964 4218 -rect 15108 4140 15160 4146 -rect 15108 4082 15160 4088 -rect 14884 4032 14964 4060 -rect 14832 4014 14884 4020 -rect 14556 4004 14608 4010 -rect 14556 3946 14608 3952 -rect 14464 3732 14516 3738 -rect 14464 3674 14516 3680 -rect 14096 3460 14148 3466 -rect 14096 3402 14148 3408 -rect 13960 3292 14268 3312 -rect 13960 3290 13966 3292 -rect 14022 3290 14046 3292 -rect 14102 3290 14126 3292 -rect 14182 3290 14206 3292 -rect 14262 3290 14268 3292 -rect 14022 3238 14024 3290 -rect 14204 3238 14206 3290 -rect 13960 3236 13966 3238 -rect 14022 3236 14046 3238 -rect 14102 3236 14126 3238 -rect 14182 3236 14206 3238 -rect 14262 3236 14268 3238 -rect 13960 3216 14268 3236 -rect 14568 3126 14596 3946 -rect 15120 3738 15148 4082 -rect 15304 3738 15332 4694 -rect 16684 4690 16712 4966 -rect 16672 4684 16724 4690 -rect 16672 4626 16724 4632 -rect 15844 4616 15896 4622 -rect 15844 4558 15896 4564 -rect 15384 4548 15436 4554 -rect 15384 4490 15436 4496 -rect 15752 4548 15804 4554 -rect 15752 4490 15804 4496 -rect 15108 3732 15160 3738 -rect 15108 3674 15160 3680 -rect 15292 3732 15344 3738 -rect 15292 3674 15344 3680 -rect 14556 3120 14608 3126 -rect 14556 3062 14608 3068 -rect 13820 3052 13872 3058 -rect 13820 2994 13872 3000 -rect 14464 3052 14516 3058 -rect 14464 2994 14516 3000 -rect 13832 2854 13860 2994 -rect 14280 2984 14332 2990 -rect 14280 2926 14332 2932 -rect 13820 2848 13872 2854 -rect 13820 2790 13872 2796 -rect 13648 2746 13768 2774 -rect 13648 2310 13676 2746 -rect 14292 2514 14320 2926 -rect 14280 2508 14332 2514 -rect 14280 2450 14332 2456 -rect 13636 2304 13688 2310 -rect 13636 2246 13688 2252 -rect 14372 2304 14424 2310 -rect 14372 2246 14424 2252 -rect 14476 2258 14504 2994 -rect 14568 2446 14596 3062 -rect 15120 2854 15148 3674 -rect 15200 3120 15252 3126 -rect 15200 3062 15252 3068 -rect 15108 2848 15160 2854 -rect 15108 2790 15160 2796 -rect 14556 2440 14608 2446 -rect 14556 2382 14608 2388 -rect 15212 2378 15240 3062 -rect 15304 2582 15332 3674 -rect 15396 3194 15424 4490 -rect 15764 4214 15792 4490 -rect 15856 4282 15884 4558 -rect 16580 4548 16632 4554 -rect 16580 4490 16632 4496 -rect 15936 4480 15988 4486 -rect 15936 4422 15988 4428 -rect 15844 4276 15896 4282 -rect 15844 4218 15896 4224 -rect 15752 4208 15804 4214 -rect 15752 4150 15804 4156 -rect 15510 3836 15818 3856 -rect 15510 3834 15516 3836 -rect 15572 3834 15596 3836 -rect 15652 3834 15676 3836 -rect 15732 3834 15756 3836 -rect 15812 3834 15818 3836 -rect 15572 3782 15574 3834 -rect 15754 3782 15756 3834 -rect 15510 3780 15516 3782 -rect 15572 3780 15596 3782 -rect 15652 3780 15676 3782 -rect 15732 3780 15756 3782 -rect 15812 3780 15818 3782 -rect 15510 3760 15818 3780 -rect 15856 3534 15884 4218 -rect 15844 3528 15896 3534 -rect 15844 3470 15896 3476 -rect 15384 3188 15436 3194 -rect 15384 3130 15436 3136 -rect 15510 2748 15818 2768 -rect 15510 2746 15516 2748 -rect 15572 2746 15596 2748 -rect 15652 2746 15676 2748 -rect 15732 2746 15756 2748 -rect 15812 2746 15818 2748 -rect 15572 2694 15574 2746 -rect 15754 2694 15756 2746 -rect 15510 2692 15516 2694 -rect 15572 2692 15596 2694 -rect 15652 2692 15676 2694 -rect 15732 2692 15756 2694 -rect 15812 2692 15818 2694 -rect 15510 2672 15818 2692 -rect 15292 2576 15344 2582 -rect 15292 2518 15344 2524 -rect 15856 2514 15884 3470 -rect 15948 3194 15976 4422 -rect 16120 3936 16172 3942 -rect 16120 3878 16172 3884 -rect 16132 3602 16160 3878 -rect 16120 3596 16172 3602 -rect 16120 3538 16172 3544 -rect 16592 3466 16620 4490 -rect 17060 4380 17368 4400 -rect 17060 4378 17066 4380 -rect 17122 4378 17146 4380 -rect 17202 4378 17226 4380 -rect 17282 4378 17306 4380 -rect 17362 4378 17368 4380 -rect 17122 4326 17124 4378 -rect 17304 4326 17306 4378 -rect 17060 4324 17066 4326 -rect 17122 4324 17146 4326 -rect 17202 4324 17226 4326 -rect 17282 4324 17306 4326 -rect 17362 4324 17368 4326 -rect 17060 4304 17368 4324 -rect 17500 3596 17552 3602 -rect 17500 3538 17552 3544 -rect 16580 3460 16632 3466 -rect 16632 3420 16712 3448 -rect 16580 3402 16632 3408 -rect 15936 3188 15988 3194 -rect 15936 3130 15988 3136 -rect 16488 3120 16540 3126 -rect 16488 3062 16540 3068 -rect 16500 2514 16528 3062 -rect 15568 2508 15620 2514 -rect 15568 2450 15620 2456 -rect 15844 2508 15896 2514 -rect 15844 2450 15896 2456 -rect 16488 2508 16540 2514 -rect 16488 2450 16540 2456 -rect 15200 2372 15252 2378 -rect 15200 2314 15252 2320 -rect 15292 2372 15344 2378 -rect 15292 2314 15344 2320 -rect 14924 2304 14976 2310 -rect 13648 2106 13676 2246 -rect 13960 2204 14268 2224 -rect 13960 2202 13966 2204 -rect 14022 2202 14046 2204 -rect 14102 2202 14126 2204 -rect 14182 2202 14206 2204 -rect 14262 2202 14268 2204 -rect 14022 2150 14024 2202 -rect 14204 2150 14206 2202 -rect 13960 2148 13966 2150 -rect 14022 2148 14046 2150 -rect 14102 2148 14126 2150 -rect 14182 2148 14206 2150 -rect 14262 2148 14268 2150 -rect 13960 2128 14268 2148 -rect 13636 2100 13688 2106 -rect 13636 2042 13688 2048 -rect 14384 1970 14412 2246 -rect 14476 2230 14596 2258 -rect 14924 2246 14976 2252 -rect 12900 1964 12952 1970 -rect 12900 1906 12952 1912 -rect 13544 1964 13596 1970 -rect 13544 1906 13596 1912 -rect 14372 1964 14424 1970 -rect 14372 1906 14424 1912 -rect 10968 1896 11020 1902 -rect 10968 1838 11020 1844 -rect 12808 1896 12860 1902 -rect 12808 1838 12860 1844 -rect 10980 1358 11008 1838 -rect 12164 1760 12216 1766 -rect 12164 1702 12216 1708 -rect 10416 1352 10468 1358 -rect 10416 1294 10468 1300 -rect 10968 1352 11020 1358 -rect 10968 1294 11020 1300 -rect 10428 882 10456 1294 -rect 11520 1216 11572 1222 -rect 11520 1158 11572 1164 -rect 10860 1116 11168 1136 -rect 10860 1114 10866 1116 -rect 10922 1114 10946 1116 -rect 11002 1114 11026 1116 -rect 11082 1114 11106 1116 -rect 11162 1114 11168 1116 -rect 10922 1062 10924 1114 -rect 11104 1062 11106 1114 -rect 10860 1060 10866 1062 -rect 10922 1060 10946 1062 -rect 11002 1060 11026 1062 -rect 11082 1060 11106 1062 -rect 11162 1060 11168 1062 -rect 10860 1040 11168 1060 -rect 11532 950 11560 1158 -rect 11520 944 11572 950 -rect 11520 886 11572 892 -rect 12176 882 12204 1702 -rect 12410 1660 12718 1680 -rect 12410 1658 12416 1660 -rect 12472 1658 12496 1660 -rect 12552 1658 12576 1660 -rect 12632 1658 12656 1660 -rect 12712 1658 12718 1660 -rect 12472 1606 12474 1658 -rect 12654 1606 12656 1658 -rect 12410 1604 12416 1606 -rect 12472 1604 12496 1606 -rect 12552 1604 12576 1606 -rect 12632 1604 12656 1606 -rect 12712 1604 12718 1606 -rect 12410 1584 12718 1604 -rect 12348 1284 12400 1290 -rect 12348 1226 12400 1232 -rect 12360 1018 12388 1226 -rect 12348 1012 12400 1018 -rect 12348 954 12400 960 -rect 10416 876 10468 882 -rect 10416 818 10468 824 -rect 11704 876 11756 882 -rect 11704 818 11756 824 -rect 11796 876 11848 882 -rect 11796 818 11848 824 -rect 12164 876 12216 882 -rect 12164 818 12216 824 -rect 10232 808 10284 814 -rect 10232 750 10284 756 -rect 9310 711 9366 720 -rect 9680 740 9732 746 -rect 9680 682 9732 688 -rect 9128 672 9180 678 -rect 9128 614 9180 620 -rect 9956 672 10008 678 -rect 9956 614 10008 620 -rect 9034 368 9090 377 -rect 9034 303 9090 312 -rect 5908 264 5960 270 -rect 5908 206 5960 212 -rect 9048 202 9076 303 -rect 9140 270 9168 614 +rect 18512 8084 18564 8090 +rect 18512 8026 18564 8032 +rect 17868 7880 17920 7886 +rect 17868 7822 17920 7828 +rect 17060 7644 17368 7664 +rect 17060 7642 17066 7644 +rect 17122 7642 17146 7644 +rect 17202 7642 17226 7644 +rect 17282 7642 17306 7644 +rect 17362 7642 17368 7644 +rect 17122 7590 17124 7642 +rect 17304 7590 17306 7642 +rect 17060 7588 17066 7590 +rect 17122 7588 17146 7590 +rect 17202 7588 17226 7590 +rect 17282 7588 17306 7590 +rect 17362 7588 17368 7590 +rect 17060 7568 17368 7588 +rect 16948 7404 17000 7410 +rect 16948 7346 17000 7352 +rect 16304 7200 16356 7206 +rect 16304 7142 16356 7148 +rect 16316 6730 16344 7142 +rect 17880 6866 17908 7822 +rect 16948 6860 17000 6866 +rect 16948 6802 17000 6808 +rect 17868 6860 17920 6866 +rect 17868 6802 17920 6808 +rect 16304 6724 16356 6730 +rect 16304 6666 16356 6672 +rect 15936 6656 15988 6662 +rect 15936 6598 15988 6604 +rect 16212 6452 16264 6458 +rect 16212 6394 16264 6400 +rect 16224 6186 16252 6394 +rect 16316 6322 16344 6666 +rect 16960 6458 16988 6802 +rect 17500 6724 17552 6730 +rect 17500 6666 17552 6672 +rect 17060 6556 17368 6576 +rect 17060 6554 17066 6556 +rect 17122 6554 17146 6556 +rect 17202 6554 17226 6556 +rect 17282 6554 17306 6556 +rect 17362 6554 17368 6556 +rect 17122 6502 17124 6554 +rect 17304 6502 17306 6554 +rect 17060 6500 17066 6502 +rect 17122 6500 17146 6502 +rect 17202 6500 17226 6502 +rect 17282 6500 17306 6502 +rect 17362 6500 17368 6502 +rect 17060 6480 17368 6500 +rect 16948 6452 17000 6458 +rect 16948 6394 17000 6400 +rect 16304 6316 16356 6322 +rect 16304 6258 16356 6264 +rect 16488 6316 16540 6322 +rect 16488 6258 16540 6264 +rect 16212 6180 16264 6186 +rect 16212 6122 16264 6128 +rect 15844 6112 15896 6118 +rect 15844 6054 15896 6060 +rect 15510 6012 15818 6032 +rect 15510 6010 15516 6012 +rect 15572 6010 15596 6012 +rect 15652 6010 15676 6012 +rect 15732 6010 15756 6012 +rect 15812 6010 15818 6012 +rect 15572 5958 15574 6010 +rect 15754 5958 15756 6010 +rect 15510 5956 15516 5958 +rect 15572 5956 15596 5958 +rect 15652 5956 15676 5958 +rect 15732 5956 15756 5958 +rect 15812 5956 15818 5958 +rect 15510 5936 15818 5956 +rect 15384 5908 15436 5914 +rect 15384 5850 15436 5856 +rect 15856 5778 15884 6054 +rect 15844 5772 15896 5778 +rect 15844 5714 15896 5720 +rect 16224 5710 16252 6122 +rect 16500 5846 16528 6258 +rect 16580 6248 16632 6254 +rect 16580 6190 16632 6196 +rect 17408 6248 17460 6254 +rect 17408 6190 17460 6196 +rect 16488 5840 16540 5846 +rect 16488 5782 16540 5788 +rect 16212 5704 16264 5710 +rect 16212 5646 16264 5652 +rect 14648 5636 14700 5642 +rect 14648 5578 14700 5584 +rect 16120 5636 16172 5642 +rect 16120 5578 16172 5584 +rect 15016 5568 15068 5574 +rect 15016 5510 15068 5516 +rect 14464 5228 14516 5234 +rect 14464 5170 14516 5176 +rect 15028 5166 15056 5510 +rect 16132 5302 16160 5578 +rect 16224 5302 16252 5646 +rect 16120 5296 16172 5302 +rect 16120 5238 16172 5244 +rect 16212 5296 16264 5302 +rect 16212 5238 16264 5244 +rect 15016 5160 15068 5166 +rect 15016 5102 15068 5108 +rect 15384 5160 15436 5166 +rect 15384 5102 15436 5108 +rect 14372 5024 14424 5030 +rect 14372 4966 14424 4972 +rect 15200 5024 15252 5030 +rect 15200 4966 15252 4972 +rect 15292 5024 15344 5030 +rect 15292 4966 15344 4972 +rect 15016 4616 15068 4622 +rect 15016 4558 15068 4564 +rect 13728 4548 13780 4554 +rect 13728 4490 13780 4496 +rect 13452 4480 13504 4486 +rect 13452 4422 13504 4428 +rect 13464 4214 13492 4422 +rect 13740 4282 13768 4490 +rect 13960 4380 14268 4400 +rect 13960 4378 13966 4380 +rect 14022 4378 14046 4380 +rect 14102 4378 14126 4380 +rect 14182 4378 14206 4380 +rect 14262 4378 14268 4380 +rect 14022 4326 14024 4378 +rect 14204 4326 14206 4378 +rect 13960 4324 13966 4326 +rect 14022 4324 14046 4326 +rect 14102 4324 14126 4326 +rect 14182 4324 14206 4326 +rect 14262 4324 14268 4326 +rect 13960 4304 14268 4324 +rect 13728 4276 13780 4282 +rect 13728 4218 13780 4224 +rect 13452 4208 13504 4214 +rect 13452 4150 13504 4156 +rect 13820 4208 13872 4214 +rect 13820 4150 13872 4156 +rect 13268 3596 13320 3602 +rect 13268 3538 13320 3544 +rect 12900 3528 12952 3534 +rect 12900 3470 12952 3476 +rect 12072 3392 12124 3398 +rect 12072 3334 12124 3340 +rect 12084 3058 12112 3334 +rect 12544 3058 13032 3074 +rect 12072 3052 12124 3058 +rect 12072 2994 12124 3000 +rect 12532 3052 13032 3058 +rect 12584 3046 13032 3052 +rect 12532 2994 12584 3000 +rect 13004 2990 13032 3046 +rect 12808 2984 12860 2990 +rect 12808 2926 12860 2932 +rect 12992 2984 13044 2990 +rect 12992 2926 13044 2932 +rect 11796 2848 11848 2854 +rect 11796 2790 11848 2796 +rect 11980 2848 12032 2854 +rect 11980 2790 12032 2796 +rect 11992 2446 12020 2790 +rect 12410 2748 12718 2768 +rect 12410 2746 12416 2748 +rect 12472 2746 12496 2748 +rect 12552 2746 12576 2748 +rect 12632 2746 12656 2748 +rect 12712 2746 12718 2748 +rect 12472 2694 12474 2746 +rect 12654 2694 12656 2746 +rect 12410 2692 12416 2694 +rect 12472 2692 12496 2694 +rect 12552 2692 12576 2694 +rect 12632 2692 12656 2694 +rect 12712 2692 12718 2694 +rect 12410 2672 12718 2692 +rect 12820 2650 12848 2926 +rect 13004 2650 13032 2926 +rect 12808 2644 12860 2650 +rect 12808 2586 12860 2592 +rect 12992 2644 13044 2650 +rect 12992 2586 13044 2592 +rect 13176 2576 13228 2582 +rect 13176 2518 13228 2524 +rect 11980 2440 12032 2446 +rect 11980 2382 12032 2388 +rect 11336 2372 11388 2378 +rect 11336 2314 11388 2320 +rect 11612 2372 11664 2378 +rect 11612 2314 11664 2320 +rect 11704 2372 11756 2378 +rect 11704 2314 11756 2320 +rect 10860 2204 11168 2224 +rect 10860 2202 10866 2204 +rect 10922 2202 10946 2204 +rect 11002 2202 11026 2204 +rect 11082 2202 11106 2204 +rect 11162 2202 11168 2204 +rect 10922 2150 10924 2202 +rect 11104 2150 11106 2202 +rect 10860 2148 10866 2150 +rect 10922 2148 10946 2150 +rect 11002 2148 11026 2150 +rect 11082 2148 11106 2150 +rect 11162 2148 11168 2150 +rect 10860 2128 11168 2148 +rect 11244 2100 11296 2106 +rect 11244 2042 11296 2048 +rect 10324 2032 10376 2038 +rect 10138 2000 10194 2009 +rect 10324 1974 10376 1980 +rect 11150 2000 11206 2009 +rect 10138 1935 10194 1944 +rect 10152 1834 10180 1935 +rect 10140 1828 10192 1834 +rect 10140 1770 10192 1776 +rect 10048 1556 10100 1562 +rect 10048 1498 10100 1504 +rect 10152 1358 10180 1770 +rect 10336 1358 10364 1974 +rect 10692 1964 10744 1970 +rect 11150 1935 11152 1944 +rect 10692 1906 10744 1912 +rect 11204 1935 11206 1944 +rect 11152 1906 11204 1912 +rect 10704 1850 10732 1906 +rect 11256 1873 11284 2042 +rect 11348 2038 11376 2314 +rect 11428 2304 11480 2310 +rect 11428 2246 11480 2252 +rect 11336 2032 11388 2038 +rect 11336 1974 11388 1980 +rect 11440 1970 11468 2246 +rect 11624 2106 11652 2314 +rect 11612 2100 11664 2106 +rect 11612 2042 11664 2048 +rect 11428 1964 11480 1970 +rect 11428 1906 11480 1912 +rect 11612 1964 11664 1970 +rect 11612 1906 11664 1912 +rect 11336 1896 11388 1902 +rect 11242 1864 11298 1873 +rect 10704 1822 11192 1850 +rect 11060 1760 11112 1766 +rect 11060 1702 11112 1708 +rect 11072 1562 11100 1702 +rect 11164 1562 11192 1822 +rect 11336 1838 11388 1844 +rect 11242 1799 11298 1808 +rect 11348 1766 11376 1838 +rect 11336 1760 11388 1766 +rect 11336 1702 11388 1708 +rect 11060 1556 11112 1562 +rect 11060 1498 11112 1504 +rect 11152 1556 11204 1562 +rect 11152 1498 11204 1504 +rect 11624 1494 11652 1906 +rect 10784 1488 10836 1494 +rect 10784 1430 10836 1436 +rect 11612 1488 11664 1494 +rect 11612 1430 11664 1436 +rect 9864 1352 9916 1358 +rect 9864 1294 9916 1300 +rect 10140 1352 10192 1358 +rect 10140 1294 10192 1300 +rect 10324 1352 10376 1358 +rect 10324 1294 10376 1300 +rect 9680 1284 9732 1290 +rect 9680 1226 9732 1232 +rect 9128 1012 9180 1018 +rect 9128 954 9180 960 +rect 9312 1012 9364 1018 +rect 9312 954 9364 960 +rect 9324 814 9352 954 +rect 9312 808 9364 814 +rect 9312 750 9364 756 rect 9310 572 9618 592 rect 9310 570 9316 572 rect 9372 570 9396 572 @@ -15917,33 +16408,149 @@ rect 9452 516 9476 518 rect 9532 516 9556 518 rect 9612 516 9618 518 rect 9310 496 9618 516 -rect 9968 270 9996 614 -rect 11716 377 11744 818 -rect 11808 746 11836 818 -rect 12912 746 12940 1906 -rect 13452 1352 13504 1358 -rect 13452 1294 13504 1300 -rect 13360 1216 13412 1222 -rect 13360 1158 13412 1164 -rect 13372 921 13400 1158 -rect 13358 912 13414 921 -rect 12992 876 13044 882 -rect 12992 818 13044 824 -rect 13268 876 13320 882 -rect 13358 847 13414 856 -rect 13268 818 13320 824 +rect 9036 332 9088 338 +rect 9036 274 9088 280 +rect 9876 270 9904 1294 +rect 10600 808 10652 814 +rect 10600 750 10652 756 +rect 10612 474 10640 750 +rect 10600 468 10652 474 +rect 10600 410 10652 416 +rect 10796 270 10824 1430 +rect 11336 1420 11388 1426 +rect 11336 1362 11388 1368 +rect 11244 1216 11296 1222 +rect 11244 1158 11296 1164 +rect 10860 1116 11168 1136 +rect 10860 1114 10866 1116 +rect 10922 1114 10946 1116 +rect 11002 1114 11026 1116 +rect 11082 1114 11106 1116 +rect 11162 1114 11168 1116 +rect 10922 1062 10924 1114 +rect 11104 1062 11106 1114 +rect 10860 1060 10866 1062 +rect 10922 1060 10946 1062 +rect 11002 1060 11026 1062 +rect 11082 1060 11106 1062 +rect 11162 1060 11168 1062 +rect 10860 1040 11168 1060 +rect 11256 338 11284 1158 +rect 11348 762 11376 1362 +rect 11716 762 11744 2314 +rect 13188 2106 13216 2518 +rect 13280 2514 13308 3538 +rect 13464 3126 13492 4150 +rect 13832 4078 13860 4150 +rect 14556 4140 14608 4146 +rect 14556 4082 14608 4088 +rect 13820 4072 13872 4078 +rect 13820 4014 13872 4020 +rect 13820 3392 13872 3398 +rect 13820 3334 13872 3340 +rect 13452 3120 13504 3126 +rect 13452 3062 13504 3068 +rect 13832 3058 13860 3334 +rect 13960 3292 14268 3312 +rect 13960 3290 13966 3292 +rect 14022 3290 14046 3292 +rect 14102 3290 14126 3292 +rect 14182 3290 14206 3292 +rect 14262 3290 14268 3292 +rect 14022 3238 14024 3290 +rect 14204 3238 14206 3290 +rect 13960 3236 13966 3238 +rect 14022 3236 14046 3238 +rect 14102 3236 14126 3238 +rect 14182 3236 14206 3238 +rect 14262 3236 14268 3238 +rect 13960 3216 14268 3236 +rect 13820 3052 13872 3058 +rect 13820 2994 13872 3000 +rect 14372 2848 14424 2854 +rect 14372 2790 14424 2796 +rect 13268 2508 13320 2514 +rect 13268 2450 13320 2456 +rect 13728 2508 13780 2514 +rect 13728 2450 13780 2456 +rect 13176 2100 13228 2106 +rect 13176 2042 13228 2048 +rect 12992 2032 13044 2038 +rect 12992 1974 13044 1980 +rect 12900 1964 12952 1970 +rect 12900 1906 12952 1912 +rect 12808 1760 12860 1766 +rect 12808 1702 12860 1708 +rect 12410 1660 12718 1680 +rect 12410 1658 12416 1660 +rect 12472 1658 12496 1660 +rect 12552 1658 12576 1660 +rect 12632 1658 12656 1660 +rect 12712 1658 12718 1660 +rect 12472 1606 12474 1658 +rect 12654 1606 12656 1658 +rect 12410 1604 12416 1606 +rect 12472 1604 12496 1606 +rect 12552 1604 12576 1606 +rect 12632 1604 12656 1606 +rect 12712 1604 12718 1606 +rect 12410 1584 12718 1604 +rect 11796 1488 11848 1494 +rect 11796 1430 11848 1436 +rect 12622 1456 12678 1465 +rect 11348 734 11744 762 +rect 11808 746 11836 1430 +rect 12622 1391 12678 1400 +rect 12256 1352 12308 1358 +rect 12070 1320 12126 1329 +rect 12256 1294 12308 1300 +rect 12070 1255 12072 1264 +rect 12124 1255 12126 1264 +rect 12072 1226 12124 1232 +rect 12268 1170 12296 1294 +rect 12636 1222 12664 1391 +rect 12820 1290 12848 1702 +rect 12808 1284 12860 1290 +rect 12808 1226 12860 1232 +rect 12912 1222 12940 1906 +rect 12176 1142 12296 1170 +rect 12624 1216 12676 1222 +rect 12624 1158 12676 1164 +rect 12900 1216 12952 1222 +rect 12900 1158 12952 1164 +rect 12176 950 12204 1142 +rect 12164 944 12216 950 +rect 12164 886 12216 892 +rect 12900 944 12952 950 +rect 13004 932 13032 1974 +rect 13084 1964 13136 1970 +rect 13084 1906 13136 1912 +rect 12952 904 13032 932 +rect 12900 886 12952 892 +rect 12348 876 12400 882 +rect 12808 876 12860 882 +rect 12400 836 12808 864 +rect 12348 818 12400 824 +rect 12808 818 12860 824 +rect 11244 332 11296 338 +rect 11244 274 11296 280 +rect 7288 264 7340 270 +rect 7288 206 7340 212 +rect 8852 264 8904 270 +rect 8852 206 8904 212 +rect 9864 264 9916 270 +rect 9864 206 9916 212 +rect 10784 264 10836 270 +rect 10784 206 10836 212 +rect 11348 202 11376 734 +rect 11716 678 11744 734 rect 11796 740 11848 746 rect 11796 682 11848 688 -rect 12900 740 12952 746 -rect 12900 682 12952 688 -rect 11702 368 11758 377 -rect 11702 303 11758 312 -rect 9128 264 9180 270 -rect 9128 206 9180 212 -rect 9956 264 10008 270 -rect 9956 206 10008 212 -rect 11716 202 11744 303 -rect 11808 270 11836 682 +rect 11612 672 11664 678 +rect 11612 614 11664 620 +rect 11704 672 11756 678 +rect 11704 614 11756 620 +rect 11624 270 11652 614 rect 12410 572 12718 592 rect 12410 570 12416 572 rect 12472 570 12496 572 @@ -15958,38 +16565,158 @@ rect 12552 516 12576 518 rect 12632 516 12656 518 rect 12712 516 12718 518 rect 12410 496 12718 516 -rect 12256 400 12308 406 -rect 12254 368 12256 377 -rect 12308 368 12310 377 -rect 12254 303 12310 312 -rect 11796 264 11848 270 -rect 11796 206 11848 212 -rect 13004 202 13032 818 -rect 13280 270 13308 818 -rect 13464 474 13492 1294 -rect 13556 1018 13584 1906 -rect 13820 1896 13872 1902 -rect 13820 1838 13872 1844 -rect 13832 1426 13860 1838 -rect 13820 1420 13872 1426 -rect 13820 1362 13872 1368 -rect 13544 1012 13596 1018 -rect 13544 954 13596 960 -rect 13726 912 13782 921 -rect 13726 847 13728 856 -rect 13780 847 13782 856 -rect 13728 818 13780 824 -rect 13544 808 13596 814 -rect 13544 750 13596 756 -rect 13556 474 13584 750 -rect 13452 468 13504 474 -rect 13452 410 13504 416 -rect 13544 468 13596 474 -rect 13544 410 13596 416 -rect 13740 270 13768 818 -rect 13832 785 13860 1362 -rect 14464 1352 14516 1358 -rect 14464 1294 14516 1300 +rect 12820 270 12848 818 +rect 13096 474 13124 1906 +rect 13544 1352 13596 1358 +rect 13542 1320 13544 1329 +rect 13596 1320 13598 1329 +rect 13176 1284 13228 1290 +rect 13740 1290 13768 2450 +rect 13820 2372 13872 2378 +rect 13820 2314 13872 2320 +rect 13832 2106 13860 2314 +rect 13960 2204 14268 2224 +rect 13960 2202 13966 2204 +rect 14022 2202 14046 2204 +rect 14102 2202 14126 2204 +rect 14182 2202 14206 2204 +rect 14262 2202 14268 2204 +rect 14022 2150 14024 2202 +rect 14204 2150 14206 2202 +rect 13960 2148 13966 2150 +rect 14022 2148 14046 2150 +rect 14102 2148 14126 2150 +rect 14182 2148 14206 2150 +rect 14262 2148 14268 2150 +rect 13960 2128 14268 2148 +rect 13820 2100 13872 2106 +rect 13820 2042 13872 2048 +rect 14384 1970 14412 2790 +rect 14464 2304 14516 2310 +rect 14464 2246 14516 2252 +rect 14372 1964 14424 1970 +rect 14372 1906 14424 1912 +rect 14476 1902 14504 2246 +rect 13912 1896 13964 1902 +rect 14464 1896 14516 1902 +rect 13964 1844 14320 1850 +rect 13912 1838 14320 1844 +rect 14464 1838 14516 1844 +rect 13924 1822 14320 1838 +rect 14188 1760 14240 1766 +rect 14188 1702 14240 1708 +rect 14200 1494 14228 1702 +rect 14292 1494 14320 1822 +rect 14188 1488 14240 1494 +rect 14188 1430 14240 1436 +rect 14280 1488 14332 1494 +rect 14568 1465 14596 4082 +rect 15028 4078 15056 4558 +rect 15212 4486 15240 4966 +rect 15200 4480 15252 4486 +rect 15200 4422 15252 4428 +rect 15304 4146 15332 4966 +rect 15396 4554 15424 5102 +rect 15510 4924 15818 4944 +rect 15510 4922 15516 4924 +rect 15572 4922 15596 4924 +rect 15652 4922 15676 4924 +rect 15732 4922 15756 4924 +rect 15812 4922 15818 4924 +rect 15572 4870 15574 4922 +rect 15754 4870 15756 4922 +rect 15510 4868 15516 4870 +rect 15572 4868 15596 4870 +rect 15652 4868 15676 4870 +rect 15732 4868 15756 4870 +rect 15812 4868 15818 4870 +rect 15510 4848 15818 4868 +rect 15844 4616 15896 4622 +rect 15844 4558 15896 4564 +rect 15384 4548 15436 4554 +rect 15384 4490 15436 4496 +rect 15292 4140 15344 4146 +rect 15292 4082 15344 4088 +rect 15016 4072 15068 4078 +rect 15016 4014 15068 4020 +rect 15200 4072 15252 4078 +rect 15200 4014 15252 4020 +rect 14740 3936 14792 3942 +rect 14740 3878 14792 3884 +rect 14752 3602 14780 3878 +rect 14740 3596 14792 3602 +rect 14792 3556 14872 3584 +rect 14740 3538 14792 3544 +rect 14648 3392 14700 3398 +rect 14648 3334 14700 3340 +rect 14740 3392 14792 3398 +rect 14740 3334 14792 3340 +rect 14660 3058 14688 3334 +rect 14648 3052 14700 3058 +rect 14648 2994 14700 3000 +rect 14752 2990 14780 3334 +rect 14844 3058 14872 3556 +rect 14924 3528 14976 3534 +rect 14924 3470 14976 3476 +rect 14832 3052 14884 3058 +rect 14832 2994 14884 3000 +rect 14740 2984 14792 2990 +rect 14740 2926 14792 2932 +rect 14740 2100 14792 2106 +rect 14740 2042 14792 2048 +rect 14752 1873 14780 2042 +rect 14936 1970 14964 3470 +rect 15028 3194 15056 4014 +rect 15212 3738 15240 4014 +rect 15200 3732 15252 3738 +rect 15200 3674 15252 3680 +rect 15016 3188 15068 3194 +rect 15016 3130 15068 3136 +rect 15108 2644 15160 2650 +rect 15108 2586 15160 2592 +rect 15120 2446 15148 2586 +rect 15108 2440 15160 2446 +rect 15160 2400 15240 2428 +rect 15108 2382 15160 2388 +rect 15108 2100 15160 2106 +rect 15108 2042 15160 2048 +rect 14832 1964 14884 1970 +rect 14832 1906 14884 1912 +rect 14924 1964 14976 1970 +rect 14924 1906 14976 1912 +rect 14738 1864 14794 1873 +rect 14738 1799 14794 1808 +rect 14740 1760 14792 1766 +rect 14740 1702 14792 1708 +rect 14752 1578 14780 1702 +rect 14660 1562 14780 1578 +rect 14844 1562 14872 1906 +rect 14648 1556 14780 1562 +rect 14700 1550 14780 1556 +rect 14832 1556 14884 1562 +rect 14648 1498 14700 1504 +rect 14832 1498 14884 1504 +rect 14280 1430 14332 1436 +rect 14554 1456 14610 1465 +rect 15120 1426 15148 2042 +rect 14554 1391 14610 1400 +rect 15108 1420 15160 1426 +rect 15108 1362 15160 1368 +rect 14096 1352 14148 1358 +rect 14148 1300 14412 1306 +rect 14096 1294 14412 1300 +rect 13542 1255 13598 1264 +rect 13728 1284 13780 1290 +rect 13176 1226 13228 1232 +rect 14108 1278 14412 1294 +rect 13728 1226 13780 1232 +rect 13188 882 13216 1226 +rect 13740 1018 13768 1226 +rect 13820 1216 13872 1222 +rect 13820 1158 13872 1164 +rect 13728 1012 13780 1018 +rect 13728 954 13780 960 +rect 13832 950 13860 1158 rect 13960 1116 14268 1136 rect 13960 1114 13966 1116 rect 14022 1114 14046 1116 @@ -16004,34 +16731,146 @@ rect 14102 1060 14126 1062 rect 14182 1060 14206 1062 rect 14262 1060 14268 1062 rect 13960 1040 14268 1060 -rect 14280 808 14332 814 -rect 13818 776 13874 785 -rect 13818 711 13874 720 -rect 14278 776 14280 785 -rect 14332 776 14334 785 -rect 14476 746 14504 1294 -rect 14568 1290 14596 2230 -rect 14648 1964 14700 1970 -rect 14648 1906 14700 1912 -rect 14660 1562 14688 1906 -rect 14832 1828 14884 1834 -rect 14832 1770 14884 1776 -rect 14648 1556 14700 1562 -rect 14648 1498 14700 1504 -rect 14556 1284 14608 1290 -rect 14556 1226 14608 1232 -rect 14568 814 14596 1226 -rect 14844 950 14872 1770 -rect 14936 1562 14964 2246 -rect 14924 1556 14976 1562 -rect 14924 1498 14976 1504 -rect 15108 1488 15160 1494 -rect 15108 1430 15160 1436 -rect 15120 1358 15148 1430 -rect 15212 1358 15240 2314 -rect 15304 1902 15332 2314 -rect 15580 1902 15608 2450 -rect 16684 2378 16712 3420 +rect 14384 1018 14412 1278 +rect 14464 1216 14516 1222 +rect 14464 1158 14516 1164 +rect 15016 1216 15068 1222 +rect 15016 1158 15068 1164 +rect 14372 1012 14424 1018 +rect 14372 954 14424 960 +rect 13820 944 13872 950 +rect 13820 886 13872 892 +rect 14476 882 14504 1158 +rect 13176 876 13228 882 +rect 13176 818 13228 824 +rect 14464 876 14516 882 +rect 14464 818 14516 824 +rect 13084 468 13136 474 +rect 13084 410 13136 416 +rect 13188 338 13216 818 +rect 14740 672 14792 678 +rect 14740 614 14792 620 +rect 13176 332 13228 338 +rect 13176 274 13228 280 +rect 14752 270 14780 614 +rect 15028 474 15056 1158 +rect 15212 814 15240 2400 +rect 15396 2038 15424 4490 +rect 15510 3836 15818 3856 +rect 15510 3834 15516 3836 +rect 15572 3834 15596 3836 +rect 15652 3834 15676 3836 +rect 15732 3834 15756 3836 +rect 15812 3834 15818 3836 +rect 15572 3782 15574 3834 +rect 15754 3782 15756 3834 +rect 15510 3780 15516 3782 +rect 15572 3780 15596 3782 +rect 15652 3780 15676 3782 +rect 15732 3780 15756 3782 +rect 15812 3780 15818 3782 +rect 15510 3760 15818 3780 +rect 15856 3534 15884 4558 +rect 16132 4486 16160 5238 +rect 16592 5098 16620 6190 +rect 16948 5908 17000 5914 +rect 16948 5850 17000 5856 +rect 16960 5166 16988 5850 +rect 17060 5468 17368 5488 +rect 17060 5466 17066 5468 +rect 17122 5466 17146 5468 +rect 17202 5466 17226 5468 +rect 17282 5466 17306 5468 +rect 17362 5466 17368 5468 +rect 17122 5414 17124 5466 +rect 17304 5414 17306 5466 +rect 17060 5412 17066 5414 +rect 17122 5412 17146 5414 +rect 17202 5412 17226 5414 +rect 17282 5412 17306 5414 +rect 17362 5412 17368 5414 +rect 17060 5392 17368 5412 +rect 17420 5234 17448 6190 +rect 17512 5778 17540 6666 +rect 17500 5772 17552 5778 +rect 17500 5714 17552 5720 +rect 17880 5710 17908 6802 +rect 18512 6792 18564 6798 +rect 18510 6760 18512 6769 +rect 18564 6760 18566 6769 +rect 18510 6695 18566 6704 +rect 18328 6656 18380 6662 +rect 18328 6598 18380 6604 +rect 18340 5778 18368 6598 +rect 18328 5772 18380 5778 +rect 18328 5714 18380 5720 +rect 17868 5704 17920 5710 +rect 17868 5646 17920 5652 +rect 18512 5704 18564 5710 +rect 18512 5646 18564 5652 +rect 18052 5568 18104 5574 +rect 18052 5510 18104 5516 +rect 17960 5364 18012 5370 +rect 17960 5306 18012 5312 +rect 17408 5228 17460 5234 +rect 17408 5170 17460 5176 +rect 16948 5160 17000 5166 +rect 16948 5102 17000 5108 +rect 16580 5092 16632 5098 +rect 16580 5034 16632 5040 +rect 16580 4684 16632 4690 +rect 16580 4626 16632 4632 +rect 17500 4684 17552 4690 +rect 17500 4626 17552 4632 +rect 16120 4480 16172 4486 +rect 16120 4422 16172 4428 +rect 16132 4214 16160 4422 +rect 16120 4208 16172 4214 +rect 16120 4150 16172 4156 +rect 16592 4146 16620 4626 +rect 17060 4380 17368 4400 +rect 17060 4378 17066 4380 +rect 17122 4378 17146 4380 +rect 17202 4378 17226 4380 +rect 17282 4378 17306 4380 +rect 17362 4378 17368 4380 +rect 17122 4326 17124 4378 +rect 17304 4326 17306 4378 +rect 17060 4324 17066 4326 +rect 17122 4324 17146 4326 +rect 17202 4324 17226 4326 +rect 17282 4324 17306 4326 +rect 17362 4324 17368 4326 +rect 17060 4304 17368 4324 +rect 16580 4140 16632 4146 +rect 16580 4082 16632 4088 +rect 15936 4072 15988 4078 +rect 15936 4014 15988 4020 +rect 16396 4072 16448 4078 +rect 16396 4014 16448 4020 +rect 15844 3528 15896 3534 +rect 15844 3470 15896 3476 +rect 15844 3392 15896 3398 +rect 15948 3380 15976 4014 +rect 16408 3466 16436 4014 +rect 16120 3460 16172 3466 +rect 16120 3402 16172 3408 +rect 16396 3460 16448 3466 +rect 16396 3402 16448 3408 +rect 17408 3460 17460 3466 +rect 17408 3402 17460 3408 +rect 15896 3352 15976 3380 +rect 15844 3334 15896 3340 +rect 15856 3126 15884 3334 +rect 16132 3194 16160 3402 +rect 16120 3188 16172 3194 +rect 16120 3130 16172 3136 +rect 15844 3120 15896 3126 +rect 15844 3062 15896 3068 +rect 16408 2922 16436 3402 +rect 16856 3392 16908 3398 +rect 16856 3334 16908 3340 +rect 16868 3058 16896 3334 rect 17060 3292 17368 3312 rect 17060 3290 17066 3292 rect 17122 3290 17146 3292 @@ -16046,24 +16885,37 @@ rect 17202 3236 17226 3238 rect 17282 3236 17306 3238 rect 17362 3236 17368 3238 rect 17060 3216 17368 3236 -rect 17512 3058 17540 3538 -rect 17500 3052 17552 3058 -rect 17500 2994 17552 3000 -rect 17868 3052 17920 3058 -rect 17868 2994 17920 3000 -rect 16120 2372 16172 2378 -rect 16120 2314 16172 2320 -rect 16672 2372 16724 2378 -rect 16672 2314 16724 2320 -rect 15844 2304 15896 2310 -rect 15844 2246 15896 2252 -rect 15856 2106 15884 2246 -rect 16132 2106 16160 2314 -rect 15844 2100 15896 2106 -rect 15844 2042 15896 2048 -rect 16120 2100 16172 2106 -rect 16120 2042 16172 2048 -rect 16684 1970 16712 2314 +rect 16856 3052 16908 3058 +rect 16856 2994 16908 3000 +rect 16396 2916 16448 2922 +rect 16396 2858 16448 2864 +rect 17132 2848 17184 2854 +rect 17132 2790 17184 2796 +rect 15510 2748 15818 2768 +rect 15510 2746 15516 2748 +rect 15572 2746 15596 2748 +rect 15652 2746 15676 2748 +rect 15732 2746 15756 2748 +rect 15812 2746 15818 2748 +rect 15572 2694 15574 2746 +rect 15754 2694 15756 2746 +rect 15510 2692 15516 2694 +rect 15572 2692 15596 2694 +rect 15652 2692 15676 2694 +rect 15732 2692 15756 2694 +rect 15812 2692 15818 2694 +rect 15510 2672 15818 2692 +rect 17144 2650 17172 2790 +rect 17132 2644 17184 2650 +rect 17132 2586 17184 2592 +rect 17144 2446 17172 2586 +rect 17132 2440 17184 2446 +rect 17132 2382 17184 2388 +rect 15476 2372 15528 2378 +rect 15476 2314 15528 2320 +rect 15384 2032 15436 2038 +rect 15384 1974 15436 1980 +rect 15488 1902 15516 2314 rect 17060 2204 17368 2224 rect 17060 2202 17066 2204 rect 17122 2202 17146 2204 @@ -16078,41 +16930,60 @@ rect 17202 2148 17226 2150 rect 17282 2148 17306 2150 rect 17362 2148 17368 2150 rect 17060 2128 17368 2148 -rect 17880 2106 17908 2994 -rect 18156 2106 18184 5306 +rect 17420 2038 17448 3402 +rect 17512 2106 17540 4626 +rect 17776 4480 17828 4486 +rect 17776 4422 17828 4428 +rect 17788 3058 17816 4422 +rect 17972 4282 18000 5306 +rect 17960 4276 18012 4282 +rect 17960 4218 18012 4224 +rect 18064 4010 18092 5510 +rect 18524 5273 18552 5646 rect 18510 5264 18566 5273 -rect 18510 5199 18512 5208 -rect 18564 5199 18566 5208 -rect 18512 5170 18564 5176 -rect 18512 4140 18564 4146 -rect 18512 4082 18564 4088 -rect 18524 3777 18552 4082 -rect 18510 3768 18566 3777 -rect 18510 3703 18566 3712 -rect 18512 2440 18564 2446 -rect 18512 2382 18564 2388 -rect 18524 2281 18552 2382 -rect 18510 2272 18566 2281 -rect 18510 2207 18566 2216 +rect 18510 5199 18566 5208 +rect 18328 4140 18380 4146 +rect 18328 4082 18380 4088 +rect 18052 4004 18104 4010 +rect 18052 3946 18104 3952 +rect 17776 3052 17828 3058 +rect 17776 2994 17828 3000 +rect 17684 2984 17736 2990 +rect 17684 2926 17736 2932 +rect 17696 2650 17724 2926 +rect 17684 2644 17736 2650 +rect 17684 2586 17736 2592 +rect 17788 2582 17816 2994 +rect 18144 2916 18196 2922 +rect 18144 2858 18196 2864 +rect 17868 2848 17920 2854 +rect 17868 2790 17920 2796 +rect 17776 2576 17828 2582 +rect 17776 2518 17828 2524 +rect 17880 2446 17908 2790 +rect 18156 2446 18184 2858 +rect 17868 2440 17920 2446 +rect 17868 2382 17920 2388 +rect 18144 2440 18196 2446 +rect 18144 2382 18196 2388 +rect 17880 2281 17908 2382 +rect 18236 2372 18288 2378 +rect 18236 2314 18288 2320 +rect 17866 2272 17922 2281 +rect 17866 2207 17922 2216 +rect 18248 2106 18276 2314 rect 17500 2100 17552 2106 rect 17500 2042 17552 2048 -rect 17868 2100 17920 2106 -rect 17868 2042 17920 2048 -rect 18144 2100 18196 2106 -rect 18144 2042 18196 2048 -rect 16672 1964 16724 1970 -rect 16672 1906 16724 1912 -rect 16948 1964 17000 1970 -rect 16948 1906 17000 1912 -rect 15292 1896 15344 1902 -rect 15292 1838 15344 1844 -rect 15568 1896 15620 1902 -rect 15568 1838 15620 1844 -rect 15384 1760 15436 1766 -rect 15384 1702 15436 1708 -rect 15936 1760 15988 1766 -rect 15936 1702 15988 1708 -rect 15396 1358 15424 1702 +rect 18236 2100 18288 2106 +rect 18236 2042 18288 2048 +rect 17408 2032 17460 2038 +rect 17408 1974 17460 1980 +rect 16488 1964 16540 1970 +rect 16488 1906 16540 1912 +rect 15476 1896 15528 1902 +rect 15476 1838 15528 1844 +rect 16120 1896 16172 1902 +rect 16120 1838 16172 1844 rect 15510 1660 15818 1680 rect 15510 1658 15516 1660 rect 15572 1658 15596 1660 @@ -16127,34 +16998,33 @@ rect 15652 1604 15676 1606 rect 15732 1604 15756 1606 rect 15812 1604 15818 1606 rect 15510 1584 15818 1604 -rect 15948 1358 15976 1702 -rect 15108 1352 15160 1358 -rect 15108 1294 15160 1300 -rect 15200 1352 15252 1358 -rect 15200 1294 15252 1300 -rect 15384 1352 15436 1358 -rect 15384 1294 15436 1300 -rect 15936 1352 15988 1358 -rect 15936 1294 15988 1300 +rect 15660 1420 15712 1426 +rect 15660 1362 15712 1368 +rect 15200 808 15252 814 +rect 15200 750 15252 756 +rect 15672 746 15700 1362 +rect 16132 1358 16160 1838 rect 16120 1352 16172 1358 rect 16120 1294 16172 1300 -rect 16396 1352 16448 1358 -rect 16396 1294 16448 1300 -rect 14832 944 14884 950 -rect 14832 886 14884 892 -rect 14556 808 14608 814 -rect 14556 750 14608 756 -rect 14278 711 14334 720 -rect 14464 740 14516 746 -rect 14464 682 14516 688 -rect 13268 264 13320 270 -rect 13268 206 13320 212 -rect 13728 264 13780 270 -rect 13728 206 13780 212 -rect 14476 202 14504 682 -rect 15212 474 15240 1294 -rect 16028 1216 16080 1222 -rect 16028 1158 16080 1164 +rect 16396 1284 16448 1290 +rect 16396 1226 16448 1232 +rect 15844 1216 15896 1222 +rect 15844 1158 15896 1164 +rect 15856 950 15884 1158 +rect 16408 1018 16436 1226 +rect 16500 1018 16528 1906 +rect 16672 1760 16724 1766 +rect 16672 1702 16724 1708 +rect 16396 1012 16448 1018 +rect 16396 954 16448 960 +rect 16488 1012 16540 1018 +rect 16488 954 16540 960 +rect 15844 944 15896 950 +rect 15844 886 15896 892 +rect 16580 876 16632 882 +rect 16580 818 16632 824 +rect 15660 740 15712 746 +rect 15660 682 15712 688 rect 15510 572 15818 592 rect 15510 570 15516 572 rect 15572 570 15596 572 @@ -16169,24 +17039,10 @@ rect 15652 516 15676 518 rect 15732 516 15756 518 rect 15812 516 15818 518 rect 15510 496 15818 516 -rect 15200 468 15252 474 -rect 15200 410 15252 416 -rect 15842 368 15898 377 -rect 15842 303 15898 312 -rect 15856 270 15884 303 -rect 16040 270 16068 1158 -rect 16132 474 16160 1294 -rect 16212 808 16264 814 -rect 16212 750 16264 756 -rect 16120 468 16172 474 -rect 16120 410 16172 416 -rect 16224 270 16252 750 -rect 16408 406 16436 1294 -rect 16684 1290 16712 1906 -rect 16672 1284 16724 1290 -rect 16672 1226 16724 1232 -rect 16684 1018 16712 1226 -rect 16960 1018 16988 1906 +rect 15016 468 15068 474 +rect 15016 410 15068 416 +rect 16592 270 16620 818 +rect 16684 338 16712 1702 rect 17060 1116 17368 1136 rect 17060 1114 17066 1116 rect 17122 1114 17146 1116 @@ -16201,63 +17057,53 @@ rect 17202 1060 17226 1062 rect 17282 1060 17306 1062 rect 17362 1060 17368 1062 rect 17060 1040 17368 1060 -rect 17512 1018 17540 2042 -rect 18236 1964 18288 1970 -rect 18236 1906 18288 1912 -rect 17868 1284 17920 1290 -rect 17868 1226 17920 1232 -rect 16672 1012 16724 1018 -rect 16672 954 16724 960 -rect 16948 1012 17000 1018 -rect 16948 954 17000 960 -rect 17500 1012 17552 1018 -rect 17500 954 17552 960 -rect 17880 921 17908 1226 -rect 18248 1018 18276 1906 -rect 18236 1012 18288 1018 -rect 18236 954 18288 960 -rect 17866 912 17922 921 -rect 17316 876 17368 882 -rect 17866 847 17922 856 -rect 18512 876 18564 882 -rect 17316 818 17368 824 -rect 16488 672 16540 678 -rect 16488 614 16540 620 -rect 16396 400 16448 406 -rect 16396 342 16448 348 -rect 16500 338 16528 614 -rect 17328 474 17356 818 -rect 17592 808 17644 814 -rect 17590 776 17592 785 -rect 17644 776 17646 785 -rect 17590 711 17646 720 -rect 17316 468 17368 474 -rect 17316 410 17368 416 -rect 16488 332 16540 338 -rect 16488 274 16540 280 -rect 17880 270 17908 847 -rect 18512 818 18564 824 -rect 18524 785 18552 818 -rect 18510 776 18566 785 +rect 17420 1018 17448 1974 +rect 17868 1216 17920 1222 +rect 17868 1158 17920 1164 +rect 17408 1012 17460 1018 +rect 17408 954 17460 960 +rect 17224 876 17276 882 +rect 17224 818 17276 824 +rect 16948 808 17000 814 +rect 16948 750 17000 756 +rect 16960 474 16988 750 +rect 17236 474 17264 818 +rect 16948 468 17000 474 +rect 16948 410 17000 416 +rect 17224 468 17276 474 +rect 17224 410 17276 416 +rect 16672 332 16724 338 +rect 16672 274 16724 280 +rect 17880 270 17908 1158 +rect 18340 882 18368 4082 +rect 18510 3768 18566 3777 +rect 18510 3703 18566 3712 +rect 18524 3534 18552 3703 +rect 18512 3528 18564 3534 +rect 18512 3470 18564 3476 +rect 18328 876 18380 882 +rect 18328 818 18380 824 +rect 18512 808 18564 814 +rect 18510 776 18512 785 +rect 18564 776 18566 785 rect 18510 711 18566 720 -rect 15844 264 15896 270 -rect 15844 206 15896 212 -rect 16028 264 16080 270 -rect 16028 206 16080 212 -rect 16212 264 16264 270 -rect 16212 206 16264 212 +rect 18524 474 18552 711 +rect 18512 468 18564 474 +rect 18512 410 18564 416 +rect 11612 264 11664 270 +rect 11612 206 11664 212 +rect 12808 264 12860 270 +rect 12808 206 12860 212 +rect 14740 264 14792 270 +rect 14740 206 14792 212 +rect 16580 264 16632 270 +rect 16580 206 16632 212 rect 17868 264 17920 270 rect 17868 206 17920 212 -rect 9036 196 9088 202 -rect 9036 138 9088 144 -rect 11704 196 11756 202 -rect 11704 138 11756 144 -rect 12992 196 13044 202 -rect 12992 138 13044 144 -rect 14464 196 14516 202 -rect 14464 138 14516 144 -rect 5356 128 5408 134 -rect 5356 70 5408 76 +rect 6920 196 6972 202 +rect 6920 138 6972 144 +rect 11336 196 11388 202 +rect 11336 138 11388 144 rect 4660 28 4968 48 rect 4660 26 4666 28 rect 4722 26 4746 28 @@ -16329,10 +17175,6 @@ rect 17282 -28 17306 -26 rect 17362 -28 17368 -26 rect 17060 -48 17368 -28 << via2 >> -rect 2502 9580 2558 9616 -rect 2502 9560 2504 9580 -rect 2504 9560 2556 9580 -rect 2556 9560 2558 9580 rect 3116 10362 3172 10364 rect 3196 10362 3252 10364 rect 3276 10362 3332 10364 @@ -16351,7 +17193,6 @@ rect 3116 10308 3172 10310 rect 3196 10308 3252 10310 rect 3276 10308 3332 10310 rect 3356 10308 3412 10310 -rect 3146 9424 3202 9480 rect 3116 9274 3172 9276 rect 3196 9274 3252 9276 rect 3276 9274 3332 9276 @@ -16388,6 +17229,28 @@ rect 3116 8132 3172 8134 rect 3196 8132 3252 8134 rect 3276 8132 3332 8134 rect 3356 8132 3412 8134 +rect 3116 7098 3172 7100 +rect 3196 7098 3252 7100 +rect 3276 7098 3332 7100 +rect 3356 7098 3412 7100 +rect 3116 7046 3162 7098 +rect 3162 7046 3172 7098 +rect 3196 7046 3226 7098 +rect 3226 7046 3238 7098 +rect 3238 7046 3252 7098 +rect 3276 7046 3290 7098 +rect 3290 7046 3302 7098 +rect 3302 7046 3332 7098 +rect 3356 7046 3366 7098 +rect 3366 7046 3412 7098 +rect 3116 7044 3172 7046 +rect 3196 7044 3252 7046 +rect 3276 7044 3332 7046 +rect 3356 7044 3412 7046 +rect 3790 7420 3792 7440 +rect 3792 7420 3844 7440 +rect 3844 7420 3846 7440 +rect 3790 7384 3846 7420 rect 4666 10906 4722 10908 rect 4746 10906 4802 10908 rect 4826 10906 4882 10908 @@ -16460,24 +17323,6 @@ rect 13966 10852 14022 10854 rect 14046 10852 14102 10854 rect 14126 10852 14182 10854 rect 14206 10852 14262 10854 -rect 6216 10362 6272 10364 -rect 6296 10362 6352 10364 -rect 6376 10362 6432 10364 -rect 6456 10362 6512 10364 -rect 6216 10310 6262 10362 -rect 6262 10310 6272 10362 -rect 6296 10310 6326 10362 -rect 6326 10310 6338 10362 -rect 6338 10310 6352 10362 -rect 6376 10310 6390 10362 -rect 6390 10310 6402 10362 -rect 6402 10310 6432 10362 -rect 6456 10310 6466 10362 -rect 6466 10310 6512 10362 -rect 6216 10308 6272 10310 -rect 6296 10308 6352 10310 -rect 6376 10308 6432 10310 -rect 6456 10308 6512 10310 rect 4666 9818 4722 9820 rect 4746 9818 4802 9820 rect 4826 9818 4882 9820 @@ -16496,43 +17341,6 @@ rect 4666 9764 4722 9766 rect 4746 9764 4802 9766 rect 4826 9764 4882 9766 rect 4906 9764 4962 9766 -rect 5538 9560 5594 9616 -rect 7766 9818 7822 9820 -rect 7846 9818 7902 9820 -rect 7926 9818 7982 9820 -rect 8006 9818 8062 9820 -rect 7766 9766 7812 9818 -rect 7812 9766 7822 9818 -rect 7846 9766 7876 9818 -rect 7876 9766 7888 9818 -rect 7888 9766 7902 9818 -rect 7926 9766 7940 9818 -rect 7940 9766 7952 9818 -rect 7952 9766 7982 9818 -rect 8006 9766 8016 9818 -rect 8016 9766 8062 9818 -rect 7766 9764 7822 9766 -rect 7846 9764 7902 9766 -rect 7926 9764 7982 9766 -rect 8006 9764 8062 9766 -rect 9316 10362 9372 10364 -rect 9396 10362 9452 10364 -rect 9476 10362 9532 10364 -rect 9556 10362 9612 10364 -rect 9316 10310 9362 10362 -rect 9362 10310 9372 10362 -rect 9396 10310 9426 10362 -rect 9426 10310 9438 10362 -rect 9438 10310 9452 10362 -rect 9476 10310 9490 10362 -rect 9490 10310 9502 10362 -rect 9502 10310 9532 10362 -rect 9556 10310 9566 10362 -rect 9566 10310 9612 10362 -rect 9316 10308 9372 10310 -rect 9396 10308 9452 10310 -rect 9476 10308 9532 10310 -rect 9556 10308 9612 10310 rect 4666 8730 4722 8732 rect 4746 8730 4802 8732 rect 4826 8730 4882 8732 @@ -16569,68 +17377,25 @@ rect 4666 7588 4722 7590 rect 4746 7588 4802 7590 rect 4826 7588 4882 7590 rect 4906 7588 4962 7590 -rect 3116 7098 3172 7100 -rect 3196 7098 3252 7100 -rect 3276 7098 3332 7100 -rect 3356 7098 3412 7100 -rect 3116 7046 3162 7098 -rect 3162 7046 3172 7098 -rect 3196 7046 3226 7098 -rect 3226 7046 3238 7098 -rect 3238 7046 3252 7098 -rect 3276 7046 3290 7098 -rect 3290 7046 3302 7098 -rect 3302 7046 3332 7098 -rect 3356 7046 3366 7098 -rect 3366 7046 3412 7098 -rect 3116 7044 3172 7046 -rect 3196 7044 3252 7046 -rect 3276 7044 3332 7046 -rect 3356 7044 3412 7046 -rect 4666 6554 4722 6556 -rect 4746 6554 4802 6556 -rect 4826 6554 4882 6556 -rect 4906 6554 4962 6556 -rect 4666 6502 4712 6554 -rect 4712 6502 4722 6554 -rect 4746 6502 4776 6554 -rect 4776 6502 4788 6554 -rect 4788 6502 4802 6554 -rect 4826 6502 4840 6554 -rect 4840 6502 4852 6554 -rect 4852 6502 4882 6554 -rect 4906 6502 4916 6554 -rect 4916 6502 4962 6554 -rect 4666 6500 4722 6502 -rect 4746 6500 4802 6502 -rect 4826 6500 4882 6502 -rect 4906 6500 4962 6502 -rect 3422 6316 3478 6352 -rect 3422 6296 3424 6316 -rect 3424 6296 3476 6316 -rect 3476 6296 3478 6316 -rect 3116 6010 3172 6012 -rect 3196 6010 3252 6012 -rect 3276 6010 3332 6012 -rect 3356 6010 3412 6012 -rect 3116 5958 3162 6010 -rect 3162 5958 3172 6010 -rect 3196 5958 3226 6010 -rect 3226 5958 3238 6010 -rect 3238 5958 3252 6010 -rect 3276 5958 3290 6010 -rect 3290 5958 3302 6010 -rect 3302 5958 3332 6010 -rect 3356 5958 3366 6010 -rect 3366 5958 3412 6010 -rect 3116 5956 3172 5958 -rect 3196 5956 3252 5958 -rect 3276 5956 3332 5958 -rect 3356 5956 3412 5958 -rect 5998 9460 6000 9480 -rect 6000 9460 6052 9480 -rect 6052 9460 6054 9480 -rect 5998 9424 6054 9460 +rect 4802 7384 4858 7440 +rect 6216 10362 6272 10364 +rect 6296 10362 6352 10364 +rect 6376 10362 6432 10364 +rect 6456 10362 6512 10364 +rect 6216 10310 6262 10362 +rect 6262 10310 6272 10362 +rect 6296 10310 6326 10362 +rect 6326 10310 6338 10362 +rect 6338 10310 6352 10362 +rect 6376 10310 6390 10362 +rect 6390 10310 6402 10362 +rect 6402 10310 6432 10362 +rect 6456 10310 6466 10362 +rect 6466 10310 6512 10362 +rect 6216 10308 6272 10310 +rect 6296 10308 6352 10310 +rect 6376 10308 6432 10310 +rect 6456 10308 6512 10310 rect 6216 9274 6272 9276 rect 6296 9274 6352 9276 rect 6376 9274 6432 9276 @@ -16649,6 +17414,24 @@ rect 6216 9220 6272 9222 rect 6296 9220 6352 9222 rect 6376 9220 6432 9222 rect 6456 9220 6512 9222 +rect 4666 6554 4722 6556 +rect 4746 6554 4802 6556 +rect 4826 6554 4882 6556 +rect 4906 6554 4962 6556 +rect 4666 6502 4712 6554 +rect 4712 6502 4722 6554 +rect 4746 6502 4776 6554 +rect 4776 6502 4788 6554 +rect 4788 6502 4802 6554 +rect 4826 6502 4840 6554 +rect 4840 6502 4852 6554 +rect 4852 6502 4882 6554 +rect 4906 6502 4916 6554 +rect 4916 6502 4962 6554 +rect 4666 6500 4722 6502 +rect 4746 6500 4802 6502 +rect 4826 6500 4882 6502 +rect 4906 6500 4962 6502 rect 6216 8186 6272 8188 rect 6296 8186 6352 8188 rect 6376 8186 6432 8188 @@ -16667,7 +17450,60 @@ rect 6216 8132 6272 8134 rect 6296 8132 6352 8134 rect 6376 8132 6432 8134 rect 6456 8132 6512 8134 -rect 5170 6296 5226 6352 +rect 3116 6010 3172 6012 +rect 3196 6010 3252 6012 +rect 3276 6010 3332 6012 +rect 3356 6010 3412 6012 +rect 3116 5958 3162 6010 +rect 3162 5958 3172 6010 +rect 3196 5958 3226 6010 +rect 3226 5958 3238 6010 +rect 3238 5958 3252 6010 +rect 3276 5958 3290 6010 +rect 3290 5958 3302 6010 +rect 3302 5958 3332 6010 +rect 3356 5958 3366 6010 +rect 3366 5958 3412 6010 +rect 3116 5956 3172 5958 +rect 3196 5956 3252 5958 +rect 3276 5956 3332 5958 +rect 3356 5956 3412 5958 +rect 3116 4922 3172 4924 +rect 3196 4922 3252 4924 +rect 3276 4922 3332 4924 +rect 3356 4922 3412 4924 +rect 3116 4870 3162 4922 +rect 3162 4870 3172 4922 +rect 3196 4870 3226 4922 +rect 3226 4870 3238 4922 +rect 3238 4870 3252 4922 +rect 3276 4870 3290 4922 +rect 3290 4870 3302 4922 +rect 3302 4870 3332 4922 +rect 3356 4870 3366 4922 +rect 3366 4870 3412 4922 +rect 3116 4868 3172 4870 +rect 3196 4868 3252 4870 +rect 3276 4868 3332 4870 +rect 3356 4868 3412 4870 +rect 4666 5466 4722 5468 +rect 4746 5466 4802 5468 +rect 4826 5466 4882 5468 +rect 4906 5466 4962 5468 +rect 4666 5414 4712 5466 +rect 4712 5414 4722 5466 +rect 4746 5414 4776 5466 +rect 4776 5414 4788 5466 +rect 4788 5414 4802 5466 +rect 4826 5414 4840 5466 +rect 4840 5414 4852 5466 +rect 4852 5414 4882 5466 +rect 4906 5414 4916 5466 +rect 4916 5414 4962 5466 +rect 4666 5412 4722 5414 +rect 4746 5412 4802 5414 +rect 4826 5412 4882 5414 +rect 4906 5412 4962 5414 rect 6216 7098 6272 7100 rect 6296 7098 6352 7100 rect 6376 7098 6432 7100 @@ -16704,42 +17540,6 @@ rect 6216 5956 6272 5958 rect 6296 5956 6352 5958 rect 6376 5956 6432 5958 rect 6456 5956 6512 5958 -rect 4666 5466 4722 5468 -rect 4746 5466 4802 5468 -rect 4826 5466 4882 5468 -rect 4906 5466 4962 5468 -rect 4666 5414 4712 5466 -rect 4712 5414 4722 5466 -rect 4746 5414 4776 5466 -rect 4776 5414 4788 5466 -rect 4788 5414 4802 5466 -rect 4826 5414 4840 5466 -rect 4840 5414 4852 5466 -rect 4852 5414 4882 5466 -rect 4906 5414 4916 5466 -rect 4916 5414 4962 5466 -rect 4666 5412 4722 5414 -rect 4746 5412 4802 5414 -rect 4826 5412 4882 5414 -rect 4906 5412 4962 5414 -rect 3116 4922 3172 4924 -rect 3196 4922 3252 4924 -rect 3276 4922 3332 4924 -rect 3356 4922 3412 4924 -rect 3116 4870 3162 4922 -rect 3162 4870 3172 4922 -rect 3196 4870 3226 4922 -rect 3226 4870 3238 4922 -rect 3238 4870 3252 4922 -rect 3276 4870 3290 4922 -rect 3290 4870 3302 4922 -rect 3302 4870 3332 4922 -rect 3356 4870 3366 4922 -rect 3366 4870 3412 4922 -rect 3116 4868 3172 4870 -rect 3196 4868 3252 4870 -rect 3276 4868 3332 4870 -rect 3356 4868 3412 4870 rect 3116 3834 3172 3836 rect 3196 3834 3252 3836 rect 3276 3834 3332 3836 @@ -16758,24 +17558,168 @@ rect 3116 3780 3172 3782 rect 3196 3780 3252 3782 rect 3276 3780 3332 3782 rect 3356 3780 3412 3782 -rect 9316 9274 9372 9276 -rect 9396 9274 9452 9276 -rect 9476 9274 9532 9276 -rect 9556 9274 9612 9276 -rect 9316 9222 9362 9274 -rect 9362 9222 9372 9274 -rect 9396 9222 9426 9274 -rect 9426 9222 9438 9274 -rect 9438 9222 9452 9274 -rect 9476 9222 9490 9274 -rect 9490 9222 9502 9274 -rect 9502 9222 9532 9274 -rect 9556 9222 9566 9274 -rect 9566 9222 9612 9274 -rect 9316 9220 9372 9222 -rect 9396 9220 9452 9222 -rect 9476 9220 9532 9222 -rect 9556 9220 9612 9222 +rect 3116 2746 3172 2748 +rect 3196 2746 3252 2748 +rect 3276 2746 3332 2748 +rect 3356 2746 3412 2748 +rect 3116 2694 3162 2746 +rect 3162 2694 3172 2746 +rect 3196 2694 3226 2746 +rect 3226 2694 3238 2746 +rect 3238 2694 3252 2746 +rect 3276 2694 3290 2746 +rect 3290 2694 3302 2746 +rect 3302 2694 3332 2746 +rect 3356 2694 3366 2746 +rect 3366 2694 3412 2746 +rect 3116 2692 3172 2694 +rect 3196 2692 3252 2694 +rect 3276 2692 3332 2694 +rect 3356 2692 3412 2694 +rect 4666 4378 4722 4380 +rect 4746 4378 4802 4380 +rect 4826 4378 4882 4380 +rect 4906 4378 4962 4380 +rect 4666 4326 4712 4378 +rect 4712 4326 4722 4378 +rect 4746 4326 4776 4378 +rect 4776 4326 4788 4378 +rect 4788 4326 4802 4378 +rect 4826 4326 4840 4378 +rect 4840 4326 4852 4378 +rect 4852 4326 4882 4378 +rect 4906 4326 4916 4378 +rect 4916 4326 4962 4378 +rect 4666 4324 4722 4326 +rect 4746 4324 4802 4326 +rect 4826 4324 4882 4326 +rect 4906 4324 4962 4326 +rect 4666 3290 4722 3292 +rect 4746 3290 4802 3292 +rect 4826 3290 4882 3292 +rect 4906 3290 4962 3292 +rect 4666 3238 4712 3290 +rect 4712 3238 4722 3290 +rect 4746 3238 4776 3290 +rect 4776 3238 4788 3290 +rect 4788 3238 4802 3290 +rect 4826 3238 4840 3290 +rect 4840 3238 4852 3290 +rect 4852 3238 4882 3290 +rect 4906 3238 4916 3290 +rect 4916 3238 4962 3290 +rect 4666 3236 4722 3238 +rect 4746 3236 4802 3238 +rect 4826 3236 4882 3238 +rect 4906 3236 4962 3238 +rect 4666 2202 4722 2204 +rect 4746 2202 4802 2204 +rect 4826 2202 4882 2204 +rect 4906 2202 4962 2204 +rect 4666 2150 4712 2202 +rect 4712 2150 4722 2202 +rect 4746 2150 4776 2202 +rect 4776 2150 4788 2202 +rect 4788 2150 4802 2202 +rect 4826 2150 4840 2202 +rect 4840 2150 4852 2202 +rect 4852 2150 4882 2202 +rect 4906 2150 4916 2202 +rect 4916 2150 4962 2202 +rect 4666 2148 4722 2150 +rect 4746 2148 4802 2150 +rect 4826 2148 4882 2150 +rect 4906 2148 4962 2150 +rect 3116 1658 3172 1660 +rect 3196 1658 3252 1660 +rect 3276 1658 3332 1660 +rect 3356 1658 3412 1660 +rect 3116 1606 3162 1658 +rect 3162 1606 3172 1658 +rect 3196 1606 3226 1658 +rect 3226 1606 3238 1658 +rect 3238 1606 3252 1658 +rect 3276 1606 3290 1658 +rect 3290 1606 3302 1658 +rect 3302 1606 3332 1658 +rect 3356 1606 3366 1658 +rect 3366 1606 3412 1658 +rect 3116 1604 3172 1606 +rect 3196 1604 3252 1606 +rect 3276 1604 3332 1606 +rect 3356 1604 3412 1606 +rect 3116 570 3172 572 +rect 3196 570 3252 572 +rect 3276 570 3332 572 +rect 3356 570 3412 572 +rect 3116 518 3162 570 +rect 3162 518 3172 570 +rect 3196 518 3226 570 +rect 3226 518 3238 570 +rect 3238 518 3252 570 +rect 3276 518 3290 570 +rect 3290 518 3302 570 +rect 3302 518 3332 570 +rect 3356 518 3366 570 +rect 3366 518 3412 570 +rect 3116 516 3172 518 +rect 3196 516 3252 518 +rect 3276 516 3332 518 +rect 3356 516 3412 518 +rect 4666 1114 4722 1116 +rect 4746 1114 4802 1116 +rect 4826 1114 4882 1116 +rect 4906 1114 4962 1116 +rect 4666 1062 4712 1114 +rect 4712 1062 4722 1114 +rect 4746 1062 4776 1114 +rect 4776 1062 4788 1114 +rect 4788 1062 4802 1114 +rect 4826 1062 4840 1114 +rect 4840 1062 4852 1114 +rect 4852 1062 4882 1114 +rect 4906 1062 4916 1114 +rect 4916 1062 4962 1114 +rect 4666 1060 4722 1062 +rect 4746 1060 4802 1062 +rect 4826 1060 4882 1062 +rect 4906 1060 4962 1062 +rect 6216 4922 6272 4924 +rect 6296 4922 6352 4924 +rect 6376 4922 6432 4924 +rect 6456 4922 6512 4924 +rect 6216 4870 6262 4922 +rect 6262 4870 6272 4922 +rect 6296 4870 6326 4922 +rect 6326 4870 6338 4922 +rect 6338 4870 6352 4922 +rect 6376 4870 6390 4922 +rect 6390 4870 6402 4922 +rect 6402 4870 6432 4922 +rect 6456 4870 6466 4922 +rect 6466 4870 6512 4922 +rect 6216 4868 6272 4870 +rect 6296 4868 6352 4870 +rect 6376 4868 6432 4870 +rect 6456 4868 6512 4870 +rect 7766 9818 7822 9820 +rect 7846 9818 7902 9820 +rect 7926 9818 7982 9820 +rect 8006 9818 8062 9820 +rect 7766 9766 7812 9818 +rect 7812 9766 7822 9818 +rect 7846 9766 7876 9818 +rect 7876 9766 7888 9818 +rect 7888 9766 7902 9818 +rect 7926 9766 7940 9818 +rect 7940 9766 7952 9818 +rect 7952 9766 7982 9818 +rect 8006 9766 8016 9818 +rect 8016 9766 8062 9818 +rect 7766 9764 7822 9766 +rect 7846 9764 7902 9766 +rect 7926 9764 7982 9766 +rect 8006 9764 8062 9766 rect 7766 8730 7822 8732 rect 7846 8730 7902 8732 rect 7926 8730 7982 8732 @@ -16830,60 +17774,24 @@ rect 7766 6500 7822 6502 rect 7846 6500 7902 6502 rect 7926 6500 7982 6502 rect 8006 6500 8062 6502 -rect 10866 9818 10922 9820 -rect 10946 9818 11002 9820 -rect 11026 9818 11082 9820 -rect 11106 9818 11162 9820 -rect 10866 9766 10912 9818 -rect 10912 9766 10922 9818 -rect 10946 9766 10976 9818 -rect 10976 9766 10988 9818 -rect 10988 9766 11002 9818 -rect 11026 9766 11040 9818 -rect 11040 9766 11052 9818 -rect 11052 9766 11082 9818 -rect 11106 9766 11116 9818 -rect 11116 9766 11162 9818 -rect 10866 9764 10922 9766 -rect 10946 9764 11002 9766 -rect 11026 9764 11082 9766 -rect 11106 9764 11162 9766 -rect 9316 8186 9372 8188 -rect 9396 8186 9452 8188 -rect 9476 8186 9532 8188 -rect 9556 8186 9612 8188 -rect 9316 8134 9362 8186 -rect 9362 8134 9372 8186 -rect 9396 8134 9426 8186 -rect 9426 8134 9438 8186 -rect 9438 8134 9452 8186 -rect 9476 8134 9490 8186 -rect 9490 8134 9502 8186 -rect 9502 8134 9532 8186 -rect 9556 8134 9566 8186 -rect 9566 8134 9612 8186 -rect 9316 8132 9372 8134 -rect 9396 8132 9452 8134 -rect 9476 8132 9532 8134 -rect 9556 8132 9612 8134 -rect 9316 7098 9372 7100 -rect 9396 7098 9452 7100 -rect 9476 7098 9532 7100 -rect 9556 7098 9612 7100 -rect 9316 7046 9362 7098 -rect 9362 7046 9372 7098 -rect 9396 7046 9426 7098 -rect 9426 7046 9438 7098 -rect 9438 7046 9452 7098 -rect 9476 7046 9490 7098 -rect 9490 7046 9502 7098 -rect 9502 7046 9532 7098 -rect 9556 7046 9566 7098 -rect 9566 7046 9612 7098 -rect 9316 7044 9372 7046 -rect 9396 7044 9452 7046 -rect 9476 7044 9532 7046 -rect 9556 7044 9612 7046 +rect 9316 10362 9372 10364 +rect 9396 10362 9452 10364 +rect 9476 10362 9532 10364 +rect 9556 10362 9612 10364 +rect 9316 10310 9362 10362 +rect 9362 10310 9372 10362 +rect 9396 10310 9426 10362 +rect 9426 10310 9438 10362 +rect 9438 10310 9452 10362 +rect 9476 10310 9490 10362 +rect 9490 10310 9502 10362 +rect 9502 10310 9532 10362 +rect 9556 10310 9566 10362 +rect 9566 10310 9612 10362 +rect 9316 10308 9372 10310 +rect 9396 10308 9452 10310 +rect 9476 10308 9532 10310 +rect 9556 10308 9612 10310 rect 7766 5466 7822 5468 rect 7846 5466 7902 5468 rect 7926 5466 7982 5468 @@ -16902,168 +17810,6 @@ rect 7766 5412 7822 5414 rect 7846 5412 7902 5414 rect 7926 5412 7982 5414 rect 8006 5412 8062 5414 -rect 9316 6010 9372 6012 -rect 9396 6010 9452 6012 -rect 9476 6010 9532 6012 -rect 9556 6010 9612 6012 -rect 9316 5958 9362 6010 -rect 9362 5958 9372 6010 -rect 9396 5958 9426 6010 -rect 9426 5958 9438 6010 -rect 9438 5958 9452 6010 -rect 9476 5958 9490 6010 -rect 9490 5958 9502 6010 -rect 9502 5958 9532 6010 -rect 9556 5958 9566 6010 -rect 9566 5958 9612 6010 -rect 9316 5956 9372 5958 -rect 9396 5956 9452 5958 -rect 9476 5956 9532 5958 -rect 9556 5956 9612 5958 -rect 10866 8730 10922 8732 -rect 10946 8730 11002 8732 -rect 11026 8730 11082 8732 -rect 11106 8730 11162 8732 -rect 10866 8678 10912 8730 -rect 10912 8678 10922 8730 -rect 10946 8678 10976 8730 -rect 10976 8678 10988 8730 -rect 10988 8678 11002 8730 -rect 11026 8678 11040 8730 -rect 11040 8678 11052 8730 -rect 11052 8678 11082 8730 -rect 11106 8678 11116 8730 -rect 11116 8678 11162 8730 -rect 10866 8676 10922 8678 -rect 10946 8676 11002 8678 -rect 11026 8676 11082 8678 -rect 11106 8676 11162 8678 -rect 10866 7642 10922 7644 -rect 10946 7642 11002 7644 -rect 11026 7642 11082 7644 -rect 11106 7642 11162 7644 -rect 10866 7590 10912 7642 -rect 10912 7590 10922 7642 -rect 10946 7590 10976 7642 -rect 10976 7590 10988 7642 -rect 10988 7590 11002 7642 -rect 11026 7590 11040 7642 -rect 11040 7590 11052 7642 -rect 11052 7590 11082 7642 -rect 11106 7590 11116 7642 -rect 11116 7590 11162 7642 -rect 10866 7588 10922 7590 -rect 10946 7588 11002 7590 -rect 11026 7588 11082 7590 -rect 11106 7588 11162 7590 -rect 12416 10362 12472 10364 -rect 12496 10362 12552 10364 -rect 12576 10362 12632 10364 -rect 12656 10362 12712 10364 -rect 12416 10310 12462 10362 -rect 12462 10310 12472 10362 -rect 12496 10310 12526 10362 -rect 12526 10310 12538 10362 -rect 12538 10310 12552 10362 -rect 12576 10310 12590 10362 -rect 12590 10310 12602 10362 -rect 12602 10310 12632 10362 -rect 12656 10310 12666 10362 -rect 12666 10310 12712 10362 -rect 12416 10308 12472 10310 -rect 12496 10308 12552 10310 -rect 12576 10308 12632 10310 -rect 12656 10308 12712 10310 -rect 6216 4922 6272 4924 -rect 6296 4922 6352 4924 -rect 6376 4922 6432 4924 -rect 6456 4922 6512 4924 -rect 6216 4870 6262 4922 -rect 6262 4870 6272 4922 -rect 6296 4870 6326 4922 -rect 6326 4870 6338 4922 -rect 6338 4870 6352 4922 -rect 6376 4870 6390 4922 -rect 6390 4870 6402 4922 -rect 6402 4870 6432 4922 -rect 6456 4870 6466 4922 -rect 6466 4870 6512 4922 -rect 6216 4868 6272 4870 -rect 6296 4868 6352 4870 -rect 6376 4868 6432 4870 -rect 6456 4868 6512 4870 -rect 4666 4378 4722 4380 -rect 4746 4378 4802 4380 -rect 4826 4378 4882 4380 -rect 4906 4378 4962 4380 -rect 4666 4326 4712 4378 -rect 4712 4326 4722 4378 -rect 4746 4326 4776 4378 -rect 4776 4326 4788 4378 -rect 4788 4326 4802 4378 -rect 4826 4326 4840 4378 -rect 4840 4326 4852 4378 -rect 4852 4326 4882 4378 -rect 4906 4326 4916 4378 -rect 4916 4326 4962 4378 -rect 4666 4324 4722 4326 -rect 4746 4324 4802 4326 -rect 4826 4324 4882 4326 -rect 4906 4324 4962 4326 -rect 3116 2746 3172 2748 -rect 3196 2746 3252 2748 -rect 3276 2746 3332 2748 -rect 3356 2746 3412 2748 -rect 3116 2694 3162 2746 -rect 3162 2694 3172 2746 -rect 3196 2694 3226 2746 -rect 3226 2694 3238 2746 -rect 3238 2694 3252 2746 -rect 3276 2694 3290 2746 -rect 3290 2694 3302 2746 -rect 3302 2694 3332 2746 -rect 3356 2694 3366 2746 -rect 3366 2694 3412 2746 -rect 3116 2692 3172 2694 -rect 3196 2692 3252 2694 -rect 3276 2692 3332 2694 -rect 3356 2692 3412 2694 -rect 4666 3290 4722 3292 -rect 4746 3290 4802 3292 -rect 4826 3290 4882 3292 -rect 4906 3290 4962 3292 -rect 4666 3238 4712 3290 -rect 4712 3238 4722 3290 -rect 4746 3238 4776 3290 -rect 4776 3238 4788 3290 -rect 4788 3238 4802 3290 -rect 4826 3238 4840 3290 -rect 4840 3238 4852 3290 -rect 4852 3238 4882 3290 -rect 4906 3238 4916 3290 -rect 4916 3238 4962 3290 -rect 4666 3236 4722 3238 -rect 4746 3236 4802 3238 -rect 4826 3236 4882 3238 -rect 4906 3236 4962 3238 -rect 4666 2202 4722 2204 -rect 4746 2202 4802 2204 -rect 4826 2202 4882 2204 -rect 4906 2202 4962 2204 -rect 4666 2150 4712 2202 -rect 4712 2150 4722 2202 -rect 4746 2150 4776 2202 -rect 4776 2150 4788 2202 -rect 4788 2150 4802 2202 -rect 4826 2150 4840 2202 -rect 4840 2150 4852 2202 -rect 4852 2150 4882 2202 -rect 4906 2150 4916 2202 -rect 4916 2150 4962 2202 -rect 4666 2148 4722 2150 -rect 4746 2148 4802 2150 -rect 4826 2148 4882 2150 -rect 4906 2148 4962 2150 rect 6216 3834 6272 3836 rect 6296 3834 6352 3836 rect 6376 3834 6432 3836 @@ -17082,101 +17828,6 @@ rect 6216 3780 6272 3782 rect 6296 3780 6352 3782 rect 6376 3780 6432 3782 rect 6456 3780 6512 3782 -rect 7766 4378 7822 4380 -rect 7846 4378 7902 4380 -rect 7926 4378 7982 4380 -rect 8006 4378 8062 4380 -rect 7766 4326 7812 4378 -rect 7812 4326 7822 4378 -rect 7846 4326 7876 4378 -rect 7876 4326 7888 4378 -rect 7888 4326 7902 4378 -rect 7926 4326 7940 4378 -rect 7940 4326 7952 4378 -rect 7952 4326 7982 4378 -rect 8006 4326 8016 4378 -rect 8016 4326 8062 4378 -rect 7766 4324 7822 4326 -rect 7846 4324 7902 4326 -rect 7926 4324 7982 4326 -rect 8006 4324 8062 4326 -rect 9316 4922 9372 4924 -rect 9396 4922 9452 4924 -rect 9476 4922 9532 4924 -rect 9556 4922 9612 4924 -rect 9316 4870 9362 4922 -rect 9362 4870 9372 4922 -rect 9396 4870 9426 4922 -rect 9426 4870 9438 4922 -rect 9438 4870 9452 4922 -rect 9476 4870 9490 4922 -rect 9490 4870 9502 4922 -rect 9502 4870 9532 4922 -rect 9556 4870 9566 4922 -rect 9566 4870 9612 4922 -rect 9316 4868 9372 4870 -rect 9396 4868 9452 4870 -rect 9476 4868 9532 4870 -rect 9556 4868 9612 4870 -rect 3116 1658 3172 1660 -rect 3196 1658 3252 1660 -rect 3276 1658 3332 1660 -rect 3356 1658 3412 1660 -rect 3116 1606 3162 1658 -rect 3162 1606 3172 1658 -rect 3196 1606 3226 1658 -rect 3226 1606 3238 1658 -rect 3238 1606 3252 1658 -rect 3276 1606 3290 1658 -rect 3290 1606 3302 1658 -rect 3302 1606 3332 1658 -rect 3356 1606 3366 1658 -rect 3366 1606 3412 1658 -rect 3116 1604 3172 1606 -rect 3196 1604 3252 1606 -rect 3276 1604 3332 1606 -rect 3356 1604 3412 1606 -rect 4666 1114 4722 1116 -rect 4746 1114 4802 1116 -rect 4826 1114 4882 1116 -rect 4906 1114 4962 1116 -rect 4666 1062 4712 1114 -rect 4712 1062 4722 1114 -rect 4746 1062 4776 1114 -rect 4776 1062 4788 1114 -rect 4788 1062 4802 1114 -rect 4826 1062 4840 1114 -rect 4840 1062 4852 1114 -rect 4852 1062 4882 1114 -rect 4906 1062 4916 1114 -rect 4916 1062 4962 1114 -rect 4666 1060 4722 1062 -rect 4746 1060 4802 1062 -rect 4826 1060 4882 1062 -rect 4906 1060 4962 1062 -rect 4894 876 4950 912 -rect 4894 856 4896 876 -rect 4896 856 4948 876 -rect 4948 856 4950 876 -rect 3116 570 3172 572 -rect 3196 570 3252 572 -rect 3276 570 3332 572 -rect 3356 570 3412 572 -rect 3116 518 3162 570 -rect 3162 518 3172 570 -rect 3196 518 3226 570 -rect 3226 518 3238 570 -rect 3238 518 3252 570 -rect 3276 518 3290 570 -rect 3290 518 3302 570 -rect 3302 518 3332 570 -rect 3356 518 3366 570 -rect 3366 518 3412 570 -rect 3116 516 3172 518 -rect 3196 516 3252 518 -rect 3276 516 3332 518 -rect 3356 516 3412 518 -rect 5262 856 5318 912 rect 6216 2746 6272 2748 rect 6296 2746 6352 2748 rect 6376 2746 6432 2748 @@ -17213,6 +17864,24 @@ rect 6216 1604 6272 1606 rect 6296 1604 6352 1606 rect 6376 1604 6432 1606 rect 6456 1604 6512 1606 +rect 7766 4378 7822 4380 +rect 7846 4378 7902 4380 +rect 7926 4378 7982 4380 +rect 8006 4378 8062 4380 +rect 7766 4326 7812 4378 +rect 7812 4326 7822 4378 +rect 7846 4326 7876 4378 +rect 7876 4326 7888 4378 +rect 7888 4326 7902 4378 +rect 7926 4326 7940 4378 +rect 7940 4326 7952 4378 +rect 7952 4326 7982 4378 +rect 8006 4326 8016 4378 +rect 8016 4326 8062 4378 +rect 7766 4324 7822 4326 +rect 7846 4324 7902 4326 +rect 7926 4324 7982 4326 +rect 8006 4324 8062 4326 rect 7766 3290 7822 3292 rect 7846 3290 7902 3292 rect 7926 3290 7982 3292 @@ -17231,59 +17900,6 @@ rect 7766 3236 7822 3238 rect 7846 3236 7902 3238 rect 7926 3236 7982 3238 rect 8006 3236 8062 3238 -rect 7766 2202 7822 2204 -rect 7846 2202 7902 2204 -rect 7926 2202 7982 2204 -rect 8006 2202 8062 2204 -rect 7766 2150 7812 2202 -rect 7812 2150 7822 2202 -rect 7846 2150 7876 2202 -rect 7876 2150 7888 2202 -rect 7888 2150 7902 2202 -rect 7926 2150 7940 2202 -rect 7940 2150 7952 2202 -rect 7952 2150 7982 2202 -rect 8006 2150 8016 2202 -rect 8016 2150 8062 2202 -rect 7766 2148 7822 2150 -rect 7846 2148 7902 2150 -rect 7926 2148 7982 2150 -rect 8006 2148 8062 2150 -rect 7010 1300 7012 1320 -rect 7012 1300 7064 1320 -rect 7064 1300 7066 1320 -rect 7010 1264 7066 1300 -rect 8666 3576 8722 3632 -rect 9034 3460 9090 3496 -rect 9034 3440 9036 3460 -rect 9036 3440 9088 3460 -rect 9088 3440 9090 3460 -rect 8942 3068 8944 3088 -rect 8944 3068 8996 3088 -rect 8996 3068 8998 3088 -rect 8942 3032 8998 3068 -rect 7766 1114 7822 1116 -rect 7846 1114 7902 1116 -rect 7926 1114 7982 1116 -rect 8006 1114 8062 1116 -rect 7766 1062 7812 1114 -rect 7812 1062 7822 1114 -rect 7846 1062 7876 1114 -rect 7876 1062 7888 1114 -rect 7888 1062 7902 1114 -rect 7926 1062 7940 1114 -rect 7940 1062 7952 1114 -rect 7952 1062 7982 1114 -rect 8006 1062 8016 1114 -rect 8016 1062 8062 1114 -rect 7766 1060 7822 1062 -rect 7846 1060 7902 1062 -rect 7926 1060 7982 1062 -rect 8006 1060 8062 1062 -rect 7746 876 7802 912 -rect 7746 856 7748 876 -rect 7748 856 7800 876 -rect 7800 856 7802 876 rect 6216 570 6272 572 rect 6296 570 6352 572 rect 6376 570 6432 572 @@ -17302,43 +17918,170 @@ rect 6216 516 6272 518 rect 6296 516 6352 518 rect 6376 516 6432 518 rect 6456 516 6512 518 -rect 8758 1264 8814 1320 -rect 9316 3834 9372 3836 -rect 9396 3834 9452 3836 -rect 9476 3834 9532 3836 -rect 9556 3834 9612 3836 -rect 9316 3782 9362 3834 -rect 9362 3782 9372 3834 -rect 9396 3782 9426 3834 -rect 9426 3782 9438 3834 -rect 9438 3782 9452 3834 -rect 9476 3782 9490 3834 -rect 9490 3782 9502 3834 -rect 9502 3782 9532 3834 -rect 9556 3782 9566 3834 -rect 9566 3782 9612 3834 -rect 9316 3780 9372 3782 -rect 9396 3780 9452 3782 -rect 9476 3780 9532 3782 -rect 9556 3780 9612 3782 -rect 9316 2746 9372 2748 -rect 9396 2746 9452 2748 -rect 9476 2746 9532 2748 -rect 9556 2746 9612 2748 -rect 9316 2694 9362 2746 -rect 9362 2694 9372 2746 -rect 9396 2694 9426 2746 -rect 9426 2694 9438 2746 -rect 9438 2694 9452 2746 -rect 9476 2694 9490 2746 -rect 9490 2694 9502 2746 -rect 9502 2694 9532 2746 -rect 9556 2694 9566 2746 -rect 9566 2694 9612 2746 -rect 9316 2692 9372 2694 -rect 9396 2692 9452 2694 -rect 9476 2692 9532 2694 -rect 9556 2692 9612 2694 +rect 7766 2202 7822 2204 +rect 7846 2202 7902 2204 +rect 7926 2202 7982 2204 +rect 8006 2202 8062 2204 +rect 7766 2150 7812 2202 +rect 7812 2150 7822 2202 +rect 7846 2150 7876 2202 +rect 7876 2150 7888 2202 +rect 7888 2150 7902 2202 +rect 7926 2150 7940 2202 +rect 7940 2150 7952 2202 +rect 7952 2150 7982 2202 +rect 8006 2150 8016 2202 +rect 8016 2150 8062 2202 +rect 7766 2148 7822 2150 +rect 7846 2148 7902 2150 +rect 7926 2148 7982 2150 +rect 8006 2148 8062 2150 +rect 8022 1944 8078 2000 +rect 7194 1536 7250 1592 +rect 9316 9274 9372 9276 +rect 9396 9274 9452 9276 +rect 9476 9274 9532 9276 +rect 9556 9274 9612 9276 +rect 9316 9222 9362 9274 +rect 9362 9222 9372 9274 +rect 9396 9222 9426 9274 +rect 9426 9222 9438 9274 +rect 9438 9222 9452 9274 +rect 9476 9222 9490 9274 +rect 9490 9222 9502 9274 +rect 9502 9222 9532 9274 +rect 9556 9222 9566 9274 +rect 9566 9222 9612 9274 +rect 9316 9220 9372 9222 +rect 9396 9220 9452 9222 +rect 9476 9220 9532 9222 +rect 9556 9220 9612 9222 +rect 9316 8186 9372 8188 +rect 9396 8186 9452 8188 +rect 9476 8186 9532 8188 +rect 9556 8186 9612 8188 +rect 9316 8134 9362 8186 +rect 9362 8134 9372 8186 +rect 9396 8134 9426 8186 +rect 9426 8134 9438 8186 +rect 9438 8134 9452 8186 +rect 9476 8134 9490 8186 +rect 9490 8134 9502 8186 +rect 9502 8134 9532 8186 +rect 9556 8134 9566 8186 +rect 9566 8134 9612 8186 +rect 9316 8132 9372 8134 +rect 9396 8132 9452 8134 +rect 9476 8132 9532 8134 +rect 9556 8132 9612 8134 +rect 10866 9818 10922 9820 +rect 10946 9818 11002 9820 +rect 11026 9818 11082 9820 +rect 11106 9818 11162 9820 +rect 10866 9766 10912 9818 +rect 10912 9766 10922 9818 +rect 10946 9766 10976 9818 +rect 10976 9766 10988 9818 +rect 10988 9766 11002 9818 +rect 11026 9766 11040 9818 +rect 11040 9766 11052 9818 +rect 11052 9766 11082 9818 +rect 11106 9766 11116 9818 +rect 11116 9766 11162 9818 +rect 10866 9764 10922 9766 +rect 10946 9764 11002 9766 +rect 11026 9764 11082 9766 +rect 11106 9764 11162 9766 +rect 10866 8730 10922 8732 +rect 10946 8730 11002 8732 +rect 11026 8730 11082 8732 +rect 11106 8730 11162 8732 +rect 10866 8678 10912 8730 +rect 10912 8678 10922 8730 +rect 10946 8678 10976 8730 +rect 10976 8678 10988 8730 +rect 10988 8678 11002 8730 +rect 11026 8678 11040 8730 +rect 11040 8678 11052 8730 +rect 11052 8678 11082 8730 +rect 11106 8678 11116 8730 +rect 11116 8678 11162 8730 +rect 10866 8676 10922 8678 +rect 10946 8676 11002 8678 +rect 11026 8676 11082 8678 +rect 11106 8676 11162 8678 +rect 12416 10362 12472 10364 +rect 12496 10362 12552 10364 +rect 12576 10362 12632 10364 +rect 12656 10362 12712 10364 +rect 12416 10310 12462 10362 +rect 12462 10310 12472 10362 +rect 12496 10310 12526 10362 +rect 12526 10310 12538 10362 +rect 12538 10310 12552 10362 +rect 12576 10310 12590 10362 +rect 12590 10310 12602 10362 +rect 12602 10310 12632 10362 +rect 12656 10310 12666 10362 +rect 12666 10310 12712 10362 +rect 12416 10308 12472 10310 +rect 12496 10308 12552 10310 +rect 12576 10308 12632 10310 +rect 12656 10308 12712 10310 +rect 10866 7642 10922 7644 +rect 10946 7642 11002 7644 +rect 11026 7642 11082 7644 +rect 11106 7642 11162 7644 +rect 10866 7590 10912 7642 +rect 10912 7590 10922 7642 +rect 10946 7590 10976 7642 +rect 10976 7590 10988 7642 +rect 10988 7590 11002 7642 +rect 11026 7590 11040 7642 +rect 11040 7590 11052 7642 +rect 11052 7590 11082 7642 +rect 11106 7590 11116 7642 +rect 11116 7590 11162 7642 +rect 10866 7588 10922 7590 +rect 10946 7588 11002 7590 +rect 11026 7588 11082 7590 +rect 11106 7588 11162 7590 +rect 9316 7098 9372 7100 +rect 9396 7098 9452 7100 +rect 9476 7098 9532 7100 +rect 9556 7098 9612 7100 +rect 9316 7046 9362 7098 +rect 9362 7046 9372 7098 +rect 9396 7046 9426 7098 +rect 9426 7046 9438 7098 +rect 9438 7046 9452 7098 +rect 9476 7046 9490 7098 +rect 9490 7046 9502 7098 +rect 9502 7046 9532 7098 +rect 9556 7046 9566 7098 +rect 9566 7046 9612 7098 +rect 9316 7044 9372 7046 +rect 9396 7044 9452 7046 +rect 9476 7044 9532 7046 +rect 9556 7044 9612 7046 +rect 9316 6010 9372 6012 +rect 9396 6010 9452 6012 +rect 9476 6010 9532 6012 +rect 9556 6010 9612 6012 +rect 9316 5958 9362 6010 +rect 9362 5958 9372 6010 +rect 9396 5958 9426 6010 +rect 9426 5958 9438 6010 +rect 9438 5958 9452 6010 +rect 9476 5958 9490 6010 +rect 9490 5958 9502 6010 +rect 9502 5958 9532 6010 +rect 9556 5958 9566 6010 +rect 9566 5958 9612 6010 +rect 9316 5956 9372 5958 +rect 9396 5956 9452 5958 +rect 9476 5956 9532 5958 +rect 9556 5956 9612 5958 rect 10866 6554 10922 6556 rect 10946 6554 11002 6556 rect 11026 6554 11082 6556 @@ -17375,24 +18118,24 @@ rect 10866 5412 10922 5414 rect 10946 5412 11002 5414 rect 11026 5412 11082 5414 rect 11106 5412 11162 5414 -rect 13966 9818 14022 9820 -rect 14046 9818 14102 9820 -rect 14126 9818 14182 9820 -rect 14206 9818 14262 9820 -rect 13966 9766 14012 9818 -rect 14012 9766 14022 9818 -rect 14046 9766 14076 9818 -rect 14076 9766 14088 9818 -rect 14088 9766 14102 9818 -rect 14126 9766 14140 9818 -rect 14140 9766 14152 9818 -rect 14152 9766 14182 9818 -rect 14206 9766 14216 9818 -rect 14216 9766 14262 9818 -rect 13966 9764 14022 9766 -rect 14046 9764 14102 9766 -rect 14126 9764 14182 9766 -rect 14206 9764 14262 9766 +rect 9316 4922 9372 4924 +rect 9396 4922 9452 4924 +rect 9476 4922 9532 4924 +rect 9556 4922 9612 4924 +rect 9316 4870 9362 4922 +rect 9362 4870 9372 4922 +rect 9396 4870 9426 4922 +rect 9426 4870 9438 4922 +rect 9438 4870 9452 4922 +rect 9476 4870 9490 4922 +rect 9490 4870 9502 4922 +rect 9502 4870 9532 4922 +rect 9556 4870 9566 4922 +rect 9566 4870 9612 4922 +rect 9316 4868 9372 4870 +rect 9396 4868 9452 4870 +rect 9476 4868 9532 4870 +rect 9556 4868 9612 4870 rect 12416 9274 12472 9276 rect 12496 9274 12552 9276 rect 12576 9274 12632 9276 @@ -17411,24 +18154,6 @@ rect 12416 9220 12472 9222 rect 12496 9220 12552 9222 rect 12576 9220 12632 9222 rect 12656 9220 12712 9222 -rect 13966 8730 14022 8732 -rect 14046 8730 14102 8732 -rect 14126 8730 14182 8732 -rect 14206 8730 14262 8732 -rect 13966 8678 14012 8730 -rect 14012 8678 14022 8730 -rect 14046 8678 14076 8730 -rect 14076 8678 14088 8730 -rect 14088 8678 14102 8730 -rect 14126 8678 14140 8730 -rect 14140 8678 14152 8730 -rect 14152 8678 14182 8730 -rect 14206 8678 14216 8730 -rect 14216 8678 14262 8730 -rect 13966 8676 14022 8678 -rect 14046 8676 14102 8678 -rect 14126 8676 14182 8678 -rect 14206 8676 14262 8678 rect 12416 8186 12472 8188 rect 12496 8186 12552 8188 rect 12576 8186 12632 8188 @@ -17465,50 +18190,6 @@ rect 12416 7044 12472 7046 rect 12496 7044 12552 7046 rect 12576 7044 12632 7046 rect 12656 7044 12712 7046 -rect 13634 7404 13690 7440 -rect 13634 7384 13636 7404 -rect 13636 7384 13688 7404 -rect 13688 7384 13690 7404 -rect 13966 7642 14022 7644 -rect 14046 7642 14102 7644 -rect 14126 7642 14182 7644 -rect 14206 7642 14262 7644 -rect 13966 7590 14012 7642 -rect 14012 7590 14022 7642 -rect 14046 7590 14076 7642 -rect 14076 7590 14088 7642 -rect 14088 7590 14102 7642 -rect 14126 7590 14140 7642 -rect 14140 7590 14152 7642 -rect 14152 7590 14182 7642 -rect 14206 7590 14216 7642 -rect 14216 7590 14262 7642 -rect 13966 7588 14022 7590 -rect 14046 7588 14102 7590 -rect 14126 7588 14182 7590 -rect 14206 7588 14262 7590 -rect 13910 7404 13966 7440 -rect 13910 7384 13912 7404 -rect 13912 7384 13964 7404 -rect 13964 7384 13966 7404 -rect 13966 6554 14022 6556 -rect 14046 6554 14102 6556 -rect 14126 6554 14182 6556 -rect 14206 6554 14262 6556 -rect 13966 6502 14012 6554 -rect 14012 6502 14022 6554 -rect 14046 6502 14076 6554 -rect 14076 6502 14088 6554 -rect 14088 6502 14102 6554 -rect 14126 6502 14140 6554 -rect 14140 6502 14152 6554 -rect 14152 6502 14182 6554 -rect 14206 6502 14216 6554 -rect 14216 6502 14262 6554 -rect 13966 6500 14022 6502 -rect 14046 6500 14102 6502 -rect 14126 6500 14182 6502 -rect 14206 6500 14262 6502 rect 12416 6010 12472 6012 rect 12496 6010 12552 6012 rect 12576 6010 12632 6012 @@ -17545,28 +18226,42 @@ rect 10866 4324 10922 4326 rect 10946 4324 11002 4326 rect 11026 4324 11082 4326 rect 11106 4324 11162 4326 -rect 9770 3476 9772 3496 -rect 9772 3476 9824 3496 -rect 9824 3476 9826 3496 -rect 9770 3440 9826 3476 -rect 13966 5466 14022 5468 -rect 14046 5466 14102 5468 -rect 14126 5466 14182 5468 -rect 14206 5466 14262 5468 -rect 13966 5414 14012 5466 -rect 14012 5414 14022 5466 -rect 14046 5414 14076 5466 -rect 14076 5414 14088 5466 -rect 14088 5414 14102 5466 -rect 14126 5414 14140 5466 -rect 14140 5414 14152 5466 -rect 14152 5414 14182 5466 -rect 14206 5414 14216 5466 -rect 14216 5414 14262 5466 -rect 13966 5412 14022 5414 -rect 14046 5412 14102 5414 -rect 14126 5412 14182 5414 -rect 14206 5412 14262 5414 +rect 9316 3834 9372 3836 +rect 9396 3834 9452 3836 +rect 9476 3834 9532 3836 +rect 9556 3834 9612 3836 +rect 9316 3782 9362 3834 +rect 9362 3782 9372 3834 +rect 9396 3782 9426 3834 +rect 9426 3782 9438 3834 +rect 9438 3782 9452 3834 +rect 9476 3782 9490 3834 +rect 9490 3782 9502 3834 +rect 9502 3782 9532 3834 +rect 9556 3782 9566 3834 +rect 9566 3782 9612 3834 +rect 9316 3780 9372 3782 +rect 9396 3780 9452 3782 +rect 9476 3780 9532 3782 +rect 9556 3780 9612 3782 +rect 7766 1114 7822 1116 +rect 7846 1114 7902 1116 +rect 7926 1114 7982 1116 +rect 8006 1114 8062 1116 +rect 7766 1062 7812 1114 +rect 7812 1062 7822 1114 +rect 7846 1062 7876 1114 +rect 7876 1062 7888 1114 +rect 7888 1062 7902 1114 +rect 7926 1062 7940 1114 +rect 7940 1062 7952 1114 +rect 7952 1062 7982 1114 +rect 8006 1062 8016 1114 +rect 8016 1062 8062 1114 +rect 7766 1060 7822 1062 +rect 7846 1060 7902 1062 +rect 7926 1060 7982 1062 +rect 8006 1060 8062 1062 rect 10866 3290 10922 3292 rect 10946 3290 11002 3292 rect 11026 3290 11082 3292 @@ -17585,113 +18280,28 @@ rect 10866 3236 10922 3238 rect 10946 3236 11002 3238 rect 11026 3236 11082 3238 rect 11106 3236 11162 3238 -rect 10874 3032 10930 3088 -rect 11150 3052 11206 3088 -rect 11150 3032 11152 3052 -rect 11152 3032 11204 3052 -rect 11204 3032 11206 3052 -rect 11518 3460 11574 3496 -rect 11518 3440 11520 3460 -rect 11520 3440 11572 3460 -rect 11572 3440 11574 3460 -rect 12416 4922 12472 4924 -rect 12496 4922 12552 4924 -rect 12576 4922 12632 4924 -rect 12656 4922 12712 4924 -rect 12416 4870 12462 4922 -rect 12462 4870 12472 4922 -rect 12496 4870 12526 4922 -rect 12526 4870 12538 4922 -rect 12538 4870 12552 4922 -rect 12576 4870 12590 4922 -rect 12590 4870 12602 4922 -rect 12602 4870 12632 4922 -rect 12656 4870 12666 4922 -rect 12666 4870 12712 4922 -rect 12416 4868 12472 4870 -rect 12496 4868 12552 4870 -rect 12576 4868 12632 4870 -rect 12656 4868 12712 4870 -rect 10866 2202 10922 2204 -rect 10946 2202 11002 2204 -rect 11026 2202 11082 2204 -rect 11106 2202 11162 2204 -rect 10866 2150 10912 2202 -rect 10912 2150 10922 2202 -rect 10946 2150 10976 2202 -rect 10976 2150 10988 2202 -rect 10988 2150 11002 2202 -rect 11026 2150 11040 2202 -rect 11040 2150 11052 2202 -rect 11052 2150 11082 2202 -rect 11106 2150 11116 2202 -rect 11116 2150 11162 2202 -rect 10866 2148 10922 2150 -rect 10946 2148 11002 2150 -rect 11026 2148 11082 2150 -rect 11106 2148 11162 2150 -rect 12416 3834 12472 3836 -rect 12496 3834 12552 3836 -rect 12576 3834 12632 3836 -rect 12656 3834 12712 3836 -rect 12416 3782 12462 3834 -rect 12462 3782 12472 3834 -rect 12496 3782 12526 3834 -rect 12526 3782 12538 3834 -rect 12538 3782 12552 3834 -rect 12576 3782 12590 3834 -rect 12590 3782 12602 3834 -rect 12602 3782 12632 3834 -rect 12656 3782 12666 3834 -rect 12666 3782 12712 3834 -rect 12416 3780 12472 3782 -rect 12496 3780 12552 3782 -rect 12576 3780 12632 3782 -rect 12656 3780 12712 3782 -rect 12346 3596 12402 3632 -rect 12346 3576 12348 3596 -rect 12348 3576 12400 3596 -rect 12400 3576 12402 3596 -rect 13450 3476 13452 3496 -rect 13452 3476 13504 3496 -rect 13504 3476 13506 3496 -rect 13450 3440 13506 3476 -rect 13966 4378 14022 4380 -rect 14046 4378 14102 4380 -rect 14126 4378 14182 4380 -rect 14206 4378 14262 4380 -rect 13966 4326 14012 4378 -rect 14012 4326 14022 4378 -rect 14046 4326 14076 4378 -rect 14076 4326 14088 4378 -rect 14088 4326 14102 4378 -rect 14126 4326 14140 4378 -rect 14140 4326 14152 4378 -rect 14152 4326 14182 4378 -rect 14206 4326 14216 4378 -rect 14216 4326 14262 4378 -rect 13966 4324 14022 4326 -rect 14046 4324 14102 4326 -rect 14126 4324 14182 4326 -rect 14206 4324 14262 4326 -rect 12416 2746 12472 2748 -rect 12496 2746 12552 2748 -rect 12576 2746 12632 2748 -rect 12656 2746 12712 2748 -rect 12416 2694 12462 2746 -rect 12462 2694 12472 2746 -rect 12496 2694 12526 2746 -rect 12526 2694 12538 2746 -rect 12538 2694 12552 2746 -rect 12576 2694 12590 2746 -rect 12590 2694 12602 2746 -rect 12602 2694 12632 2746 -rect 12656 2694 12666 2746 -rect 12666 2694 12712 2746 -rect 12416 2692 12472 2694 -rect 12496 2692 12552 2694 -rect 12576 2692 12632 2694 -rect 12656 2692 12712 2694 +rect 9316 2746 9372 2748 +rect 9396 2746 9452 2748 +rect 9476 2746 9532 2748 +rect 9556 2746 9612 2748 +rect 9316 2694 9362 2746 +rect 9362 2694 9372 2746 +rect 9396 2694 9426 2746 +rect 9426 2694 9438 2746 +rect 9438 2694 9452 2746 +rect 9476 2694 9490 2746 +rect 9490 2694 9502 2746 +rect 9502 2694 9532 2746 +rect 9556 2694 9566 2746 +rect 9566 2694 9612 2746 +rect 9316 2692 9372 2694 +rect 9396 2692 9452 2694 +rect 9476 2692 9532 2694 +rect 9556 2692 9612 2694 +rect 9402 1980 9404 2000 +rect 9404 1980 9456 2000 +rect 9456 1980 9458 2000 +rect 9402 1944 9458 1980 rect 9316 1658 9372 1660 rect 9396 1658 9452 1660 rect 9476 1658 9532 1660 @@ -17710,12 +18320,132 @@ rect 9316 1604 9372 1606 rect 9396 1604 9452 1606 rect 9476 1604 9532 1606 rect 9556 1604 9612 1606 -rect 9218 1264 9274 1320 -rect 9310 720 9366 776 -rect 10138 876 10194 912 -rect 10138 856 10140 876 -rect 10140 856 10192 876 -rect 10192 856 10194 876 +rect 12416 4922 12472 4924 +rect 12496 4922 12552 4924 +rect 12576 4922 12632 4924 +rect 12656 4922 12712 4924 +rect 12416 4870 12462 4922 +rect 12462 4870 12472 4922 +rect 12496 4870 12526 4922 +rect 12526 4870 12538 4922 +rect 12538 4870 12552 4922 +rect 12576 4870 12590 4922 +rect 12590 4870 12602 4922 +rect 12602 4870 12632 4922 +rect 12656 4870 12666 4922 +rect 12666 4870 12712 4922 +rect 12416 4868 12472 4870 +rect 12496 4868 12552 4870 +rect 12576 4868 12632 4870 +rect 12656 4868 12712 4870 +rect 13966 9818 14022 9820 +rect 14046 9818 14102 9820 +rect 14126 9818 14182 9820 +rect 14206 9818 14262 9820 +rect 13966 9766 14012 9818 +rect 14012 9766 14022 9818 +rect 14046 9766 14076 9818 +rect 14076 9766 14088 9818 +rect 14088 9766 14102 9818 +rect 14126 9766 14140 9818 +rect 14140 9766 14152 9818 +rect 14152 9766 14182 9818 +rect 14206 9766 14216 9818 +rect 14216 9766 14262 9818 +rect 13966 9764 14022 9766 +rect 14046 9764 14102 9766 +rect 14126 9764 14182 9766 +rect 14206 9764 14262 9766 +rect 13966 8730 14022 8732 +rect 14046 8730 14102 8732 +rect 14126 8730 14182 8732 +rect 14206 8730 14262 8732 +rect 13966 8678 14012 8730 +rect 14012 8678 14022 8730 +rect 14046 8678 14076 8730 +rect 14076 8678 14088 8730 +rect 14088 8678 14102 8730 +rect 14126 8678 14140 8730 +rect 14140 8678 14152 8730 +rect 14152 8678 14182 8730 +rect 14206 8678 14216 8730 +rect 14216 8678 14262 8730 +rect 13966 8676 14022 8678 +rect 14046 8676 14102 8678 +rect 14126 8676 14182 8678 +rect 14206 8676 14262 8678 +rect 13966 7642 14022 7644 +rect 14046 7642 14102 7644 +rect 14126 7642 14182 7644 +rect 14206 7642 14262 7644 +rect 13966 7590 14012 7642 +rect 14012 7590 14022 7642 +rect 14046 7590 14076 7642 +rect 14076 7590 14088 7642 +rect 14088 7590 14102 7642 +rect 14126 7590 14140 7642 +rect 14140 7590 14152 7642 +rect 14152 7590 14182 7642 +rect 14206 7590 14216 7642 +rect 14216 7590 14262 7642 +rect 13966 7588 14022 7590 +rect 14046 7588 14102 7590 +rect 14126 7588 14182 7590 +rect 14206 7588 14262 7590 +rect 13966 6554 14022 6556 +rect 14046 6554 14102 6556 +rect 14126 6554 14182 6556 +rect 14206 6554 14262 6556 +rect 13966 6502 14012 6554 +rect 14012 6502 14022 6554 +rect 14046 6502 14076 6554 +rect 14076 6502 14088 6554 +rect 14088 6502 14102 6554 +rect 14126 6502 14140 6554 +rect 14140 6502 14152 6554 +rect 14152 6502 14182 6554 +rect 14206 6502 14216 6554 +rect 14216 6502 14262 6554 +rect 13966 6500 14022 6502 +rect 14046 6500 14102 6502 +rect 14126 6500 14182 6502 +rect 14206 6500 14262 6502 +rect 13966 5466 14022 5468 +rect 14046 5466 14102 5468 +rect 14126 5466 14182 5468 +rect 14206 5466 14262 5468 +rect 13966 5414 14012 5466 +rect 14012 5414 14022 5466 +rect 14046 5414 14076 5466 +rect 14076 5414 14088 5466 +rect 14088 5414 14102 5466 +rect 14126 5414 14140 5466 +rect 14140 5414 14152 5466 +rect 14152 5414 14182 5466 +rect 14206 5414 14216 5466 +rect 14216 5414 14262 5466 +rect 13966 5412 14022 5414 +rect 14046 5412 14102 5414 +rect 14126 5412 14182 5414 +rect 14206 5412 14262 5414 +rect 12416 3834 12472 3836 +rect 12496 3834 12552 3836 +rect 12576 3834 12632 3836 +rect 12656 3834 12712 3836 +rect 12416 3782 12462 3834 +rect 12462 3782 12472 3834 +rect 12496 3782 12526 3834 +rect 12526 3782 12538 3834 +rect 12538 3782 12552 3834 +rect 12576 3782 12590 3834 +rect 12590 3782 12602 3834 +rect 12602 3782 12632 3834 +rect 12656 3782 12666 3834 +rect 12666 3782 12712 3834 +rect 12416 3780 12472 3782 +rect 12496 3780 12552 3782 +rect 12576 3780 12632 3782 +rect 12656 3780 12712 3782 rect 17066 10906 17122 10908 rect 17146 10906 17202 10908 rect 17226 10906 17282 10908 @@ -17842,6 +18572,9 @@ rect 15516 7044 15572 7046 rect 15596 7044 15652 7046 rect 15676 7044 15732 7046 rect 15756 7044 15812 7046 +rect 18786 11192 18842 11248 +rect 18602 9696 18658 9752 +rect 18602 8200 18658 8256 rect 17066 7642 17122 7644 rect 17146 7642 17202 7644 rect 17226 7642 17282 7644 @@ -17860,24 +18593,6 @@ rect 17066 7588 17122 7590 rect 17146 7588 17202 7590 rect 17226 7588 17282 7590 rect 17306 7588 17362 7590 -rect 15516 6010 15572 6012 -rect 15596 6010 15652 6012 -rect 15676 6010 15732 6012 -rect 15756 6010 15812 6012 -rect 15516 5958 15562 6010 -rect 15562 5958 15572 6010 -rect 15596 5958 15626 6010 -rect 15626 5958 15638 6010 -rect 15638 5958 15652 6010 -rect 15676 5958 15690 6010 -rect 15690 5958 15702 6010 -rect 15702 5958 15732 6010 -rect 15756 5958 15766 6010 -rect 15766 5958 15812 6010 -rect 15516 5956 15572 5958 -rect 15596 5956 15652 5958 -rect 15676 5956 15732 5958 -rect 15756 5956 15812 5958 rect 17066 6554 17122 6556 rect 17146 6554 17202 6556 rect 17226 6554 17282 6556 @@ -17896,176 +18611,84 @@ rect 17066 6500 17122 6502 rect 17146 6500 17202 6502 rect 17226 6500 17282 6502 rect 17306 6500 17362 6502 -rect 18786 11192 18842 11248 -rect 18602 9696 18658 9752 -rect 18602 8200 18658 8256 -rect 18510 6740 18512 6760 -rect 18512 6740 18564 6760 -rect 18564 6740 18566 6760 -rect 18510 6704 18566 6740 -rect 17066 5466 17122 5468 -rect 17146 5466 17202 5468 -rect 17226 5466 17282 5468 -rect 17306 5466 17362 5468 -rect 17066 5414 17112 5466 -rect 17112 5414 17122 5466 -rect 17146 5414 17176 5466 -rect 17176 5414 17188 5466 -rect 17188 5414 17202 5466 -rect 17226 5414 17240 5466 -rect 17240 5414 17252 5466 -rect 17252 5414 17282 5466 -rect 17306 5414 17316 5466 -rect 17316 5414 17362 5466 -rect 17066 5412 17122 5414 -rect 17146 5412 17202 5414 -rect 17226 5412 17282 5414 -rect 17306 5412 17362 5414 -rect 15516 4922 15572 4924 -rect 15596 4922 15652 4924 -rect 15676 4922 15732 4924 -rect 15756 4922 15812 4924 -rect 15516 4870 15562 4922 -rect 15562 4870 15572 4922 -rect 15596 4870 15626 4922 -rect 15626 4870 15638 4922 -rect 15638 4870 15652 4922 -rect 15676 4870 15690 4922 -rect 15690 4870 15702 4922 -rect 15702 4870 15732 4922 -rect 15756 4870 15766 4922 -rect 15766 4870 15812 4922 -rect 15516 4868 15572 4870 -rect 15596 4868 15652 4870 -rect 15676 4868 15732 4870 -rect 15756 4868 15812 4870 -rect 13966 3290 14022 3292 -rect 14046 3290 14102 3292 -rect 14126 3290 14182 3292 -rect 14206 3290 14262 3292 -rect 13966 3238 14012 3290 -rect 14012 3238 14022 3290 -rect 14046 3238 14076 3290 -rect 14076 3238 14088 3290 -rect 14088 3238 14102 3290 -rect 14126 3238 14140 3290 -rect 14140 3238 14152 3290 -rect 14152 3238 14182 3290 -rect 14206 3238 14216 3290 -rect 14216 3238 14262 3290 -rect 13966 3236 14022 3238 -rect 14046 3236 14102 3238 -rect 14126 3236 14182 3238 -rect 14206 3236 14262 3238 -rect 15516 3834 15572 3836 -rect 15596 3834 15652 3836 -rect 15676 3834 15732 3836 -rect 15756 3834 15812 3836 -rect 15516 3782 15562 3834 -rect 15562 3782 15572 3834 -rect 15596 3782 15626 3834 -rect 15626 3782 15638 3834 -rect 15638 3782 15652 3834 -rect 15676 3782 15690 3834 -rect 15690 3782 15702 3834 -rect 15702 3782 15732 3834 -rect 15756 3782 15766 3834 -rect 15766 3782 15812 3834 -rect 15516 3780 15572 3782 -rect 15596 3780 15652 3782 -rect 15676 3780 15732 3782 -rect 15756 3780 15812 3782 -rect 15516 2746 15572 2748 -rect 15596 2746 15652 2748 -rect 15676 2746 15732 2748 -rect 15756 2746 15812 2748 -rect 15516 2694 15562 2746 -rect 15562 2694 15572 2746 -rect 15596 2694 15626 2746 -rect 15626 2694 15638 2746 -rect 15638 2694 15652 2746 -rect 15676 2694 15690 2746 -rect 15690 2694 15702 2746 -rect 15702 2694 15732 2746 -rect 15756 2694 15766 2746 -rect 15766 2694 15812 2746 -rect 15516 2692 15572 2694 -rect 15596 2692 15652 2694 -rect 15676 2692 15732 2694 -rect 15756 2692 15812 2694 -rect 17066 4378 17122 4380 -rect 17146 4378 17202 4380 -rect 17226 4378 17282 4380 -rect 17306 4378 17362 4380 -rect 17066 4326 17112 4378 -rect 17112 4326 17122 4378 -rect 17146 4326 17176 4378 -rect 17176 4326 17188 4378 -rect 17188 4326 17202 4378 -rect 17226 4326 17240 4378 -rect 17240 4326 17252 4378 -rect 17252 4326 17282 4378 -rect 17306 4326 17316 4378 -rect 17316 4326 17362 4378 -rect 17066 4324 17122 4326 -rect 17146 4324 17202 4326 -rect 17226 4324 17282 4326 -rect 17306 4324 17362 4326 -rect 13966 2202 14022 2204 -rect 14046 2202 14102 2204 -rect 14126 2202 14182 2204 -rect 14206 2202 14262 2204 -rect 13966 2150 14012 2202 -rect 14012 2150 14022 2202 -rect 14046 2150 14076 2202 -rect 14076 2150 14088 2202 -rect 14088 2150 14102 2202 -rect 14126 2150 14140 2202 -rect 14140 2150 14152 2202 -rect 14152 2150 14182 2202 -rect 14206 2150 14216 2202 -rect 14216 2150 14262 2202 -rect 13966 2148 14022 2150 -rect 14046 2148 14102 2150 -rect 14126 2148 14182 2150 -rect 14206 2148 14262 2150 -rect 10866 1114 10922 1116 -rect 10946 1114 11002 1116 -rect 11026 1114 11082 1116 -rect 11106 1114 11162 1116 -rect 10866 1062 10912 1114 -rect 10912 1062 10922 1114 -rect 10946 1062 10976 1114 -rect 10976 1062 10988 1114 -rect 10988 1062 11002 1114 -rect 11026 1062 11040 1114 -rect 11040 1062 11052 1114 -rect 11052 1062 11082 1114 -rect 11106 1062 11116 1114 -rect 11116 1062 11162 1114 -rect 10866 1060 10922 1062 -rect 10946 1060 11002 1062 -rect 11026 1060 11082 1062 -rect 11106 1060 11162 1062 -rect 12416 1658 12472 1660 -rect 12496 1658 12552 1660 -rect 12576 1658 12632 1660 -rect 12656 1658 12712 1660 -rect 12416 1606 12462 1658 -rect 12462 1606 12472 1658 -rect 12496 1606 12526 1658 -rect 12526 1606 12538 1658 -rect 12538 1606 12552 1658 -rect 12576 1606 12590 1658 -rect 12590 1606 12602 1658 -rect 12602 1606 12632 1658 -rect 12656 1606 12666 1658 -rect 12666 1606 12712 1658 -rect 12416 1604 12472 1606 -rect 12496 1604 12552 1606 -rect 12576 1604 12632 1606 -rect 12656 1604 12712 1606 -rect 9034 312 9090 368 +rect 15516 6010 15572 6012 +rect 15596 6010 15652 6012 +rect 15676 6010 15732 6012 +rect 15756 6010 15812 6012 +rect 15516 5958 15562 6010 +rect 15562 5958 15572 6010 +rect 15596 5958 15626 6010 +rect 15626 5958 15638 6010 +rect 15638 5958 15652 6010 +rect 15676 5958 15690 6010 +rect 15690 5958 15702 6010 +rect 15702 5958 15732 6010 +rect 15756 5958 15766 6010 +rect 15766 5958 15812 6010 +rect 15516 5956 15572 5958 +rect 15596 5956 15652 5958 +rect 15676 5956 15732 5958 +rect 15756 5956 15812 5958 +rect 13966 4378 14022 4380 +rect 14046 4378 14102 4380 +rect 14126 4378 14182 4380 +rect 14206 4378 14262 4380 +rect 13966 4326 14012 4378 +rect 14012 4326 14022 4378 +rect 14046 4326 14076 4378 +rect 14076 4326 14088 4378 +rect 14088 4326 14102 4378 +rect 14126 4326 14140 4378 +rect 14140 4326 14152 4378 +rect 14152 4326 14182 4378 +rect 14206 4326 14216 4378 +rect 14216 4326 14262 4378 +rect 13966 4324 14022 4326 +rect 14046 4324 14102 4326 +rect 14126 4324 14182 4326 +rect 14206 4324 14262 4326 +rect 12416 2746 12472 2748 +rect 12496 2746 12552 2748 +rect 12576 2746 12632 2748 +rect 12656 2746 12712 2748 +rect 12416 2694 12462 2746 +rect 12462 2694 12472 2746 +rect 12496 2694 12526 2746 +rect 12526 2694 12538 2746 +rect 12538 2694 12552 2746 +rect 12576 2694 12590 2746 +rect 12590 2694 12602 2746 +rect 12602 2694 12632 2746 +rect 12656 2694 12666 2746 +rect 12666 2694 12712 2746 +rect 12416 2692 12472 2694 +rect 12496 2692 12552 2694 +rect 12576 2692 12632 2694 +rect 12656 2692 12712 2694 +rect 10866 2202 10922 2204 +rect 10946 2202 11002 2204 +rect 11026 2202 11082 2204 +rect 11106 2202 11162 2204 +rect 10866 2150 10912 2202 +rect 10912 2150 10922 2202 +rect 10946 2150 10976 2202 +rect 10976 2150 10988 2202 +rect 10988 2150 11002 2202 +rect 11026 2150 11040 2202 +rect 11040 2150 11052 2202 +rect 11052 2150 11082 2202 +rect 11106 2150 11116 2202 +rect 11116 2150 11162 2202 +rect 10866 2148 10922 2150 +rect 10946 2148 11002 2150 +rect 11026 2148 11082 2150 +rect 11106 2148 11162 2150 +rect 10138 1944 10194 2000 +rect 11150 1964 11206 2000 +rect 11150 1944 11152 1964 +rect 11152 1944 11204 1964 +rect 11204 1944 11206 1964 +rect 11242 1808 11298 1864 rect 9316 570 9372 572 rect 9396 570 9452 572 rect 9476 570 9532 572 @@ -18084,8 +18707,65 @@ rect 9316 516 9372 518 rect 9396 516 9452 518 rect 9476 516 9532 518 rect 9556 516 9612 518 -rect 13358 856 13414 912 -rect 11702 312 11758 368 +rect 10866 1114 10922 1116 +rect 10946 1114 11002 1116 +rect 11026 1114 11082 1116 +rect 11106 1114 11162 1116 +rect 10866 1062 10912 1114 +rect 10912 1062 10922 1114 +rect 10946 1062 10976 1114 +rect 10976 1062 10988 1114 +rect 10988 1062 11002 1114 +rect 11026 1062 11040 1114 +rect 11040 1062 11052 1114 +rect 11052 1062 11082 1114 +rect 11106 1062 11116 1114 +rect 11116 1062 11162 1114 +rect 10866 1060 10922 1062 +rect 10946 1060 11002 1062 +rect 11026 1060 11082 1062 +rect 11106 1060 11162 1062 +rect 13966 3290 14022 3292 +rect 14046 3290 14102 3292 +rect 14126 3290 14182 3292 +rect 14206 3290 14262 3292 +rect 13966 3238 14012 3290 +rect 14012 3238 14022 3290 +rect 14046 3238 14076 3290 +rect 14076 3238 14088 3290 +rect 14088 3238 14102 3290 +rect 14126 3238 14140 3290 +rect 14140 3238 14152 3290 +rect 14152 3238 14182 3290 +rect 14206 3238 14216 3290 +rect 14216 3238 14262 3290 +rect 13966 3236 14022 3238 +rect 14046 3236 14102 3238 +rect 14126 3236 14182 3238 +rect 14206 3236 14262 3238 +rect 12416 1658 12472 1660 +rect 12496 1658 12552 1660 +rect 12576 1658 12632 1660 +rect 12656 1658 12712 1660 +rect 12416 1606 12462 1658 +rect 12462 1606 12472 1658 +rect 12496 1606 12526 1658 +rect 12526 1606 12538 1658 +rect 12538 1606 12552 1658 +rect 12576 1606 12590 1658 +rect 12590 1606 12602 1658 +rect 12602 1606 12632 1658 +rect 12656 1606 12666 1658 +rect 12666 1606 12712 1658 +rect 12416 1604 12472 1606 +rect 12496 1604 12552 1606 +rect 12576 1604 12632 1606 +rect 12656 1604 12712 1606 +rect 12622 1400 12678 1456 +rect 12070 1284 12126 1320 +rect 12070 1264 12072 1284 +rect 12072 1264 12124 1284 +rect 12124 1264 12126 1284 rect 12416 570 12472 572 rect 12496 570 12552 572 rect 12576 570 12632 572 @@ -18104,14 +18784,48 @@ rect 12416 516 12472 518 rect 12496 516 12552 518 rect 12576 516 12632 518 rect 12656 516 12712 518 -rect 12254 348 12256 368 -rect 12256 348 12308 368 -rect 12308 348 12310 368 -rect 12254 312 12310 348 -rect 13726 876 13782 912 -rect 13726 856 13728 876 -rect 13728 856 13780 876 -rect 13780 856 13782 876 +rect 13542 1300 13544 1320 +rect 13544 1300 13596 1320 +rect 13596 1300 13598 1320 +rect 13542 1264 13598 1300 +rect 13966 2202 14022 2204 +rect 14046 2202 14102 2204 +rect 14126 2202 14182 2204 +rect 14206 2202 14262 2204 +rect 13966 2150 14012 2202 +rect 14012 2150 14022 2202 +rect 14046 2150 14076 2202 +rect 14076 2150 14088 2202 +rect 14088 2150 14102 2202 +rect 14126 2150 14140 2202 +rect 14140 2150 14152 2202 +rect 14152 2150 14182 2202 +rect 14206 2150 14216 2202 +rect 14216 2150 14262 2202 +rect 13966 2148 14022 2150 +rect 14046 2148 14102 2150 +rect 14126 2148 14182 2150 +rect 14206 2148 14262 2150 +rect 15516 4922 15572 4924 +rect 15596 4922 15652 4924 +rect 15676 4922 15732 4924 +rect 15756 4922 15812 4924 +rect 15516 4870 15562 4922 +rect 15562 4870 15572 4922 +rect 15596 4870 15626 4922 +rect 15626 4870 15638 4922 +rect 15638 4870 15652 4922 +rect 15676 4870 15690 4922 +rect 15690 4870 15702 4922 +rect 15702 4870 15732 4922 +rect 15756 4870 15766 4922 +rect 15766 4870 15812 4922 +rect 15516 4868 15572 4870 +rect 15596 4868 15652 4870 +rect 15676 4868 15732 4870 +rect 15756 4868 15812 4870 +rect 14738 1808 14794 1864 +rect 14554 1400 14610 1456 rect 13966 1114 14022 1116 rect 14046 1114 14102 1116 rect 14126 1114 14182 1116 @@ -18130,11 +18844,64 @@ rect 13966 1060 14022 1062 rect 14046 1060 14102 1062 rect 14126 1060 14182 1062 rect 14206 1060 14262 1062 -rect 13818 720 13874 776 -rect 14278 756 14280 776 -rect 14280 756 14332 776 -rect 14332 756 14334 776 -rect 14278 720 14334 756 +rect 15516 3834 15572 3836 +rect 15596 3834 15652 3836 +rect 15676 3834 15732 3836 +rect 15756 3834 15812 3836 +rect 15516 3782 15562 3834 +rect 15562 3782 15572 3834 +rect 15596 3782 15626 3834 +rect 15626 3782 15638 3834 +rect 15638 3782 15652 3834 +rect 15676 3782 15690 3834 +rect 15690 3782 15702 3834 +rect 15702 3782 15732 3834 +rect 15756 3782 15766 3834 +rect 15766 3782 15812 3834 +rect 15516 3780 15572 3782 +rect 15596 3780 15652 3782 +rect 15676 3780 15732 3782 +rect 15756 3780 15812 3782 +rect 17066 5466 17122 5468 +rect 17146 5466 17202 5468 +rect 17226 5466 17282 5468 +rect 17306 5466 17362 5468 +rect 17066 5414 17112 5466 +rect 17112 5414 17122 5466 +rect 17146 5414 17176 5466 +rect 17176 5414 17188 5466 +rect 17188 5414 17202 5466 +rect 17226 5414 17240 5466 +rect 17240 5414 17252 5466 +rect 17252 5414 17282 5466 +rect 17306 5414 17316 5466 +rect 17316 5414 17362 5466 +rect 17066 5412 17122 5414 +rect 17146 5412 17202 5414 +rect 17226 5412 17282 5414 +rect 17306 5412 17362 5414 +rect 18510 6740 18512 6760 +rect 18512 6740 18564 6760 +rect 18564 6740 18566 6760 +rect 18510 6704 18566 6740 +rect 17066 4378 17122 4380 +rect 17146 4378 17202 4380 +rect 17226 4378 17282 4380 +rect 17306 4378 17362 4380 +rect 17066 4326 17112 4378 +rect 17112 4326 17122 4378 +rect 17146 4326 17176 4378 +rect 17176 4326 17188 4378 +rect 17188 4326 17202 4378 +rect 17226 4326 17240 4378 +rect 17240 4326 17252 4378 +rect 17252 4326 17282 4378 +rect 17306 4326 17316 4378 +rect 17316 4326 17362 4378 +rect 17066 4324 17122 4326 +rect 17146 4324 17202 4326 +rect 17226 4324 17282 4326 +rect 17306 4324 17362 4326 rect 17066 3290 17122 3292 rect 17146 3290 17202 3292 rect 17226 3290 17282 3292 @@ -18153,6 +18920,24 @@ rect 17066 3236 17122 3238 rect 17146 3236 17202 3238 rect 17226 3236 17282 3238 rect 17306 3236 17362 3238 +rect 15516 2746 15572 2748 +rect 15596 2746 15652 2748 +rect 15676 2746 15732 2748 +rect 15756 2746 15812 2748 +rect 15516 2694 15562 2746 +rect 15562 2694 15572 2746 +rect 15596 2694 15626 2746 +rect 15626 2694 15638 2746 +rect 15638 2694 15652 2746 +rect 15676 2694 15690 2746 +rect 15690 2694 15702 2746 +rect 15702 2694 15732 2746 +rect 15756 2694 15766 2746 +rect 15766 2694 15812 2746 +rect 15516 2692 15572 2694 +rect 15596 2692 15652 2694 +rect 15676 2692 15732 2694 +rect 15756 2692 15812 2694 rect 17066 2202 17122 2204 rect 17146 2202 17202 2204 rect 17226 2202 17282 2204 @@ -18171,12 +18956,8 @@ rect 17066 2148 17122 2150 rect 17146 2148 17202 2150 rect 17226 2148 17282 2150 rect 17306 2148 17362 2150 -rect 18510 5228 18566 5264 -rect 18510 5208 18512 5228 -rect 18512 5208 18564 5228 -rect 18564 5208 18566 5228 -rect 18510 3712 18566 3768 -rect 18510 2216 18566 2272 +rect 18510 5208 18566 5264 +rect 17866 2216 17922 2272 rect 15516 1658 15572 1660 rect 15596 1658 15652 1660 rect 15676 1658 15732 1660 @@ -18213,7 +18994,6 @@ rect 15516 516 15572 518 rect 15596 516 15652 518 rect 15676 516 15732 518 rect 15756 516 15812 518 -rect 15842 312 15898 368 rect 17066 1114 17122 1116 rect 17146 1114 17202 1116 rect 17226 1114 17282 1116 @@ -18232,12 +19012,11 @@ rect 17066 1060 17122 1062 rect 17146 1060 17202 1062 rect 17226 1060 17282 1062 rect 17306 1060 17362 1062 -rect 17866 856 17922 912 -rect 17590 756 17592 776 -rect 17592 756 17644 776 -rect 17644 756 17646 776 -rect 17590 720 17646 756 -rect 18510 720 18566 776 +rect 18510 3712 18566 3768 +rect 18510 756 18512 776 +rect 18512 756 18564 776 +rect 18564 756 18566 776 +rect 18510 720 18566 756 rect 4666 26 4722 28 rect 4746 26 4802 28 rect 4826 26 4882 28 @@ -18450,24 +19229,6 @@ rect 18658 9696 20000 9752 rect 18597 9694 20000 9696 rect 18597 9691 18663 9694 rect 19200 9664 20000 9694 -rect 2497 9618 2563 9621 -rect 5533 9618 5599 9621 -rect 2497 9616 5599 9618 -rect 2497 9560 2502 9616 -rect 2558 9560 5538 9616 -rect 5594 9560 5599 9616 -rect 2497 9558 5599 9560 -rect 2497 9555 2563 9558 -rect 5533 9555 5599 9558 -rect 3141 9482 3207 9485 -rect 5993 9482 6059 9485 -rect 3141 9480 6059 9482 -rect 3141 9424 3146 9480 -rect 3202 9424 5998 9480 -rect 6054 9424 6059 9480 -rect 3141 9422 6059 9424 -rect 3141 9419 3207 9422 -rect 5993 9419 6059 9422 rect 3104 9280 3424 9281 rect 3104 9216 3112 9280 rect 3176 9216 3192 9280 @@ -18616,15 +19377,15 @@ rect 17206 7584 17222 7648 rect 17286 7584 17302 7648 rect 17366 7584 17374 7648 rect 17054 7583 17374 7584 -rect 13629 7442 13695 7445 -rect 13905 7442 13971 7445 -rect 13629 7440 13971 7442 -rect 13629 7384 13634 7440 -rect 13690 7384 13910 7440 -rect 13966 7384 13971 7440 -rect 13629 7382 13971 7384 -rect 13629 7379 13695 7382 -rect 13905 7379 13971 7382 +rect 3785 7442 3851 7445 +rect 4797 7442 4863 7445 +rect 3785 7440 4863 7442 +rect 3785 7384 3790 7440 +rect 3846 7384 4802 7440 +rect 4858 7384 4863 7440 +rect 3785 7382 4863 7384 +rect 3785 7379 3851 7382 +rect 4797 7379 4863 7382 rect 3104 7104 3424 7105 rect 3104 7040 3112 7104 rect 3176 7040 3192 7104 @@ -18703,15 +19464,6 @@ rect 17206 6496 17222 6560 rect 17286 6496 17302 6560 rect 17366 6496 17374 6560 rect 17054 6495 17374 6496 -rect 3417 6354 3483 6357 -rect 5165 6354 5231 6357 -rect 3417 6352 5231 6354 -rect 3417 6296 3422 6352 -rect 3478 6296 5170 6352 -rect 5226 6296 5231 6352 -rect 3417 6294 5231 6296 -rect 3417 6291 3483 6294 -rect 5165 6291 5231 6294 rect 3104 6016 3424 6017 rect 3104 5952 3112 6016 rect 3176 5952 3192 6016 @@ -18903,33 +19655,6 @@ rect 18566 3712 20000 3768 rect 18505 3710 20000 3712 rect 18505 3707 18571 3710 rect 19200 3680 20000 3710 -rect 8661 3634 8727 3637 -rect 12341 3634 12407 3637 -rect 8661 3632 12407 3634 -rect 8661 3576 8666 3632 -rect 8722 3576 12346 3632 -rect 12402 3576 12407 3632 -rect 8661 3574 12407 3576 -rect 8661 3571 8727 3574 -rect 12341 3571 12407 3574 -rect 9029 3498 9095 3501 -rect 9765 3498 9831 3501 -rect 9029 3496 9831 3498 -rect 9029 3440 9034 3496 -rect 9090 3440 9770 3496 -rect 9826 3440 9831 3496 -rect 9029 3438 9831 3440 -rect 9029 3435 9095 3438 -rect 9765 3435 9831 3438 -rect 11513 3498 11579 3501 -rect 13445 3498 13511 3501 -rect 11513 3496 13511 3498 -rect 11513 3440 11518 3496 -rect 11574 3440 13450 3496 -rect 13506 3440 13511 3496 -rect 11513 3438 13511 3440 -rect 11513 3435 11579 3438 -rect 13445 3435 13511 3438 rect 4654 3296 4974 3297 rect 4654 3232 4662 3296 rect 4726 3232 4742 3296 @@ -18965,18 +19690,6 @@ rect 17206 3232 17222 3296 rect 17286 3232 17302 3296 rect 17366 3232 17374 3296 rect 17054 3231 17374 3232 -rect 8937 3090 9003 3093 -rect 10869 3090 10935 3093 -rect 11145 3090 11211 3093 -rect 8937 3088 11211 3090 -rect 8937 3032 8942 3088 -rect 8998 3032 10874 3088 -rect 10930 3032 11150 3088 -rect 11206 3032 11211 3088 -rect 8937 3030 11211 3032 -rect 8937 3027 9003 3030 -rect 10869 3027 10935 3030 -rect 11145 3027 11211 3030 rect 3104 2752 3424 2753 rect 3104 2688 3112 2752 rect 3176 2688 3192 2752 @@ -19012,13 +19725,13 @@ rect 15656 2688 15672 2752 rect 15736 2688 15752 2752 rect 15816 2688 15824 2752 rect 15504 2687 15824 2688 -rect 18505 2274 18571 2277 +rect 17861 2274 17927 2277 rect 19200 2274 20000 2304 -rect 18505 2272 20000 2274 -rect 18505 2216 18510 2272 -rect 18566 2216 20000 2272 -rect 18505 2214 20000 2216 -rect 18505 2211 18571 2214 +rect 17861 2272 20000 2274 +rect 17861 2216 17866 2272 +rect 17922 2216 20000 2272 +rect 17861 2214 20000 2216 +rect 17861 2211 17927 2214 rect 4654 2208 4974 2209 rect 4654 2144 4662 2208 rect 4726 2144 4742 2208 @@ -19055,6 +19768,33 @@ rect 17286 2144 17302 2208 rect 17366 2144 17374 2208 rect 19200 2184 20000 2214 rect 17054 2143 17374 2144 +rect 8017 2002 8083 2005 +rect 9397 2002 9463 2005 +rect 8017 2000 9463 2002 +rect 8017 1944 8022 2000 +rect 8078 1944 9402 2000 +rect 9458 1944 9463 2000 +rect 8017 1942 9463 1944 +rect 8017 1939 8083 1942 +rect 9397 1939 9463 1942 +rect 10133 2002 10199 2005 +rect 11145 2002 11211 2005 +rect 10133 2000 11211 2002 +rect 10133 1944 10138 2000 +rect 10194 1944 11150 2000 +rect 11206 1944 11211 2000 +rect 10133 1942 11211 1944 +rect 10133 1939 10199 1942 +rect 11145 1939 11211 1942 +rect 11237 1866 11303 1869 +rect 14733 1866 14799 1869 +rect 11237 1864 14799 1866 +rect 11237 1808 11242 1864 +rect 11298 1808 14738 1864 +rect 14794 1808 14799 1864 +rect 11237 1806 14799 1808 +rect 11237 1803 11303 1806 +rect 14733 1803 14799 1806 rect 3104 1664 3424 1665 rect 3104 1600 3112 1664 rect 3176 1600 3192 1664 @@ -19090,18 +19830,30 @@ rect 15656 1600 15672 1664 rect 15736 1600 15752 1664 rect 15816 1600 15824 1664 rect 15504 1599 15824 1600 -rect 7005 1322 7071 1325 -rect 8753 1322 8819 1325 -rect 9213 1322 9279 1325 -rect 7005 1320 9279 1322 -rect 7005 1264 7010 1320 -rect 7066 1264 8758 1320 -rect 8814 1264 9218 1320 -rect 9274 1264 9279 1320 -rect 7005 1262 9279 1264 -rect 7005 1259 7071 1262 -rect 8753 1259 8819 1262 -rect 9213 1259 9279 1262 +rect 7189 1594 7255 1597 +rect 7189 1592 7298 1594 +rect 7189 1536 7194 1592 +rect 7250 1536 7298 1592 +rect 7189 1531 7298 1536 +rect 7238 1458 7298 1531 +rect 12617 1458 12683 1461 +rect 14549 1458 14615 1461 +rect 7238 1456 14615 1458 +rect 7238 1400 12622 1456 +rect 12678 1400 14554 1456 +rect 14610 1400 14615 1456 +rect 7238 1398 14615 1400 +rect 12617 1395 12683 1398 +rect 14549 1395 14615 1398 +rect 12065 1322 12131 1325 +rect 13537 1322 13603 1325 +rect 12065 1320 13603 1322 +rect 12065 1264 12070 1320 +rect 12126 1264 13542 1320 +rect 13598 1264 13603 1320 +rect 12065 1262 13603 1264 +rect 12065 1259 12131 1262 +rect 13537 1259 13603 1262 rect 4654 1120 4974 1121 rect 4654 1056 4662 1120 rect 4726 1056 4742 1120 @@ -19137,51 +19889,6 @@ rect 17206 1056 17222 1120 rect 17286 1056 17302 1120 rect 17366 1056 17374 1120 rect 17054 1055 17374 1056 -rect 4889 914 4955 917 -rect 5257 914 5323 917 -rect 7741 914 7807 917 -rect 4889 912 7807 914 -rect 4889 856 4894 912 -rect 4950 856 5262 912 -rect 5318 856 7746 912 -rect 7802 856 7807 912 -rect 4889 854 7807 856 -rect 4889 851 4955 854 -rect 5257 851 5323 854 -rect 7741 851 7807 854 -rect 10133 914 10199 917 -rect 13353 914 13419 917 -rect 10133 912 13419 914 -rect 10133 856 10138 912 -rect 10194 856 13358 912 -rect 13414 856 13419 912 -rect 10133 854 13419 856 -rect 10133 851 10199 854 -rect 13353 851 13419 854 -rect 13721 914 13787 917 -rect 17861 914 17927 917 -rect 13721 912 17927 914 -rect 13721 856 13726 912 -rect 13782 856 17866 912 -rect 17922 856 17927 912 -rect 13721 854 17927 856 -rect 13721 851 13787 854 -rect 17861 851 17927 854 -rect 9305 778 9371 781 -rect 13813 778 13879 781 -rect 14273 778 14339 781 -rect 17585 778 17651 781 -rect 9305 776 17651 778 -rect 9305 720 9310 776 -rect 9366 720 13818 776 -rect 13874 720 14278 776 -rect 14334 720 17590 776 -rect 17646 720 17651 776 -rect 9305 718 17651 720 -rect 9305 715 9371 718 -rect 13813 715 13879 718 -rect 14273 715 14339 718 -rect 17585 715 17651 718 rect 18505 778 18571 781 rect 19200 778 20000 808 rect 18505 776 20000 778 @@ -19225,24 +19932,6 @@ rect 15656 512 15672 576 rect 15736 512 15752 576 rect 15816 512 15824 576 rect 15504 511 15824 512 -rect 9029 370 9095 373 -rect 11697 370 11763 373 -rect 9029 368 11763 370 -rect 9029 312 9034 368 -rect 9090 312 11702 368 -rect 11758 312 11763 368 -rect 9029 310 11763 312 -rect 9029 307 9095 310 -rect 11697 307 11763 310 -rect 12249 370 12315 373 -rect 15837 370 15903 373 -rect 12249 368 15903 370 -rect 12249 312 12254 368 -rect 12310 312 15842 368 -rect 15898 312 15903 368 -rect 12249 310 15903 312 -rect 12249 307 12315 310 -rect 15837 307 15903 310 rect 4654 32 4974 33 rect 4654 -32 4662 32 rect 4726 -32 4742 32 @@ -22162,82 +22851,98 @@ rect 9582 3164 12446 3400 rect 12682 3164 15546 3400 rect 15782 3164 18860 3400 rect 0 3122 18860 3164 -use sky130_fd_sc_hd__decap_3 PHY_2 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 0 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_3 PHY_0 -timestamp 1636915332 -transform 1 0 0 0 1 0 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_8 FILLER_0_3 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 276 0 1 0 -box -38 -48 774 592 use sky130_fd_sc_hd__fill_2 FILLER_0_11 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform 1 0 1012 0 1 0 box -38 -48 222 592 +use sky130_fd_sc_hd__decap_8 FILLER_0_17 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 1564 0 1 0 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_8 FILLER_0_3 +timestamp 1636915332 +transform 1 0 276 0 1 0 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_1 FILLER_1_3 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 276 0 -1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_3 PHY_0 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 0 0 1 0 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_3 PHY_2 +timestamp 1636915332 +transform 1 0 0 0 -1 1088 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_40 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform 1 0 1196 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_23 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkbuf_1 _304_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 2116 0 -1 1088 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_1_15 +transform -1 0 1564 0 1 0 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfstp_1 _473_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 1380 0 -1 1088 -box -38 -48 774 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__449__SET_B OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 368 0 -1 1088 +box -38 -48 1970 592 +use sky130_fd_sc_hd__mux2_1 _410_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 2392 0 -1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_1_3 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 3312 0 -1 1088 +box -38 -48 866 592 +use sky130_fd_sc_hd__xnor2_1 _359_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 276 0 -1 1088 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_14 +transform 1 0 2944 0 1 0 +box -38 -48 682 592 +use sky130_fd_sc_hd__mux2_1 _303_ timestamp 1636915332 -transform 1 0 1288 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_27 -timestamp 1636915332 -transform 1 0 2484 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_40 -timestamp 1636915332 -transform 1 0 3680 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_41 -timestamp 1636915332 -transform 1 0 2392 0 1 0 -box -38 -48 130 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 -timestamp 1636915332 -transform 1 0 3588 0 1 0 -box -38 -48 130 592 +transform 1 0 2484 0 -1 1088 +box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55 timestamp 1636915332 transform 1 0 2392 0 -1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__o21ai_1 _300_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_41 timestamp 1636915332 -transform -1 0 4784 0 -1 1088 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfstp_1 _449_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 2392 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_1_25 timestamp 1636915332 -transform 1 0 2484 0 -1 1088 -box -38 -48 1970 592 -use sky130_fd_sc_hd__o2bb2ai_1 _297_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 2300 0 -1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_3 FILLER_0_29 timestamp 1636915332 -transform -1 0 5980 0 1 0 -box -38 -48 682 592 -use sky130_fd_sc_hd__nor2_1 _290_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 5152 0 -1 1088 +transform 1 0 2668 0 1 0 box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_0_25 +timestamp 1636915332 +transform 1 0 2300 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__473__SET_B OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 2668 0 1 0 +box -38 -48 222 592 +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 +timestamp 1636915332 +transform 1 0 3588 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_1_49 +timestamp 1636915332 +transform 1 0 4508 0 -1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_4 FILLER_1_45 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 4140 0 -1 1088 +box -38 -48 406 592 +use sky130_fd_sc_hd__decap_12 FILLER_0_40 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 3680 0 1 0 +box -38 -48 1142 592 +use sky130_fd_sc_hd__a21bo_1 _295_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 5612 0 1 0 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 timestamp 1636915332 transform 1 0 4784 0 -1 1088 @@ -22246,98 +22951,74 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_43 timestamp 1636915332 transform 1 0 4784 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_57 +use sky130_fd_sc_hd__decap_4 FILLER_0_61 timestamp 1636915332 -transform 1 0 5244 0 1 0 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_0_53 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 4876 0 1 0 +transform 1 0 5612 0 1 0 box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__450__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__476__SET_B timestamp 1636915332 -transform -1 0 5336 0 -1 1088 +transform 1 0 4600 0 -1 1088 box -38 -48 222 592 -use sky130_fd_sc_hd__nand2_1 _296_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkbuf_1 rebuffer10 timestamp 1636915332 -transform 1 0 6072 0 1 0 +transform 1 0 6808 0 -1 1088 box -38 -48 314 592 +use sky130_fd_sc_hd__mux2_1 _421_ +timestamp 1636915332 +transform 1 0 6348 0 1 0 +box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_44 timestamp 1636915332 transform 1 0 5980 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_0_69 +use sky130_fd_sc_hd__decap_3 FILLER_0_66 timestamp 1636915332 -transform 1 0 6348 0 1 0 +transform 1 0 6072 0 1 0 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfstp_1 _476_ +timestamp 1636915332 +transform 1 0 4876 0 -1 1088 +box -38 -48 1970 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__421__A1 +timestamp 1636915332 +transform 1 0 7268 0 1 0 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__475__RESET_B +timestamp 1636915332 +transform -1 0 7636 0 1 0 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_8 FILLER_0_83 +timestamp 1636915332 +transform 1 0 7636 0 1 0 box -38 -48 774 592 -use sky130_fd_sc_hd__dfrtn_1 _450_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__fill_1 FILLER_1_77 timestamp 1636915332 -transform 1 0 5336 0 -1 1088 -box -38 -48 1878 592 -use sky130_fd_sc_hd__nor2_1 _309_ -timestamp 1636915332 -transform -1 0 7820 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__nor2_1 _303_ -timestamp 1636915332 -transform 1 0 7268 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 -timestamp 1636915332 -transform 1 0 7176 0 -1 1088 +transform 1 0 7084 0 -1 1088 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_45 timestamp 1636915332 transform 1 0 7176 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_1_85 -timestamp 1636915332 -transform 1 0 7820 0 -1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_77 -timestamp 1636915332 -transform 1 0 7084 0 1 0 -box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _312_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 9476 0 1 0 -box -38 -48 406 592 -use sky130_fd_sc_hd__o211ai_2 _310_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 8004 0 -1 1088 -box -38 -48 958 592 -use sky130_fd_sc_hd__nand3_1 _306_ -timestamp 1636915332 -transform -1 0 9384 0 -1 1088 -box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 timestamp 1636915332 transform 1 0 8372 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_97 +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 timestamp 1636915332 -transform 1 0 8924 0 -1 1088 +transform 1 0 7176 0 -1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_98 -timestamp 1636915332 -transform 1 0 9016 0 1 0 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_0_92 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__mux2_1 _419_ timestamp 1636915332 transform 1 0 8464 0 1 0 -box -38 -48 590 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_79 +box -38 -48 866 592 +use sky130_fd_sc_hd__dfrtp_2 _475_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 7268 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__o21bai_1 _311_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform -1 0 9200 0 -1 1088 +box -38 -48 1970 592 +use sky130_fd_sc_hd__clkinv_2 _369_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 9660 0 -1 1088 -box -38 -48 590 592 -use sky130_fd_sc_hd__inv_2 _304_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 10488 0 -1 1088 -box -38 -48 314 592 +transform 1 0 9200 0 -1 1088 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 timestamp 1636915332 transform 1 0 9568 0 -1 1088 @@ -22346,50 +23027,54 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 timestamp 1636915332 transform 1 0 9568 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_103 +use sky130_fd_sc_hd__decap_8 FILLER_0_105 timestamp 1636915332 -transform 1 0 9476 0 1 0 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__310__B1 +transform 1 0 9660 0 1 0 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_3 FILLER_0_101 timestamp 1636915332 -transform 1 0 9384 0 -1 1088 +transform 1 0 9292 0 1 0 +box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__466__RESET_B +timestamp 1636915332 +transform 1 0 9660 0 -1 1088 box -38 -48 222 592 +use sky130_fd_sc_hd__nand2_1 _332_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 10764 0 1 0 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand3_1 _331_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 11224 0 1 0 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48 timestamp 1636915332 transform 1 0 10764 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_1_122 +use sky130_fd_sc_hd__decap_8 FILLER_0_122 timestamp 1636915332 -transform 1 0 11224 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_8 FILLER_1_114 -timestamp 1636915332 -transform 1 0 10488 0 -1 1088 +transform 1 0 11224 0 1 0 box -38 -48 774 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_118 +use sky130_fd_sc_hd__fill_1 FILLER_0_113 timestamp 1636915332 -transform 1 0 10856 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_105 +transform 1 0 10396 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__dfrtn_1 _466_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 9660 0 1 0 -box -38 -48 1142 592 -use sky130_fd_sc_hd__xor2_1 _357_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 9844 0 -1 1088 +box -38 -48 1878 592 +use sky130_fd_sc_hd__xor2_1 _368_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 12144 0 1 0 +box -38 -48 682 592 +use sky130_fd_sc_hd__nor2_1 _311_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 12328 0 -1 1088 +box -38 -48 314 592 +use sky130_fd_sc_hd__nor3b_2 _309_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform 1 0 12328 0 -1 1088 -box -38 -48 682 592 -use sky130_fd_sc_hd__nand3_1 _332_ -timestamp 1636915332 -transform -1 0 12420 0 1 0 -box -38 -48 406 592 -use sky130_fd_sc_hd__nand2_1 _329_ -timestamp 1636915332 -transform 1 0 12052 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__nand3_1 _328_ -timestamp 1636915332 -transform 1 0 11500 0 -1 1088 -box -38 -48 406 592 +box -38 -48 958 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 timestamp 1636915332 transform 1 0 11960 0 -1 1088 @@ -22398,30 +23083,46 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49 timestamp 1636915332 transform 1 0 11960 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_129 +use sky130_fd_sc_hd__decap_3 FILLER_1_127 timestamp 1636915332 -transform 1 0 11868 0 -1 1088 +transform 1 0 11684 0 -1 1088 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_0_131 +timestamp 1636915332 +transform 1 0 12052 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_0_135 +use sky130_fd_sc_hd__nor2_1 _367_ timestamp 1636915332 -transform 1 0 12420 0 1 0 -box -38 -48 774 592 -use sky130_fd_sc_hd__nor2_1 _356_ -timestamp 1636915332 -transform 1 0 13524 0 1 0 +transform -1 0 13064 0 1 0 box -38 -48 314 592 -use sky130_fd_sc_hd__nor2_1 _307_ +use sky130_fd_sc_hd__nand3_1 _335_ timestamp 1636915332 -transform -1 0 13524 0 1 0 -box -38 -48 314 592 +transform -1 0 13616 0 -1 1088 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50 timestamp 1636915332 transform 1 0 13156 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__nor3b_4 _305_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__fill_2 FILLER_1_148 timestamp 1636915332 -transform 1 0 12972 0 -1 1088 -box -38 -48 1418 592 +transform 1 0 13616 0 -1 1088 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_0_142 +timestamp 1636915332 +transform 1 0 13064 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_12 FILLER_0_144 +timestamp 1636915332 +transform 1 0 13248 0 1 0 +box -38 -48 1142 592 +use sky130_fd_sc_hd__xnor2_1 _366_ +timestamp 1636915332 +transform 1 0 14536 0 1 0 +box -38 -48 682 592 +use sky130_fd_sc_hd__nand2_1 _336_ +timestamp 1636915332 +transform 1 0 13984 0 -1 1088 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 timestamp 1636915332 transform 1 0 14352 0 -1 1088 @@ -22430,46 +23131,46 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51 timestamp 1636915332 transform 1 0 14352 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_1_157 +use sky130_fd_sc_hd__fill_1 FILLER_1_155 timestamp 1636915332 -transform 1 0 14444 0 -1 1088 +transform 1 0 14260 0 -1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_0_150 +use sky130_fd_sc_hd__fill_1 FILLER_0_157 timestamp 1636915332 -transform 1 0 13800 0 1 0 -box -38 -48 590 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__440__RESET_B +transform 1 0 14444 0 1 0 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__465__SET_B timestamp 1636915332 -transform -1 0 14720 0 -1 1088 +transform 1 0 13800 0 -1 1088 box -38 -48 222 592 -use sky130_fd_sc_hd__nand2_1 _333_ -timestamp 1636915332 -transform 1 0 15824 0 1 0 -box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52 timestamp 1636915332 transform 1 0 15548 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__385__A1 +use sky130_fd_sc_hd__decap_4 FILLER_0_165 timestamp 1636915332 -transform -1 0 15824 0 1 0 -box -38 -48 222 592 -use sky130_fd_sc_hd__dfrtn_1 _440_ +transform 1 0 15180 0 1 0 +box -38 -48 406 592 +use sky130_fd_sc_hd__dfstp_1 _465_ timestamp 1636915332 -transform 1 0 14720 0 -1 1088 -box -38 -48 1878 592 -use sky130_fd_sc_hd__decap_12 FILLER_0_157 +transform 1 0 14444 0 -1 1088 +box -38 -48 1970 592 +use sky130_fd_sc_hd__decap_12 FILLER_0_170 timestamp 1636915332 -transform 1 0 14444 0 1 0 +transform 1 0 15640 0 1 0 box -38 -48 1142 592 -use sky130_fd_sc_hd__xnor2_1 _355_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__mux2_1 _413_ timestamp 1636915332 -transform 1 0 16836 0 1 0 -box -38 -48 682 592 -use sky130_fd_sc_hd__inv_4 _330__6 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 16836 0 -1 1088 +box -38 -48 866 592 +use sky130_fd_sc_hd__o21ai_1 _339_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 16560 0 1 0 -box -38 -48 498 592 +transform -1 0 16744 0 -1 1088 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_1 _338_ +timestamp 1636915332 +transform -1 0 17112 0 1 0 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 timestamp 1636915332 transform 1 0 16744 0 -1 1088 @@ -22478,45 +23179,29 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53 timestamp 1636915332 transform 1 0 16744 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_1_183 +use sky130_fd_sc_hd__dlymetal6s2s_1 input1 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 16836 0 -1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_0_180 +transform -1 0 18584 0 -1 1088 +box -38 -48 958 592 +use sky130_fd_sc_hd__inv_2 _337_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 16560 0 1 0 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__441__SET_B -timestamp 1636915332 -transform -1 0 16744 0 -1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__mux2_1 _381_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 17020 0 -1 1088 -box -38 -48 866 592 -use sky130_fd_sc_hd__decap_4 FILLER_0_190 -timestamp 1636915332 -transform 1 0 17480 0 1 0 -box -38 -48 406 592 +transform -1 0 17388 0 1 0 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54 timestamp 1636915332 transform 1 0 17940 0 1 0 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_1_194 +use sky130_fd_sc_hd__decap_6 FILLER_0_189 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 17848 0 -1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_6 FILLER_0_196 +transform 1 0 17388 0 1 0 +box -38 -48 590 592 +use sky130_fd_sc_hd__decap_4 FILLER_0_196 timestamp 1636915332 transform 1 0 18032 0 1 0 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_0_194 -timestamp 1636915332 -transform 1 0 17848 0 1 0 -box -38 -48 130 592 +box -38 -48 406 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input1_A timestamp 1636915332 -transform -1 0 18308 0 -1 1088 +transform -1 0 18584 0 1 0 box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 PHY_1 timestamp 1636915332 @@ -22526,26 +23211,14 @@ use sky130_fd_sc_hd__decap_3 PHY_3 timestamp 1636915332 transform -1 0 18860 0 -1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__clkbuf_1 input1 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__decap_3 FILLER_2_10 timestamp 1636915332 -transform 1 0 18308 0 -1 1088 +transform 1 0 920 0 1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_2_11 -timestamp 1636915332 -transform 1 0 1012 0 1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_2_14 -timestamp 1636915332 -transform 1 0 1288 0 1 1088 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_18 -timestamp 1636915332 -transform 1 0 1656 0 1 1088 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_2_3 +use sky130_fd_sc_hd__fill_2 FILLER_2_3 timestamp 1636915332 transform 1 0 276 0 1 1088 -box -38 -48 774 592 +box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 PHY_4 timestamp 1636915332 transform 1 0 0 0 1 1088 @@ -22554,127 +23227,159 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 timestamp 1636915332 transform 1 0 1196 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtn_1 _448_ +use sky130_fd_sc_hd__inv_4 _300__4 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 1748 0 1 1088 +transform -1 0 920 0 1 1088 +box -38 -48 498 592 +use sky130_fd_sc_hd__dfrtn_1 _472_ +timestamp 1636915332 +transform 1 0 1288 0 1 1088 box -38 -48 1878 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_48 +use sky130_fd_sc_hd__diode_2 ANTENNA__472__RESET_B timestamp 1636915332 -transform 1 0 4416 0 1 1088 +transform 1 0 3680 0 1 1088 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_2_38 +timestamp 1636915332 +transform 1 0 3496 0 1 1088 box -38 -48 130 592 +use sky130_fd_sc_hd__decap_8 FILLER_2_42 +timestamp 1636915332 +transform 1 0 3864 0 1 1088 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 timestamp 1636915332 transform 1 0 3588 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_4 _295_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkinv_2 _358_ timestamp 1636915332 -transform -1 0 5152 0 1 1088 -box -38 -48 682 592 -use sky130_fd_sc_hd__a21bo_1 _301_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 3128 0 1 1088 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_2_50 timestamp 1636915332 -transform -1 0 4416 0 1 1088 -box -38 -48 774 592 -use sky130_fd_sc_hd__decap_8 FILLER_2_66 +transform 1 0 4600 0 1 1088 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_2 FILLER_2_60 timestamp 1636915332 -transform 1 0 6072 0 1 1088 -box -38 -48 774 592 +transform 1 0 5520 0 1 1088 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 timestamp 1636915332 transform 1 0 5980 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__o21ai_2 _278_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 7452 0 1 1088 -box -38 -48 682 592 -use sky130_fd_sc_hd__o21bai_1 _292_ -timestamp 1636915332 -transform 1 0 5152 0 1 1088 -box -38 -48 590 592 -use sky130_fd_sc_hd__inv_2 _294_ +use sky130_fd_sc_hd__nor2_1 _371_ timestamp 1636915332 transform -1 0 5980 0 1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__278__B1 +use sky130_fd_sc_hd__mux2_2 _398_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 8096 0 1 1088 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_90 +transform 1 0 4692 0 1 1088 +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _422_ timestamp 1636915332 -transform 1 0 8280 0 1 1088 +transform 1 0 6072 0 1 1088 +box -38 -48 866 592 +use sky130_fd_sc_hd__fill_1 FILLER_2_82 +timestamp 1636915332 +transform 1 0 7544 0 1 1088 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 timestamp 1636915332 transform 1 0 8372 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _447_ +use sky130_fd_sc_hd__a21bo_1 _297_ +timestamp 1636915332 +transform 1 0 7636 0 1 1088 +box -38 -48 774 592 +use sky130_fd_sc_hd__xnor2_1 _370_ +timestamp 1636915332 +transform -1 0 7544 0 1 1088 +box -38 -48 682 592 +use sky130_fd_sc_hd__mux2_1 _420_ timestamp 1636915332 transform 1 0 8464 0 1 1088 -box -38 -48 1970 592 -use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 7452 0 1 1088 -box -38 -48 682 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__442__RESET_B +box -38 -48 866 592 +use sky130_fd_sc_hd__fill_2 FILLER_2_115 timestamp 1636915332 transform 1 0 10580 0 1 1088 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_2_113 -timestamp 1636915332 -transform 1 0 10396 0 1 1088 -box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66 timestamp 1636915332 transform 1 0 10764 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtn_1 _442_ +use sky130_fd_sc_hd__clkbuf_2 _286_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 12696 0 1 1088 -box -38 -48 1878 592 -use sky130_fd_sc_hd__decap_4 FILLER_2_138 -timestamp 1636915332 -transform 1 0 12696 0 1 1088 +transform 1 0 10856 0 1 1088 box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_142 +use sky130_fd_sc_hd__clkbuf_2 _288_ timestamp 1636915332 -transform 1 0 13064 0 1 1088 +transform -1 0 10304 0 1 1088 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_1 _329_ +timestamp 1636915332 +transform 1 0 10304 0 1 1088 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand2_1 _330_ +timestamp 1636915332 +transform -1 0 11500 0 1 1088 +box -38 -48 314 592 +use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 9936 0 1 1088 +box -38 -48 682 592 +use sky130_fd_sc_hd__decap_6 FILLER_2_125 +timestamp 1636915332 +transform 1 0 11500 0 1 1088 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_2_131 +timestamp 1636915332 +transform 1 0 12052 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_144 +use sky130_fd_sc_hd__fill_2 FILLER_2_141 timestamp 1636915332 -transform 1 0 13248 0 1 1088 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_2_148 +transform 1 0 12972 0 1 1088 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_2_147 timestamp 1636915332 -transform 1 0 13616 0 1 1088 -box -38 -48 774 592 +transform 1 0 13524 0 1 1088 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67 timestamp 1636915332 transform 1 0 13156 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _308_ +use sky130_fd_sc_hd__nand2_1 _312_ timestamp 1636915332 -transform -1 0 13616 0 1 1088 +transform -1 0 13524 0 1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_156 +use sky130_fd_sc_hd__mux2_1 _418_ timestamp 1636915332 -transform 1 0 14352 0 1 1088 +transform 1 0 12144 0 1 1088 +box -38 -48 866 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__464__RESET_B +timestamp 1636915332 +transform 1 0 15916 0 1 1088 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_2_151 +timestamp 1636915332 +transform 1 0 13892 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_2_170 +use sky130_fd_sc_hd__decap_3 FILLER_2_170 timestamp 1636915332 transform 1 0 15640 0 1 1088 -box -38 -48 130 592 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68 timestamp 1636915332 transform 1 0 15548 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _331_ +use sky130_fd_sc_hd__inv_4 _333__6 timestamp 1636915332 -transform -1 0 16008 0 1 1088 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _334_ +transform 1 0 14260 0 1 1088 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand2_1 _334_ timestamp 1636915332 -transform 1 0 14444 0 1 1088 +transform -1 0 14260 0 1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__mux2_1 _385_ +use sky130_fd_sc_hd__mux2_1 _415_ timestamp 1636915332 transform 1 0 14720 0 1 1088 box -38 -48 866 592 @@ -22686,202 +23391,186 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69 timestamp 1636915332 transform 1 0 17940 0 1 1088 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_2 _441_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__dfrtn_1 _464_ timestamp 1636915332 -transform 1 0 16008 0 1 1088 -box -38 -48 1970 592 +transform 1 0 16100 0 1 1088 +box -38 -48 1878 592 use sky130_fd_sc_hd__decap_3 PHY_5 timestamp 1636915332 transform -1 0 18860 0 1 1088 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__446__RESET_B +use sky130_fd_sc_hd__decap_8 FILLER_3_12 timestamp 1636915332 -transform 1 0 2116 0 -1 2176 -box -38 -48 222 592 +transform 1 0 1104 0 -1 2176 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_3 FILLER_3_20 +timestamp 1636915332 +transform 1 0 1840 0 -1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_6 FILLER_3_3 +timestamp 1636915332 +transform 1 0 276 0 -1 2176 +box -38 -48 590 592 use sky130_fd_sc_hd__decap_3 PHY_6 timestamp 1636915332 transform 1 0 0 0 -1 2176 box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtp_1 _446_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkbuf_1 _302_ timestamp 1636915332 -transform 1 0 276 0 -1 2176 -box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__448__RESET_B +transform 1 0 828 0 -1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__nor2_1 _364_ timestamp 1636915332 -transform 1 0 3588 0 -1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_25 -timestamp 1636915332 -transform 1 0 2300 0 -1 2176 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_3_41 -timestamp 1636915332 -transform 1 0 3772 0 -1 2176 -box -38 -48 222 592 +transform -1 0 2392 0 -1 2176 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70 timestamp 1636915332 transform 1 0 2392 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_2 _291_ +use sky130_fd_sc_hd__mux2_1 _301_ +timestamp 1636915332 +transform 1 0 2484 0 -1 2176 +box -38 -48 866 592 +use sky130_fd_sc_hd__nor2_1 _363_ +timestamp 1636915332 +transform 1 0 4140 0 -1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__mux2_1 _411_ +timestamp 1636915332 +transform 1 0 3312 0 -1 2176 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_2 rebuffer7 timestamp 1636915332 transform -1 0 4784 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__inv_4 _298__4 -timestamp 1636915332 -transform -1 0 3588 0 -1 2176 -box -38 -48 498 592 -use sky130_fd_sc_hd__and2b_1 _299_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 3956 0 -1 2176 -box -38 -48 590 592 -use sky130_fd_sc_hd__xor2_1 _314_ -timestamp 1636915332 -transform -1 0 3128 0 -1 2176 -box -38 -48 682 592 -use sky130_fd_sc_hd__decap_3 FILLER_3_53 -timestamp 1636915332 -transform 1 0 4876 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_4 FILLER_3_62 -timestamp 1636915332 -transform 1 0 5704 0 -1 2176 box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71 timestamp 1636915332 transform 1 0 4784 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3b_1 _293_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__o211ai_4 _313_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 5152 0 -1 2176 -box -38 -48 590 592 -use sky130_fd_sc_hd__mux2_4 _397_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform 1 0 4876 0 -1 2176 +box -38 -48 1602 592 +use sky130_fd_sc_hd__xor2_1 _372_ timestamp 1636915332 -transform -1 0 7176 0 -1 2176 -box -38 -48 1142 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__447__SET_B +transform 1 0 6440 0 -1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__422__A1 timestamp 1636915332 -transform 1 0 8280 0 -1 2176 +transform 1 0 7636 0 -1 2176 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_6 FILLER_3_84 +use sky130_fd_sc_hd__fill_1 FILLER_3_77 timestamp 1636915332 -transform 1 0 7728 0 -1 2176 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_92 +transform 1 0 7084 0 -1 2176 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_4 FILLER_3_95 timestamp 1636915332 -transform 1 0 8464 0 -1 2176 +transform 1 0 8740 0 -1 2176 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_3_99 +timestamp 1636915332 +transform 1 0 9108 0 -1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72 timestamp 1636915332 transform 1 0 7176 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__clkbuf_2 _282_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__nor2_1 _280_ timestamp 1636915332 -transform -1 0 9384 0 -1 2176 +transform -1 0 8464 0 -1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand2_1 _281_ +timestamp 1636915332 +transform -1 0 8740 0 -1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand3_1 _294_ +timestamp 1636915332 +transform -1 0 7636 0 -1 2176 box -38 -48 406 592 -use sky130_fd_sc_hd__nand2_2 _283_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__nand3_1 _296_ timestamp 1636915332 -transform -1 0 7728 0 -1 2176 -box -38 -48 498 592 -use sky130_fd_sc_hd__inv_4 _302__5 -timestamp 1636915332 -transform -1 0 9016 0 -1 2176 -box -38 -48 498 592 -use sky130_fd_sc_hd__fill_2 FILLER_3_102 -timestamp 1636915332 -transform 1 0 9384 0 -1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_6 FILLER_3_111 -timestamp 1636915332 -transform 1 0 10212 0 -1 2176 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_117 -timestamp 1636915332 -transform 1 0 10764 0 -1 2176 -box -38 -48 130 592 +transform -1 0 8188 0 -1 2176 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 timestamp 1636915332 transform 1 0 9568 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_2 _276_ +use sky130_fd_sc_hd__clkinv_4 _285_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 9936 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_1 _326_ -timestamp 1636915332 -transform 1 0 9936 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__xnor2_1 _349_ -timestamp 1636915332 -transform 1 0 10856 0 -1 2176 +transform 1 0 9660 0 -1 2176 box -38 -48 682 592 -use sky130_fd_sc_hd__fill_2 FILLER_3_125 +use sky130_fd_sc_hd__o21ai_1 _287_ timestamp 1636915332 -transform 1 0 11500 0 -1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_3_131 +transform 1 0 9200 0 -1 2176 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_2 _291_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 10948 0 -1 2176 +box -38 -48 498 592 +use sky130_fd_sc_hd__o21bai_1 _314_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 11408 0 -1 2176 +box -38 -48 590 592 +use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 +timestamp 1636915332 +transform -1 0 10948 0 -1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__decap_6 FILLER_3_131 timestamp 1636915332 transform 1 0 12052 0 -1 2176 -box -38 -48 222 592 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_3_137 +timestamp 1636915332 +transform 1 0 12604 0 -1 2176 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_3 FILLER_3_147 +timestamp 1636915332 +transform 1 0 13524 0 -1 2176 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74 timestamp 1636915332 transform 1 0 11960 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _327_ +use sky130_fd_sc_hd__mux2_1 _417_ timestamp 1636915332 -transform -1 0 11960 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__mux2_1 _406_ -timestamp 1636915332 -transform 1 0 13064 0 -1 2176 +transform 1 0 12696 0 -1 2176 box -38 -48 866 592 -use sky130_fd_sc_hd__mux2_1 _407_ +use sky130_fd_sc_hd__decap_6 FILLER_3_166 timestamp 1636915332 -transform 1 0 12236 0 -1 2176 -box -38 -48 866 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__427__RESET_B +transform 1 0 15272 0 -1 2176 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_3_172 timestamp 1636915332 -transform 1 0 13892 0 -1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_157 -timestamp 1636915332 -transform 1 0 14444 0 -1 2176 +transform 1 0 15824 0 -1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75 timestamp 1636915332 transform 1 0 14352 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _335_ +use sky130_fd_sc_hd__o21a_1 _328_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 14352 0 -1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__o21ai_1 _336_ +transform 1 0 13800 0 -1 2176 +box -38 -48 590 592 +use sky130_fd_sc_hd__mux2_1 _414_ timestamp 1636915332 -transform -1 0 14904 0 -1 2176 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfrtp_1 _427_ +transform -1 0 16744 0 -1 2176 +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _416_ timestamp 1636915332 -transform 1 0 14904 0 -1 2176 -box -38 -48 1878 592 -use sky130_fd_sc_hd__fill_1 FILLER_3_192 -timestamp 1636915332 -transform 1 0 17664 0 -1 2176 -box -38 -48 130 592 +transform 1 0 14444 0 -1 2176 +box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76 timestamp 1636915332 transform 1 0 16744 0 -1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_4 _374_ -timestamp 1636915332 -transform -1 0 18400 0 -1 2176 -box -38 -48 682 592 -use sky130_fd_sc_hd__mux2_1 _382_ +use sky130_fd_sc_hd__dfxtp_1 _438_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform 1 0 16836 0 -1 2176 -box -38 -48 866 592 -use sky130_fd_sc_hd__fill_2 FILLER_3_200 +box -38 -48 1510 592 +use sky130_fd_sc_hd__decap_3 FILLER_3_199 timestamp 1636915332 -transform 1 0 18400 0 -1 2176 -box -38 -48 222 592 +transform 1 0 18308 0 -1 2176 +box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_7 timestamp 1636915332 transform -1 0 18860 0 -1 2176 @@ -22890,10 +23579,10 @@ use sky130_fd_sc_hd__fill_2 FILLER_4_11 timestamp 1636915332 transform 1 0 1012 0 1 2176 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_4_14 +use sky130_fd_sc_hd__decap_6 FILLER_4_14 timestamp 1636915332 transform 1 0 1288 0 1 2176 -box -38 -48 314 592 +box -38 -48 590 592 use sky130_fd_sc_hd__decap_4 FILLER_4_3 timestamp 1636915332 transform 1 0 276 0 1 2176 @@ -22910,163 +23599,163 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77 timestamp 1636915332 transform 1 0 1196 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _315_ -timestamp 1636915332 -transform 1 0 1564 0 1 2176 -box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_1 _316_ +use sky130_fd_sc_hd__clkbuf_1 _299_ timestamp 1636915332 transform 1 0 736 0 1 2176 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__313__A +use sky130_fd_sc_hd__xor2_1 _365_ timestamp 1636915332 -transform 1 0 3036 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__315__S +transform 1 0 1840 0 1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_1 FILLER_4_34 timestamp 1636915332 -transform 1 0 3220 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__451__RESET_B +transform 1 0 3128 0 1 2176 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_2 FILLER_4_47 timestamp 1636915332 -transform 1 0 3864 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_4_37 -timestamp 1636915332 -transform 1 0 3404 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_4_40 -timestamp 1636915332 -transform 1 0 3680 0 1 2176 +transform 1 0 4324 0 1 2176 box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78 timestamp 1636915332 transform 1 0 3588 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__nor3_1 _313_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__o211a_1 _307_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 2760 0 1 2176 +transform -1 0 5244 0 1 2176 +box -38 -48 774 592 +use sky130_fd_sc_hd__xnor2_1 _360_ +timestamp 1636915332 +transform 1 0 2484 0 1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__nor3_1 _361_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 3588 0 1 2176 box -38 -48 406 592 -use sky130_fd_sc_hd__nor2_1 _337_ +use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer12 timestamp 1636915332 -transform 1 0 2760 0 1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtp_2 _451_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform -1 0 4324 0 1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_1 FILLER_4_57 timestamp 1636915332 -transform -1 0 5980 0 1 2176 -box -38 -48 1970 592 -use sky130_fd_sc_hd__decap_6 FILLER_4_70 -timestamp 1636915332 -transform 1 0 6440 0 1 2176 -box -38 -48 590 592 +transform 1 0 5244 0 1 2176 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79 timestamp 1636915332 transform 1 0 5980 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__buf_2 rebuffer6 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__a21bo_1 _293_ timestamp 1636915332 -transform -1 0 6440 0 1 2176 -box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__454__SET_B -timestamp 1636915332 -transform 1 0 8188 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_4_80 -timestamp 1636915332 -transform 1 0 7360 0 1 2176 +transform -1 0 6808 0 1 2176 box -38 -48 774 592 -use sky130_fd_sc_hd__fill_1 FILLER_4_88 +use sky130_fd_sc_hd__mux2_1 _423_ timestamp 1636915332 -transform 1 0 8096 0 1 2176 +transform 1 0 6808 0 1 2176 +box -38 -48 866 592 +use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 +timestamp 1636915332 +transform -1 0 5980 0 1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__decap_8 FILLER_4_83 +timestamp 1636915332 +transform 1 0 7636 0 1 2176 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_1 FILLER_4_92 +timestamp 1636915332 +transform 1 0 8464 0 1 2176 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80 timestamp 1636915332 transform 1 0 8372 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__clkbuf_2 _277_ +use sky130_fd_sc_hd__clkinv_4 _352_ timestamp 1636915332 -transform 1 0 8464 0 1 2176 +transform 1 0 8556 0 1 2176 +box -38 -48 682 592 +use sky130_fd_sc_hd__decap_4 FILLER_4_100 +timestamp 1636915332 +transform 1 0 9200 0 1 2176 box -38 -48 406 592 -use sky130_fd_sc_hd__clkbuf_2 _279_ +use sky130_fd_sc_hd__decap_8 FILLER_4_107 timestamp 1636915332 -transform -1 0 7360 0 1 2176 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfstp_1 _454_ +transform 1 0 9844 0 1 2176 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_2 FILLER_4_115 timestamp 1636915332 -transform 1 0 8832 0 1 2176 -box -38 -48 1970 592 +transform 1 0 10580 0 1 2176 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_2 FILLER_4_118 +timestamp 1636915332 +transform 1 0 10856 0 1 2176 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81 timestamp 1636915332 transform 1 0 10764 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_2 _273_ -timestamp 1636915332 -transform 1 0 11408 0 1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__o21bai_1 _280_ +use sky130_fd_sc_hd__nand3_1 _310_ timestamp 1636915332 transform -1 0 11408 0 1 2176 -box -38 -48 590 592 -use sky130_fd_sc_hd__decap_12 FILLER_4_127 -timestamp 1636915332 -transform 1 0 11684 0 1 2176 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_4 FILLER_4_139 -timestamp 1636915332 -transform 1 0 12788 0 1 2176 box -38 -48 406 592 -use sky130_fd_sc_hd__decap_12 FILLER_4_144 +use sky130_fd_sc_hd__nand3_1 _315_ +timestamp 1636915332 +transform -1 0 11776 0 1 2176 +box -38 -48 406 592 +use sky130_fd_sc_hd__clkbuf_1 rebuffer9 +timestamp 1636915332 +transform 1 0 9568 0 1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__467__RESET_B timestamp 1636915332 transform 1 0 13248 0 1 2176 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_12 FILLER_4_131 +timestamp 1636915332 +transform 1 0 12052 0 1 2176 box -38 -48 1142 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82 timestamp 1636915332 transform 1 0 13156 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__386__A1 +use sky130_fd_sc_hd__inv_2 _308_ timestamp 1636915332 -transform 1 0 15364 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__430__RESET_B +transform -1 0 12052 0 1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtp_4 _467_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 15640 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_4_156 -timestamp 1636915332 -transform 1 0 14352 0 1 2176 -box -38 -48 222 592 +transform 1 0 13432 0 1 2176 +box -38 -48 2154 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83 timestamp 1636915332 transform 1 0 15548 0 1 2176 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _386_ +use sky130_fd_sc_hd__dfxtp_1 _439_ timestamp 1636915332 -transform 1 0 14536 0 1 2176 -box -38 -48 866 592 -use sky130_fd_sc_hd__dfrtp_4 _430_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 15824 0 1 2176 -box -38 -48 2154 592 -use sky130_fd_sc_hd__diode_2 ANTENNA_input7_A -timestamp 1636915332 -transform -1 0 18308 0 1 2176 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_4_196 -timestamp 1636915332 -transform 1 0 18032 0 1 2176 -box -38 -48 130 592 +transform 1 0 15640 0 1 2176 +box -38 -48 1510 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84 timestamp 1636915332 transform 1 0 17940 0 1 2176 box -38 -48 130 592 +use sky130_fd_sc_hd__or2b_1 _322_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 17112 0 1 2176 +box -38 -48 590 592 +use sky130_fd_sc_hd__nand3_1 _324_ +timestamp 1636915332 +transform -1 0 18400 0 1 2176 +box -38 -48 406 592 +use sky130_fd_sc_hd__clkbuf_1 input7 +timestamp 1636915332 +transform 1 0 17664 0 1 2176 +box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__322__A +timestamp 1636915332 +transform -1 0 18584 0 1 2176 +box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 PHY_9 timestamp 1636915332 transform -1 0 18860 0 1 2176 box -38 -48 314 592 -use sky130_fd_sc_hd__clkbuf_1 input7 -timestamp 1636915332 -transform 1 0 18308 0 1 2176 -box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__445__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__474__RESET_B timestamp 1636915332 transform 1 0 2116 0 -1 3264 box -38 -48 222 592 @@ -23074,7 +23763,7 @@ use sky130_fd_sc_hd__decap_3 PHY_10 timestamp 1636915332 transform 1 0 0 0 -1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtp_1 _445_ +use sky130_fd_sc_hd__dfrtn_1 _474_ timestamp 1636915332 transform 1 0 276 0 -1 3264 box -38 -48 1878 592 @@ -23082,54 +23771,46 @@ use sky130_fd_sc_hd__fill_1 FILLER_5_25 timestamp 1636915332 transform 1 0 2300 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_5_27 -timestamp 1636915332 -transform 1 0 2484 0 -1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_5_44 -timestamp 1636915332 -transform 1 0 4048 0 -1 3264 -box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85 timestamp 1636915332 transform 1 0 2392 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__xnor2_1 _363_ +use sky130_fd_sc_hd__mux2_1 _298_ timestamp 1636915332 -transform 1 0 2576 0 -1 3264 -box -38 -48 682 592 -use sky130_fd_sc_hd__mux2_1 _403_ -timestamp 1636915332 -transform 1 0 3220 0 -1 3264 +transform 1 0 2484 0 -1 3264 box -38 -48 866 592 -use sky130_fd_sc_hd__decap_4 FILLER_5_53 +use sky130_fd_sc_hd__o21a_1 _362_ timestamp 1636915332 -transform 1 0 4876 0 -1 3264 -box -38 -48 406 592 +transform 1 0 4140 0 -1 3264 +box -38 -48 590 592 +use sky130_fd_sc_hd__mux2_1 _412_ +timestamp 1636915332 +transform 1 0 3312 0 -1 3264 +box -38 -48 866 592 +use sky130_fd_sc_hd__fill_1 FILLER_5_51 +timestamp 1636915332 +transform 1 0 4692 0 -1 3264 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86 timestamp 1636915332 transform 1 0 4784 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _288_ +use sky130_fd_sc_hd__dfrtp_1 _477_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 5244 0 -1 3264 +transform 1 0 5152 0 -1 3264 +box -38 -48 1878 592 +use sky130_fd_sc_hd__clkbuf_1 rebuffer5 +timestamp 1636915332 +transform -1 0 5152 0 -1 3264 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_2 FILLER_5_76 +timestamp 1636915332 +transform 1 0 6992 0 -1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_5_83 +timestamp 1636915332 +transform 1 0 7636 0 -1 3264 box -38 -48 406 592 -use sky130_fd_sc_hd__a21bo_1 _289_ -timestamp 1636915332 -transform 1 0 5612 0 -1 3264 -box -38 -48 774 592 -use sky130_fd_sc_hd__mux2_1 _405_ -timestamp 1636915332 -transform 1 0 6348 0 -1 3264 -box -38 -48 866 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__404__A1 -timestamp 1636915332 -transform 1 0 8464 0 -1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_5_94 -timestamp 1636915332 -transform 1 0 8648 0 -1 3264 -box -38 -48 222 592 use sky130_fd_sc_hd__decap_4 FILLER_5_99 timestamp 1636915332 transform 1 0 9108 0 -1 3264 @@ -23138,90 +23819,94 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87 timestamp 1636915332 transform 1 0 7176 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _272_ +use sky130_fd_sc_hd__nand3b_1 _284_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 8832 0 -1 3264 -box -38 -48 314 592 -use sky130_fd_sc_hd__clkinv_2 _358_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform -1 0 9108 0 -1 3264 +box -38 -48 590 592 +use sky130_fd_sc_hd__o21bai_1 _289_ timestamp 1636915332 -transform -1 0 8464 0 -1 3264 +transform -1 0 8556 0 -1 3264 +box -38 -48 590 592 +use sky130_fd_sc_hd__nand3_1 _292_ +timestamp 1636915332 +transform -1 0 7636 0 -1 3264 box -38 -48 406 592 -use sky130_fd_sc_hd__mux2_1 _404_ -timestamp 1636915332 -transform 1 0 7268 0 -1 3264 -box -38 -48 866 592 use sky130_fd_sc_hd__fill_1 FILLER_5_103 timestamp 1636915332 transform 1 0 9476 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_5_112 +use sky130_fd_sc_hd__decap_12 FILLER_5_108 timestamp 1636915332 -transform 1 0 10304 0 -1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_5_119 +transform 1 0 9936 0 -1 3264 +box -38 -48 1142 592 +use sky130_fd_sc_hd__decap_8 FILLER_5_120 timestamp 1636915332 -transform 1 0 10948 0 -1 3264 -box -38 -48 222 592 +transform 1 0 11040 0 -1 3264 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88 timestamp 1636915332 transform 1 0 9568 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3b_1 _275_ +use sky130_fd_sc_hd__inv_2 _282_ timestamp 1636915332 -transform -1 0 10948 0 -1 3264 -box -38 -48 590 592 -use sky130_fd_sc_hd__clkinv_4 _345_ +transform -1 0 9936 0 -1 3264 +box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__471__SET_B timestamp 1636915332 -transform 1 0 9660 0 -1 3264 -box -38 -48 682 592 -use sky130_fd_sc_hd__o21bai_2 _351_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 11960 0 -1 3264 -box -38 -48 866 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__443__RESET_B -timestamp 1636915332 -transform 1 0 12052 0 -1 3264 +transform 1 0 11776 0 -1 3264 box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89 timestamp 1636915332 transform 1 0 11960 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_4 _443_ +use sky130_fd_sc_hd__dfstp_1 _471_ timestamp 1636915332 -transform 1 0 12236 0 -1 3264 -box -38 -48 2154 592 +transform 1 0 12052 0 -1 3264 +box -38 -48 1970 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90 timestamp 1636915332 transform 1 0 14352 0 -1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__or2b_1 _320_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__and2_1 _326_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 16468 0 -1 3264 -box -38 -48 590 592 -use sky130_fd_sc_hd__dfxtp_1 _414_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform -1 0 14904 0 -1 3264 +box -38 -48 498 592 +use sky130_fd_sc_hd__o21ai_1 _327_ timestamp 1636915332 -transform 1 0 14444 0 -1 3264 -box -38 -48 1510 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__414__D +transform 1 0 13984 0 -1 3264 +box -38 -48 406 592 +use sky130_fd_sc_hd__dfrtp_1 _451_ timestamp 1636915332 -transform 1 0 16468 0 -1 3264 +transform 1 0 14904 0 -1 3264 +box -38 -48 1878 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__323__B_N +timestamp 1636915332 +transform 1 0 17572 0 -1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_5_181 +use sky130_fd_sc_hd__diode_2 ANTENNA__439__D timestamp 1636915332 -transform 1 0 16652 0 -1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_12 FILLER_5_183 +transform 1 0 17756 0 -1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__454__RESET_B timestamp 1636915332 transform 1 0 16836 0 -1 3264 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_6 FILLER_5_195 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_input7_A timestamp 1636915332 -transform 1 0 17940 0 -1 3264 -box -38 -48 590 592 +transform -1 0 18124 0 -1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_5_197 +timestamp 1636915332 +transform 1 0 18124 0 -1 3264 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91 timestamp 1636915332 transform 1 0 16744 0 -1 3264 box -38 -48 130 592 +use sky130_fd_sc_hd__or2b_1 _323_ +timestamp 1636915332 +transform 1 0 17020 0 -1 3264 +box -38 -48 590 592 use sky130_fd_sc_hd__fill_1 FILLER_5_201 timestamp 1636915332 transform 1 0 18492 0 -1 3264 @@ -23230,342 +23915,338 @@ use sky130_fd_sc_hd__decap_3 PHY_11 timestamp 1636915332 transform -1 0 18860 0 -1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__clkbuf_1 _318_ +use sky130_fd_sc_hd__fill_2 FILLER_6_11 timestamp 1636915332 -transform 1 0 828 0 1 3264 +transform 1 0 1012 0 1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_8 FILLER_6_17 +timestamp 1636915332 +transform 1 0 1564 0 1 3264 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_8 FILLER_6_3 +timestamp 1636915332 +transform 1 0 276 0 1 3264 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_2 FILLER_7_3 +timestamp 1636915332 +transform 1 0 276 0 -1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 PHY_12 +timestamp 1636915332 +transform 1 0 0 0 1 3264 box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 PHY_14 timestamp 1636915332 transform 1 0 0 0 -1 4352 box -38 -48 314 592 -use sky130_fd_sc_hd__decap_3 PHY_12 -timestamp 1636915332 -transform 1 0 0 0 1 3264 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_6 FILLER_6_3 -timestamp 1636915332 -transform 1 0 276 0 1 3264 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_12 -timestamp 1636915332 -transform 1 0 1104 0 1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _317_ -timestamp 1636915332 -transform 1 0 1564 0 1 3264 -box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92 timestamp 1636915332 transform 1 0 1196 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_6_14 +use sky130_fd_sc_hd__clkbuf_1 _321_ timestamp 1636915332 transform 1 0 1288 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__444__SET_B +use sky130_fd_sc_hd__dfstp_2 _468_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 2208 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__dfstp_2 _444_ -timestamp 1636915332 -transform 1 0 276 0 -1 4352 +transform 1 0 460 0 -1 4352 box -38 -48 1970 592 -use sky130_fd_sc_hd__mux2_1 _400_ +use sky130_fd_sc_hd__mux2_1 _318_ timestamp 1636915332 -transform 1 0 3036 0 -1 4352 +transform 1 0 2576 0 -1 4352 box -38 -48 866 592 -use sky130_fd_sc_hd__nand2_1 _364_ +use sky130_fd_sc_hd__nor2_1 _306_ timestamp 1636915332 -transform -1 0 3312 0 1 3264 +transform -1 0 3404 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__nand3b_1 _338_ -timestamp 1636915332 -transform 1 0 2484 0 -1 4352 -box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_100 timestamp 1636915332 transform 1 0 2392 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_32 +use sky130_fd_sc_hd__fill_1 FILLER_7_27 timestamp 1636915332 -transform 1 0 2944 0 1 3264 +transform 1 0 2484 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_6_28 +use sky130_fd_sc_hd__decap_6 FILLER_6_28 timestamp 1636915332 transform 1 0 2576 0 1 3264 -box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__338__A_N +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_6_25 timestamp 1636915332 -transform 1 0 3312 0 1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__317__S +transform 1 0 2300 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__468__SET_B timestamp 1636915332 transform 1 0 2392 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__clkinv_2 _362_ +use sky130_fd_sc_hd__mux2_1 _425_ timestamp 1636915332 -transform 1 0 3864 0 -1 4352 -box -38 -48 406 592 +transform 1 0 3680 0 1 3264 +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _320_ +timestamp 1636915332 +transform 1 0 3404 0 -1 4352 +box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93 timestamp 1636915332 transform 1 0 3588 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_7_46 +use sky130_fd_sc_hd__fill_2 FILLER_6_37 timestamp 1636915332 -transform 1 0 4232 0 -1 4352 +transform 1 0 3404 0 1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__clkinv_2 _373_ +timestamp 1636915332 +transform -1 0 4600 0 -1 4352 box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_38 +use sky130_fd_sc_hd__diode_2 ANTENNA__425__A1 timestamp 1636915332 -transform 1 0 3496 0 1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_12 FILLER_6_40 +transform 1 0 4508 0 1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 timestamp 1636915332 -transform 1 0 3680 0 1 3264 -box -38 -48 1142 592 -use sky130_fd_sc_hd__nand3_1 _286_ +transform 1 0 5244 0 1 3264 +box -38 -48 682 592 +use sky130_fd_sc_hd__clkbuf_1 rebuffer11 timestamp 1636915332 -transform 1 0 5612 0 1 3264 -box -38 -48 406 592 +transform 1 0 4692 0 1 3264 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_101 timestamp 1636915332 transform 1 0 4784 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_60 +use sky130_fd_sc_hd__decap_8 FILLER_7_53 timestamp 1636915332 -transform 1 0 5520 0 1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_6_52 -timestamp 1636915332 -transform 1 0 4784 0 1 3264 +transform 1 0 4876 0 -1 4352 box -38 -48 774 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__452__SET_B +use sky130_fd_sc_hd__fill_2 FILLER_7_50 timestamp 1636915332 transform 1 0 4600 0 -1 4352 box -38 -48 222 592 -use sky130_fd_sc_hd__mux2_1 _402_ +use sky130_fd_sc_hd__fill_1 FILLER_6_56 timestamp 1636915332 -transform 1 0 6256 0 1 3264 +transform 1 0 5152 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__477__RESET_B +timestamp 1636915332 +transform 1 0 4968 0 1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__mux2_1 _424_ +timestamp 1636915332 +transform 1 0 6348 0 1 3264 box -38 -48 866 592 +use sky130_fd_sc_hd__nor3_1 _316_ +timestamp 1636915332 +transform 1 0 5612 0 -1 4352 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94 timestamp 1636915332 transform 1 0 5980 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_7_74 +use sky130_fd_sc_hd__decap_8 FILLER_7_67 timestamp 1636915332 -transform 1 0 6808 0 -1 4352 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_2 FILLER_6_66 +transform 1 0 6164 0 -1 4352 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_3 FILLER_6_66 timestamp 1636915332 transform 1 0 6072 0 1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__dfstp_1 _452_ +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_6_64 timestamp 1636915332 -transform 1 0 4876 0 -1 4352 -box -38 -48 1970 592 -use sky130_fd_sc_hd__mux2_1 _401_ +transform 1 0 5888 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__470__RESET_B +timestamp 1636915332 +transform 1 0 5980 0 -1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__nor2_1 _340_ timestamp 1636915332 transform 1 0 7268 0 -1 4352 -box -38 -48 866 592 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_102 timestamp 1636915332 transform 1 0 7176 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_6_80 +use sky130_fd_sc_hd__decap_8 FILLER_7_82 timestamp 1636915332 -transform 1 0 7360 0 1 3264 +transform 1 0 7544 0 -1 4352 box -38 -48 774 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_77 +use sky130_fd_sc_hd__decap_3 FILLER_7_75 timestamp 1636915332 -transform 1 0 7084 0 1 3264 +transform 1 0 6900 0 -1 4352 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_6_84 +timestamp 1636915332 +transform 1 0 7728 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__405__A1 +use sky130_fd_sc_hd__decap_6 FILLER_6_78 timestamp 1636915332 transform 1 0 7176 0 1 3264 +box -38 -48 590 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__478__SET_B +timestamp 1636915332 +transform 1 0 7820 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__nor2_1 _360_ +use sky130_fd_sc_hd__clkinv_4 _341_ timestamp 1636915332 -transform -1 0 8740 0 -1 4352 -box -38 -48 314 592 -use sky130_fd_sc_hd__a21bo_1 _285_ +transform -1 0 9016 0 -1 4352 +box -38 -48 682 592 +use sky130_fd_sc_hd__nand3_1 _290_ timestamp 1636915332 -transform -1 0 9200 0 1 3264 -box -38 -48 774 592 +transform 1 0 8004 0 1 3264 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 timestamp 1636915332 transform 1 0 8372 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_7_88 +use sky130_fd_sc_hd__decap_6 FILLER_7_98 timestamp 1636915332 -transform 1 0 8096 0 -1 4352 +transform 1 0 9016 0 -1 4352 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_7_90 +timestamp 1636915332 +transform 1 0 8280 0 -1 4352 +box -38 -48 130 592 +use sky130_fd_sc_hd__dfstp_1 _478_ +timestamp 1636915332 +transform 1 0 8464 0 1 3264 +box -38 -48 1970 592 +use sky130_fd_sc_hd__decap_4 FILLER_6_113 +timestamp 1636915332 +transform 1 0 10396 0 1 3264 box -38 -48 406 592 -use sky130_fd_sc_hd__decap_3 FILLER_6_88 -timestamp 1636915332 -transform 1 0 8096 0 1 3264 -box -38 -48 314 592 -use sky130_fd_sc_hd__mux2_1 _399_ -timestamp 1636915332 -transform 1 0 8740 0 -1 4352 -box -38 -48 866 592 -use sky130_fd_sc_hd__mux2_1 _398_ +use sky130_fd_sc_hd__decap_8 FILLER_7_105 timestamp 1636915332 transform 1 0 9660 0 -1 4352 -box -38 -48 866 592 -use sky130_fd_sc_hd__nand3_1 _284_ -timestamp 1636915332 -transform -1 0 9568 0 1 3264 -box -38 -48 406 592 -use sky130_fd_sc_hd__nor2_1 _271_ -timestamp 1636915332 -transform 1 0 9568 0 1 3264 -box -38 -48 314 592 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_103 timestamp 1636915332 transform 1 0 9568 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_6_107 -timestamp 1636915332 -transform 1 0 9844 0 1 3264 -box -38 -48 406 592 -use sky130_fd_sc_hd__and2b_2 _350_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 10856 0 1 3264 -box -38 -48 682 592 -use sky130_fd_sc_hd__nand3_1 _281_ -timestamp 1636915332 -transform -1 0 10580 0 1 3264 -box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_96 timestamp 1636915332 transform 1 0 10764 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_7_114 +use sky130_fd_sc_hd__xnor2_1 _354_ timestamp 1636915332 -transform 1 0 10488 0 -1 4352 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_2 FILLER_6_115 +transform 1 0 10856 0 1 3264 +box -38 -48 682 592 +use sky130_fd_sc_hd__o2bb2ai_2 _355_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 10580 0 1 3264 -box -38 -48 222 592 -use sky130_fd_sc_hd__nor2_1 _348_ +transform 1 0 10396 0 -1 4352 +box -38 -48 1142 592 +use sky130_fd_sc_hd__inv_4 _305__5 timestamp 1636915332 -transform 1 0 11132 0 -1 4352 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_6 FILLER_7_124 -timestamp 1636915332 -transform 1 0 11408 0 -1 4352 -box -38 -48 590 592 -use sky130_fd_sc_hd__fill_1 FILLER_7_120 -timestamp 1636915332 -transform 1 0 11040 0 -1 4352 -box -38 -48 130 592 +transform -1 0 12604 0 1 3264 +box -38 -48 498 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_104 timestamp 1636915332 transform 1 0 11960 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_7_131 +use sky130_fd_sc_hd__fill_2 FILLER_7_131 timestamp 1636915332 transform 1 0 12052 0 -1 4352 -box -38 -48 590 592 -use sky130_fd_sc_hd__decap_6 FILLER_6_127 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_7_129 timestamp 1636915332 -transform 1 0 11684 0 1 3264 -box -38 -48 590 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__350__A_N +transform 1 0 11868 0 -1 4352 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_4 FILLER_7_125 +timestamp 1636915332 +transform 1 0 11500 0 -1 4352 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_6_131 +timestamp 1636915332 +transform 1 0 12052 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_6 FILLER_6_125 timestamp 1636915332 transform 1 0 11500 0 1 3264 +box -38 -48 590 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__453__RESET_B +timestamp 1636915332 +transform 1 0 12236 0 -1 4352 box -38 -48 222 592 -use sky130_fd_sc_hd__o21a_1 _325_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__nor2_1 _325_ timestamp 1636915332 -transform 1 0 12604 0 1 3264 -box -38 -48 590 592 -use sky130_fd_sc_hd__o21ai_1 _324_ -timestamp 1636915332 -transform -1 0 12604 0 1 3264 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_7_137 -timestamp 1636915332 -transform 1 0 12604 0 -1 4352 -box -38 -48 130 592 -use sky130_fd_sc_hd__and2_1 _323_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 13156 0 -1 4352 -box -38 -48 498 592 -use sky130_fd_sc_hd__nor2_1 _322_ -timestamp 1636915332 -transform 1 0 13156 0 -1 4352 +transform -1 0 13156 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__o21a_1 _274_ -timestamp 1636915332 -transform 1 0 13248 0 1 3264 -box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_97 timestamp 1636915332 transform 1 0 13156 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_7_148 +use sky130_fd_sc_hd__decap_3 FILLER_6_137 timestamp 1636915332 -transform 1 0 13616 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__470__RESET_B +transform 1 0 12604 0 1 3264 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtp_1 _453_ timestamp 1636915332 -transform 1 0 13432 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__or2b_1 _319_ +transform -1 0 14260 0 -1 4352 +box -38 -48 1878 592 +use sky130_fd_sc_hd__dfxtp_1 _440_ timestamp 1636915332 -transform 1 0 13800 0 -1 4352 +transform 1 0 13248 0 1 3264 +box -38 -48 1510 592 +use sky130_fd_sc_hd__buf_2 split8 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 14444 0 -1 4352 +box -38 -48 406 592 +use sky130_fd_sc_hd__o21a_1 _283_ +timestamp 1636915332 +transform -1 0 15272 0 1 3264 box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_105 timestamp 1636915332 transform 1 0 14352 0 -1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__428__SET_B +use sky130_fd_sc_hd__fill_1 FILLER_7_155 timestamp 1636915332 -transform 1 0 14628 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__274__B1 -timestamp 1636915332 -transform 1 0 14444 0 -1 4352 -box -38 -48 222 592 +transform 1 0 14260 0 -1 4352 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_98 timestamp 1636915332 transform 1 0 15548 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_170 +use sky130_fd_sc_hd__fill_1 FILLER_6_168 +timestamp 1636915332 +transform 1 0 15456 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__451__RESET_B timestamp 1636915332 transform 1 0 15640 0 1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_6_166 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__283__A2 timestamp 1636915332 transform 1 0 15272 0 1 3264 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__431__SET_B -timestamp 1636915332 -transform 1 0 15364 0 1 3264 box -38 -48 222 592 -use sky130_fd_sc_hd__dfstp_4 _431_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__dfrtp_4 _454_ timestamp 1636915332 -transform 1 0 15732 0 1 3264 -box -38 -48 2246 592 -use sky130_fd_sc_hd__dfstp_1 _428_ +transform 1 0 15824 0 1 3264 +box -38 -48 2154 592 +use sky130_fd_sc_hd__dfstp_1 _452_ timestamp 1636915332 transform 1 0 14812 0 -1 4352 box -38 -48 1970 592 -use sky130_fd_sc_hd__dfxtp_1 _415_ -timestamp 1636915332 -transform 1 0 13800 0 1 3264 -box -38 -48 1510 592 -use sky130_fd_sc_hd__diode_2 ANTENNA_input8_A -timestamp 1636915332 -transform -1 0 18308 0 -1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_7_183 +use sky130_fd_sc_hd__diode_2 ANTENNA__452__SET_B timestamp 1636915332 transform 1 0 16836 0 -1 4352 -box -38 -48 1142 592 -use sky130_fd_sc_hd__fill_2 FILLER_7_195 -timestamp 1636915332 -transform 1 0 17940 0 -1 4352 box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__455__SET_B +timestamp 1636915332 +transform 1 0 17020 0 -1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_input8_A +timestamp 1636915332 +transform -1 0 18308 0 1 3264 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_6_196 +timestamp 1636915332 +transform 1 0 18032 0 1 3264 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_6 FILLER_7_187 +timestamp 1636915332 +transform 1 0 17204 0 -1 4352 +box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_106 timestamp 1636915332 transform 1 0 16744 0 -1 4352 @@ -23574,13 +24255,13 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_99 timestamp 1636915332 transform 1 0 17940 0 1 3264 box -38 -48 130 592 -use sky130_fd_sc_hd__clkbuf_2 split4 +use sky130_fd_sc_hd__clkinv_4 _392_ timestamp 1636915332 -transform -1 0 18400 0 1 3264 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_2 FILLER_6_200 +transform 1 0 17756 0 -1 4352 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_2 FILLER_7_200 timestamp 1636915332 -transform 1 0 18400 0 1 3264 +transform 1 0 18400 0 -1 4352 box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 PHY_13 timestamp 1636915332 @@ -23592,20 +24273,16 @@ transform -1 0 18860 0 -1 4352 box -38 -48 314 592 use sky130_fd_sc_hd__clkbuf_1 input8 timestamp 1636915332 -transform 1 0 18308 0 -1 4352 +transform 1 0 18308 0 1 3264 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_8_11 +use sky130_fd_sc_hd__fill_1 FILLER_8_12 timestamp 1636915332 -transform 1 0 1012 0 1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_8_14 -timestamp 1636915332 -transform 1 0 1288 0 1 4352 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_8 FILLER_8_3 +transform 1 0 1104 0 1 4352 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_6 FILLER_8_3 timestamp 1636915332 transform 1 0 276 0 1 4352 -box -38 -48 774 592 +box -38 -48 590 592 use sky130_fd_sc_hd__decap_3 PHY_16 timestamp 1636915332 transform 1 0 0 0 1 4352 @@ -23614,326 +24291,306 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_107 timestamp 1636915332 transform 1 0 1196 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_8_26 +use sky130_fd_sc_hd__clkbuf_1 _319_ timestamp 1636915332 -transform 1 0 2392 0 1 4352 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_30 +transform -1 0 1104 0 1 4352 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtp_2 _469_ timestamp 1636915332 -transform 1 0 2760 0 1 4352 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_38 +transform 1 0 1288 0 1 4352 +box -38 -48 1970 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__469__RESET_B timestamp 1636915332 -transform 1 0 3496 0 1 4352 -box -38 -48 130 592 +transform 1 0 3220 0 1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_2 FILLER_8_37 +timestamp 1636915332 +transform 1 0 3404 0 1 4352 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_108 timestamp 1636915332 transform 1 0 3588 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__xnor2_1 _339_ +use sky130_fd_sc_hd__nor3b_1 _351_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 2852 0 1 4352 -box -38 -48 682 592 -use sky130_fd_sc_hd__dfstp_1 _439_ +transform 1 0 4508 0 1 4352 +box -38 -48 590 592 +use sky130_fd_sc_hd__mux2_1 _426_ timestamp 1636915332 transform 1 0 3680 0 1 4352 -box -38 -48 1970 592 -use sky130_fd_sc_hd__decap_4 FILLER_8_61 +box -38 -48 866 592 +use sky130_fd_sc_hd__decap_3 FILLER_8_55 timestamp 1636915332 -transform 1 0 5612 0 1 4352 -box -38 -48 406 592 +transform 1 0 5060 0 1 4352 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_109 timestamp 1636915332 transform 1 0 5980 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__a21bo_1 _287_ +use sky130_fd_sc_hd__xor2_1 _317_ timestamp 1636915332 -transform -1 0 6808 0 1 4352 -box -38 -48 774 592 -use sky130_fd_sc_hd__xnor2_1 _359_ -timestamp 1636915332 -transform 1 0 6808 0 1 4352 +transform 1 0 5336 0 1 4352 box -38 -48 682 592 -use sky130_fd_sc_hd__decap_8 FILLER_8_81 +use sky130_fd_sc_hd__dfrtp_1 _470_ timestamp 1636915332 -transform 1 0 7452 0 1 4352 -box -38 -48 774 592 -use sky130_fd_sc_hd__fill_2 FILLER_8_89 +transform 1 0 6072 0 1 4352 +box -38 -48 1878 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__463__SET_B timestamp 1636915332 -transform 1 0 8188 0 1 4352 +transform 1 0 8648 0 1 4352 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_8_92 +use sky130_fd_sc_hd__fill_1 FILLER_8_90 +timestamp 1636915332 +transform 1 0 8280 0 1 4352 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_2 FILLER_8_92 timestamp 1636915332 transform 1 0 8464 0 1 4352 -box -38 -48 406 592 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_110 timestamp 1636915332 transform 1 0 8372 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__xor2_1 _361_ +use sky130_fd_sc_hd__nand3_1 _342_ +timestamp 1636915332 +transform 1 0 7912 0 1 4352 +box -38 -48 406 592 +use sky130_fd_sc_hd__dfstp_1 _463_ timestamp 1636915332 transform 1 0 8832 0 1 4352 -box -38 -48 682 592 -use sky130_fd_sc_hd__decap_12 FILLER_8_103 -timestamp 1636915332 -transform 1 0 9476 0 1 4352 -box -38 -48 1142 592 -use sky130_fd_sc_hd__fill_2 FILLER_8_115 -timestamp 1636915332 -transform 1 0 10580 0 1 4352 -box -38 -48 222 592 +box -38 -48 1970 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_111 timestamp 1636915332 transform 1 0 10764 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _411_ +use sky130_fd_sc_hd__mux2_1 _435_ timestamp 1636915332 transform 1 0 10856 0 1 4352 box -38 -48 866 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__448__RESET_B +timestamp 1636915332 +transform 1 0 11868 0 1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__456__RESET_B +timestamp 1636915332 +transform 1 0 13248 0 1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_2 FILLER_8_127 +timestamp 1636915332 +transform 1 0 11684 0 1 4352 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_12 FILLER_8_131 +timestamp 1636915332 +transform 1 0 12052 0 1 4352 +box -38 -48 1142 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_112 timestamp 1636915332 transform 1 0 13156 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__dfxtp_1 _416_ +use sky130_fd_sc_hd__dfrtp_4 _456_ timestamp 1636915332 -transform 1 0 11684 0 1 4352 -box -38 -48 1510 592 -use sky130_fd_sc_hd__dfrtp_1 _470_ -timestamp 1636915332 -transform -1 0 15088 0 1 4352 -box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__432__RESET_B +transform 1 0 13432 0 1 4352 +box -38 -48 2154 592 +use sky130_fd_sc_hd__fill_1 FILLER_8_170 timestamp 1636915332 transform 1 0 15640 0 1 4352 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_8_168 -timestamp 1636915332 -transform 1 0 15456 0 1 4352 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_113 timestamp 1636915332 transform 1 0 15548 0 1 4352 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _321_ +use sky130_fd_sc_hd__dfstp_4 _455_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 15456 0 1 4352 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfrtp_4 _432_ -timestamp 1636915332 -transform 1 0 15824 0 1 4352 -box -38 -48 2154 592 -use sky130_fd_sc_hd__decap_6 FILLER_8_196 -timestamp 1636915332 -transform 1 0 18032 0 1 4352 -box -38 -48 590 592 +transform 1 0 15732 0 1 4352 +box -38 -48 2246 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_114 timestamp 1636915332 transform 1 0 17940 0 1 4352 box -38 -48 130 592 +use sky130_fd_sc_hd__clkbuf_4 split4 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform 1 0 18032 0 1 4352 +box -38 -48 590 592 use sky130_fd_sc_hd__decap_3 PHY_17 timestamp 1636915332 transform -1 0 18860 0 1 4352 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_9_3 +use sky130_fd_sc_hd__decap_4 FILLER_9_14 +timestamp 1636915332 +transform 1 0 1288 0 -1 5440 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_9_18 +timestamp 1636915332 +transform 1 0 1656 0 -1 5440 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_8 FILLER_9_3 timestamp 1636915332 transform 1 0 276 0 -1 5440 -box -38 -48 222 592 +box -38 -48 774 592 use sky130_fd_sc_hd__decap_3 PHY_18 timestamp 1636915332 transform 1 0 0 0 -1 5440 box -38 -48 314 592 -use sky130_fd_sc_hd__dfstp_1 _461_ +use sky130_fd_sc_hd__clkbuf_1 _249_ timestamp 1636915332 -transform 1 0 460 0 -1 5440 -box -38 -48 1970 592 -use sky130_fd_sc_hd__inv_2 _240_ -timestamp 1636915332 -transform 1 0 2760 0 -1 5440 +transform -1 0 1288 0 -1 5440 box -38 -48 314 592 +use sky130_fd_sc_hd__xnor2_1 _377_ +timestamp 1636915332 +transform 1 0 1748 0 -1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__decap_6 FILLER_9_43 +timestamp 1636915332 +transform 1 0 3956 0 -1 5440 +box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_115 timestamp 1636915332 transform 1 0 2392 0 -1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_9_33 -timestamp 1636915332 -transform 1 0 3036 0 -1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_9_29 -timestamp 1636915332 -transform 1 0 2668 0 -1 5440 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__461__SET_B +use sky130_fd_sc_hd__mux2_1 _248_ timestamp 1636915332 transform 1 0 2484 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_9_40 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_1 _251_ timestamp 1636915332 -transform 1 0 3680 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_9_37 -timestamp 1636915332 -transform 1 0 3404 0 -1 5440 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__463__RESET_B -timestamp 1636915332 -transform 1 0 3864 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__439__SET_B -timestamp 1636915332 -transform 1 0 3496 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_9_44 -timestamp 1636915332 -transform 1 0 4048 0 -1 5440 -box -38 -48 774 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__464__SET_B -timestamp 1636915332 -transform 1 0 5888 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_9_53 -timestamp 1636915332 -transform 1 0 4876 0 -1 5440 -box -38 -48 774 592 -use sky130_fd_sc_hd__decap_3 FILLER_9_61 -timestamp 1636915332 -transform 1 0 5612 0 -1 5440 +transform 1 0 4508 0 -1 5440 box -38 -48 314 592 -use sky130_fd_sc_hd__decap_4 FILLER_9_66 +use sky130_fd_sc_hd__xnor2_1 _374_ timestamp 1636915332 -transform 1 0 6072 0 -1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_4 FILLER_9_74 +transform 1 0 3312 0 -1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_2 FILLER_9_62 timestamp 1636915332 -transform 1 0 6808 0 -1 5440 +transform 1 0 5704 0 -1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_9_68 +timestamp 1636915332 +transform 1 0 6256 0 -1 5440 box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_9_72 +timestamp 1636915332 +transform 1 0 6624 0 -1 5440 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_116 timestamp 1636915332 transform 1 0 4784 0 -1 5440 box -38 -48 130 592 +use sky130_fd_sc_hd__mux2_1 _250_ +timestamp 1636915332 +transform 1 0 4876 0 -1 5440 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkinv_2 _375_ +timestamp 1636915332 +transform 1 0 5888 0 -1 5440 +box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_pll_clk timestamp 1636915332 -transform -1 0 6808 0 -1 5440 +transform -1 0 7084 0 -1 5440 box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__453__RESET_B +use sky130_fd_sc_hd__fill_1 FILLER_9_77 timestamp 1636915332 -transform 1 0 7360 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_9_79 +transform 1 0 7084 0 -1 5440 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_8 FILLER_9_79 timestamp 1636915332 transform 1 0 7268 0 -1 5440 -box -38 -48 130 592 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_3 FILLER_9_87 +timestamp 1636915332 +transform 1 0 8004 0 -1 5440 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_2 FILLER_9_97 +timestamp 1636915332 +transform 1 0 8924 0 -1 5440 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_117 timestamp 1636915332 transform 1 0 7176 0 -1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _453_ +use sky130_fd_sc_hd__nand3_1 _222_ timestamp 1636915332 -transform 1 0 7544 0 -1 5440 -box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_pll_clk_A -timestamp 1636915332 -transform -1 0 9844 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_9_102 -timestamp 1636915332 -transform 1 0 9384 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_9_107 -timestamp 1636915332 -transform 1 0 9844 0 -1 5440 +transform -1 0 9476 0 -1 5440 box -38 -48 406 592 -use sky130_fd_sc_hd__decap_8 FILLER_9_118 +use sky130_fd_sc_hd__xnor2_1 _343_ timestamp 1636915332 -transform 1 0 10856 0 -1 5440 -box -38 -48 774 592 +transform 1 0 8280 0 -1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_1 FILLER_9_103 +timestamp 1636915332 +transform 1 0 9476 0 -1 5440 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_118 timestamp 1636915332 transform 1 0 9568 0 -1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__nor2_1 _370_ +use sky130_fd_sc_hd__dfxtp_1 _441_ timestamp 1636915332 -transform -1 0 10856 0 -1 5440 -box -38 -48 314 592 +transform -1 0 11132 0 -1 5440 +box -38 -48 1510 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_pll_clk timestamp 1636915332 -transform 1 0 10212 0 -1 5440 +transform 1 0 11132 0 -1 5440 box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__424__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__349__B timestamp 1636915332 transform 1 0 11776 0 -1 5440 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_9_126 -timestamp 1636915332 -transform 1 0 11592 0 -1 5440 -box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_119 timestamp 1636915332 transform 1 0 11960 0 -1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _424_ +use sky130_fd_sc_hd__nor2_1 _349_ +timestamp 1636915332 +transform 1 0 11500 0 -1 5440 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtp_1 _448_ timestamp 1636915332 transform -1 0 13892 0 -1 5440 box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__429__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__492__SET_B timestamp 1636915332 -transform 1 0 14720 0 -1 5440 +transform 1 0 14168 0 -1 5440 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_9_151 +use sky130_fd_sc_hd__decap_3 FILLER_9_151 timestamp 1636915332 transform 1 0 13892 0 -1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_9_155 -timestamp 1636915332 -transform 1 0 14260 0 -1 5440 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_9_157 -timestamp 1636915332 -transform 1 0 14444 0 -1 5440 box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_120 timestamp 1636915332 transform 1 0 14352 0 -1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _429_ +use sky130_fd_sc_hd__dfstp_1 _492_ timestamp 1636915332 -transform 1 0 14904 0 -1 5440 -box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA_input9_A +transform 1 0 14444 0 -1 5440 +box -38 -48 1970 592 +use sky130_fd_sc_hd__decap_12 FILLER_9_190 timestamp 1636915332 -transform -1 0 18308 0 -1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_9_183 -timestamp 1636915332 -transform 1 0 16836 0 -1 5440 +transform 1 0 17480 0 -1 5440 box -38 -48 1142 592 -use sky130_fd_sc_hd__fill_2 FILLER_9_195 -timestamp 1636915332 -transform 1 0 17940 0 -1 5440 -box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_121 timestamp 1636915332 transform 1 0 16744 0 -1 5440 box -38 -48 130 592 +use sky130_fd_sc_hd__xor2_1 _395_ +timestamp 1636915332 +transform -1 0 17480 0 -1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__clkbuf_2 split15 +timestamp 1636915332 +transform -1 0 16744 0 -1 5440 +box -38 -48 406 592 use sky130_fd_sc_hd__decap_3 PHY_19 timestamp 1636915332 transform -1 0 18860 0 -1 5440 box -38 -48 314 592 -use sky130_fd_sc_hd__clkbuf_1 input9 -timestamp 1636915332 -transform 1 0 18308 0 -1 5440 -box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_10_11 -timestamp 1636915332 -transform 1 0 1012 0 1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_10_14 -timestamp 1636915332 -transform 1 0 1288 0 1 5440 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_3 FILLER_10_3 +use sky130_fd_sc_hd__decap_4 FILLER_10_3 timestamp 1636915332 transform 1 0 276 0 1 5440 -box -38 -48 314 592 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_7 +timestamp 1636915332 +transform 1 0 644 0 1 5440 +box -38 -48 130 592 use sky130_fd_sc_hd__decap_3 PHY_20 timestamp 1636915332 transform 1 0 0 0 1 5440 @@ -23942,131 +24599,163 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_122 timestamp 1636915332 transform 1 0 1196 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _239__1 +use sky130_fd_sc_hd__inv_4 _247__1 timestamp 1636915332 -transform -1 0 1012 0 1 5440 +transform 1 0 736 0 1 5440 box -38 -48 498 592 -use sky130_fd_sc_hd__fill_1 FILLER_10_32 +use sky130_fd_sc_hd__dfstp_1 _485_ timestamp 1636915332 -transform 1 0 2944 0 1 5440 -box -38 -48 130 592 +transform 1 0 1288 0 1 5440 +box -38 -48 1970 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__484__RESET_B +timestamp 1636915332 +transform 1 0 3956 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__485__SET_B +timestamp 1636915332 +transform 1 0 3220 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_2 FILLER_10_37 +timestamp 1636915332 +transform 1 0 3404 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_10_40 +timestamp 1636915332 +transform 1 0 3680 0 1 5440 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_123 timestamp 1636915332 transform 1 0 3588 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__and2b_1 _241_ +use sky130_fd_sc_hd__dfrtn_1 _484_ timestamp 1636915332 -transform 1 0 3036 0 1 5440 -box -38 -48 590 592 -use sky130_fd_sc_hd__o21ai_1 _242_ +transform 1 0 4140 0 1 5440 +box -38 -48 1878 592 +use sky130_fd_sc_hd__fill_2 FILLER_10_73 timestamp 1636915332 -transform -1 0 4048 0 1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__a21o_1 _243_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform 1 0 2392 0 1 5440 -box -38 -48 590 592 -use sky130_fd_sc_hd__dfrtp_2 _463_ -timestamp 1636915332 -transform 1 0 4048 0 1 5440 -box -38 -48 1970 592 +transform 1 0 6716 0 1 5440 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_124 timestamp 1636915332 transform 1 0 5980 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _464_ +use sky130_fd_sc_hd__xnor2_1 _376_ timestamp 1636915332 transform 1 0 6072 0 1 5440 -box -38 -48 1970 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__465__RESET_B -timestamp 1636915332 -transform 1 0 8740 0 1 5440 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_10_92 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_2 FILLER_10_92 timestamp 1636915332 transform 1 0 8464 0 1 5440 -box -38 -48 314 592 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_125 timestamp 1636915332 transform 1 0 8372 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_2 _368_ +use sky130_fd_sc_hd__dfxtp_1 _442_ timestamp 1636915332 -transform 1 0 8004 0 1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfrtp_1 _465_ +transform 1 0 6900 0 1 5440 +box -38 -48 1510 592 +use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_pll_clk OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform -1 0 10764 0 1 5440 +transform -1 0 10488 0 1 5440 box -38 -48 1878 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__493__RESET_B +timestamp 1636915332 +transform 1 0 10948 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_pll_clk_A +timestamp 1636915332 +transform 1 0 10488 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_116 +timestamp 1636915332 +transform 1 0 10672 0 1 5440 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_118 +timestamp 1636915332 +transform 1 0 10856 0 1 5440 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_126 timestamp 1636915332 transform 1 0 10764 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__xor2_1 _371_ +use sky130_fd_sc_hd__dfrtp_1 _493_ timestamp 1636915332 -transform 1 0 10856 0 1 5440 -box -38 -48 682 592 -use sky130_fd_sc_hd__decap_12 FILLER_10_125 +transform 1 0 11132 0 1 5440 +box -38 -48 1878 592 +use sky130_fd_sc_hd__fill_2 FILLER_10_141 timestamp 1636915332 -transform 1 0 11500 0 1 5440 -box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_6 FILLER_10_137 -timestamp 1636915332 -transform 1 0 12604 0 1 5440 -box -38 -48 590 592 -use sky130_fd_sc_hd__decap_8 FILLER_10_144 -timestamp 1636915332 -transform 1 0 13248 0 1 5440 -box -38 -48 774 592 +transform 1 0 12972 0 1 5440 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_127 timestamp 1636915332 transform 1 0 13156 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__468__SET_B +use sky130_fd_sc_hd__xnor2_1 _391_ timestamp 1636915332 -transform 1 0 15824 0 1 5440 +transform 1 0 13248 0 1 5440 +box -38 -48 682 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__457__RESET_B +timestamp 1636915332 +transform 1 0 15364 0 1 5440 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_10_152 +use sky130_fd_sc_hd__diode_2 ANTENNA__494__RESET_B timestamp 1636915332 transform 1 0 13984 0 1 5440 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_10_161 +use sky130_fd_sc_hd__fill_1 FILLER_10_151 timestamp 1636915332 -transform 1 0 14812 0 1 5440 -box -38 -48 774 592 -use sky130_fd_sc_hd__fill_2 FILLER_10_170 +transform 1 0 13892 0 1 5440 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_166 +timestamp 1636915332 +transform 1 0 15272 0 1 5440 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_170 timestamp 1636915332 transform 1 0 15640 0 1 5440 -box -38 -48 222 592 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_128 timestamp 1636915332 transform 1 0 15548 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__xor2_1 _377_ +use sky130_fd_sc_hd__mux2_1 _218_ timestamp 1636915332 -transform 1 0 14168 0 1 5440 -box -38 -48 682 592 +transform -1 0 14996 0 1 5440 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_1 _219_ +timestamp 1636915332 +transform 1 0 14996 0 1 5440 +box -38 -48 314 592 +use sky130_fd_sc_hd__nor3_1 _394_ +timestamp 1636915332 +transform -1 0 16100 0 1 5440 +box -38 -48 406 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_input9_A +timestamp 1636915332 +transform -1 0 18308 0 1 5440 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_10_196 +timestamp 1636915332 +transform 1 0 18032 0 1 5440 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_129 timestamp 1636915332 transform 1 0 17940 0 1 5440 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_2 _372_ +use sky130_fd_sc_hd__dfrtp_1 _457_ timestamp 1636915332 -transform 1 0 18032 0 1 5440 -box -38 -48 406 592 -use sky130_fd_sc_hd__dfstp_1 _468_ -timestamp 1636915332 -transform 1 0 16008 0 1 5440 -box -38 -48 1970 592 -use sky130_fd_sc_hd__fill_2 FILLER_10_200 -timestamp 1636915332 -transform 1 0 18400 0 1 5440 -box -38 -48 222 592 +transform -1 0 17940 0 1 5440 +box -38 -48 1878 592 use sky130_fd_sc_hd__decap_3 PHY_21 timestamp 1636915332 transform -1 0 18860 0 1 5440 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__460__RESET_B +use sky130_fd_sc_hd__clkbuf_1 input9 +timestamp 1636915332 +transform 1 0 18308 0 1 5440 +box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__486__RESET_B timestamp 1636915332 transform 1 0 2116 0 -1 6528 box -38 -48 222 592 @@ -24074,7 +24763,7 @@ use sky130_fd_sc_hd__decap_3 PHY_22 timestamp 1636915332 transform 1 0 0 0 -1 6528 box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtn_1 _460_ +use sky130_fd_sc_hd__dfrtn_1 _486_ timestamp 1636915332 transform 1 0 276 0 -1 6528 box -38 -48 1878 592 @@ -24082,146 +24771,154 @@ use sky130_fd_sc_hd__fill_1 FILLER_11_25 timestamp 1636915332 transform 1 0 2300 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_11_27 +use sky130_fd_sc_hd__decap_3 FILLER_11_30 timestamp 1636915332 -transform 1 0 2484 0 -1 6528 +transform 1 0 2760 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_11_42 +timestamp 1636915332 +transform 1 0 3864 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_11_46 +use sky130_fd_sc_hd__decap_3 FILLER_11_49 timestamp 1636915332 -transform 1 0 4232 0 -1 6528 -box -38 -48 590 592 +transform 1 0 4508 0 -1 6528 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_130 timestamp 1636915332 transform 1 0 2392 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nor3b_1 _233_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__o21a_1 _379_ timestamp 1636915332 -transform 1 0 2852 0 -1 6528 +transform 1 0 3956 0 -1 6528 box -38 -48 590 592 -use sky130_fd_sc_hd__nor2_1 _235_ +use sky130_fd_sc_hd__nor2_1 _381_ timestamp 1636915332 -transform -1 0 2852 0 -1 6528 +transform -1 0 2760 0 -1 6528 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _236_ +use sky130_fd_sc_hd__mux2_1 _428_ timestamp 1636915332 -transform -1 0 4232 0 -1 6528 -box -38 -48 314 592 -use sky130_fd_sc_hd__o21bai_1 _237_ +transform 1 0 3036 0 -1 6528 +box -38 -48 866 592 +use sky130_fd_sc_hd__decap_4 FILLER_11_53 timestamp 1636915332 -transform -1 0 3956 0 -1 6528 -box -38 -48 590 592 -use sky130_fd_sc_hd__decap_3 FILLER_11_66 -timestamp 1636915332 -transform 1 0 6072 0 -1 6528 -box -38 -48 314 592 +transform 1 0 4876 0 -1 6528 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_131 timestamp 1636915332 transform 1 0 4784 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _231_ +use sky130_fd_sc_hd__mux2_1 _397_ timestamp 1636915332 -transform -1 0 6072 0 -1 6528 -box -38 -48 406 592 -use sky130_fd_sc_hd__mux2_1 _390_ -timestamp 1636915332 -transform 1 0 4876 0 -1 6528 +transform 1 0 5244 0 -1 6528 box -38 -48 866 592 -use sky130_fd_sc_hd__mux2_1 _391_ +use sky130_fd_sc_hd__mux2_1 _427_ timestamp 1636915332 -transform -1 0 7176 0 -1 6528 +transform 1 0 6072 0 -1 6528 box -38 -48 866 592 -use sky130_fd_sc_hd__decap_4 FILLER_11_79 +use sky130_fd_sc_hd__diode_2 ANTENNA__491__RESET_B +timestamp 1636915332 +transform 1 0 9108 0 -1 6528 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_11_75 +timestamp 1636915332 +transform 1 0 6900 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_2 FILLER_11_79 timestamp 1636915332 transform 1 0 7268 0 -1 6528 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_11_83 -timestamp 1636915332 -transform 1 0 7636 0 -1 6528 -box -38 -48 130 592 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_132 timestamp 1636915332 transform 1 0 7176 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_pll_clk OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__or2b_1 _220_ timestamp 1636915332 -transform -1 0 9568 0 -1 6528 -box -38 -48 1878 592 +transform 1 0 8556 0 -1 6528 +box -38 -48 590 592 +use sky130_fd_sc_hd__or2b_1 _221_ +timestamp 1636915332 +transform 1 0 8004 0 -1 6528 +box -38 -48 590 592 +use sky130_fd_sc_hd__o21a_1 _230_ +timestamp 1636915332 +transform 1 0 7452 0 -1 6528 +box -38 -48 590 592 +use sky130_fd_sc_hd__decap_3 FILLER_11_101 +timestamp 1636915332 +transform 1 0 9292 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_12 FILLER_11_105 +timestamp 1636915332 +transform 1 0 9660 0 -1 6528 +box -38 -48 1142 592 +use sky130_fd_sc_hd__decap_8 FILLER_11_117 +timestamp 1636915332 +transform 1 0 10764 0 -1 6528 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_133 timestamp 1636915332 transform 1 0 9568 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nor2_1 _219_ -timestamp 1636915332 -transform -1 0 9936 0 -1 6528 -box -38 -48 314 592 -use sky130_fd_sc_hd__a21bo_1 _228_ -timestamp 1636915332 -transform -1 0 11500 0 -1 6528 -box -38 -48 774 592 -use sky130_fd_sc_hd__mux2_1 _389_ -timestamp 1636915332 -transform -1 0 10764 0 -1 6528 -box -38 -48 866 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__469__RESET_B -timestamp 1636915332 -transform 1 0 11776 0 -1 6528 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_11_125 +use sky130_fd_sc_hd__fill_2 FILLER_11_125 timestamp 1636915332 transform 1 0 11500 0 -1 6528 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_11_131 +timestamp 1636915332 +transform 1 0 12052 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_3 FILLER_11_143 +timestamp 1636915332 +transform 1 0 13156 0 -1 6528 box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_134 timestamp 1636915332 transform 1 0 11960 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _469_ +use sky130_fd_sc_hd__mux2_1 _216_ timestamp 1636915332 -transform 1 0 12052 0 -1 6528 -box -38 -48 1878 592 -use sky130_fd_sc_hd__fill_1 FILLER_11_151 +transform 1 0 12328 0 -1 6528 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_1 _217_ timestamp 1636915332 -transform 1 0 13892 0 -1 6528 +transform 1 0 11684 0 -1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__mux2_1 _434_ +timestamp 1636915332 +transform 1 0 13432 0 -1 6528 +box -38 -48 866 592 +use sky130_fd_sc_hd__fill_1 FILLER_11_155 +timestamp 1636915332 +transform 1 0 14260 0 -1 6528 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_11_157 +timestamp 1636915332 +transform 1 0 14444 0 -1 6528 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_135 timestamp 1636915332 transform 1 0 14352 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nor3b_2 _265_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkinv_2 _390_ timestamp 1636915332 -transform 1 0 14444 0 -1 6528 -box -38 -48 958 592 -use sky130_fd_sc_hd__xnor2_1 _373_ -timestamp 1636915332 -transform -1 0 16008 0 -1 6528 -box -38 -48 682 592 -use sky130_fd_sc_hd__nor3_1 _376_ -timestamp 1636915332 -transform -1 0 14352 0 -1 6528 +transform 1 0 14536 0 -1 6528 box -38 -48 406 592 -use sky130_fd_sc_hd__decap_4 FILLER_11_174 +use sky130_fd_sc_hd__dfrtp_1 _494_ timestamp 1636915332 -transform 1 0 16008 0 -1 6528 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_1 FILLER_11_178 +transform 1 0 14904 0 -1 6528 +box -38 -48 1878 592 +use sky130_fd_sc_hd__decap_8 FILLER_11_193 timestamp 1636915332 -transform 1 0 16376 0 -1 6528 -box -38 -48 130 592 +transform 1 0 17756 0 -1 6528 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_136 timestamp 1636915332 transform 1 0 16744 0 -1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _206_ +use sky130_fd_sc_hd__nor3b_2 _274_ timestamp 1636915332 transform 1 0 16836 0 -1 6528 -box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_1 _207_ -timestamp 1636915332 -transform 1 0 16468 0 -1 6528 -box -38 -48 314 592 -use sky130_fd_sc_hd__mux2_1 _387_ -timestamp 1636915332 -transform 1 0 17664 0 -1 6528 -box -38 -48 866 592 +box -38 -48 958 592 use sky130_fd_sc_hd__fill_1 FILLER_11_201 timestamp 1636915332 transform 1 0 18492 0 -1 6528 @@ -24230,26 +24927,22 @@ use sky130_fd_sc_hd__decap_3 PHY_23 timestamp 1636915332 transform -1 0 18860 0 -1 6528 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__462__RESET_B -timestamp 1636915332 -transform 1 0 2116 0 1 6528 -box -38 -48 222 592 use sky130_fd_sc_hd__fill_2 FILLER_12_11 timestamp 1636915332 transform 1 0 1012 0 1 6528 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_12_14 +use sky130_fd_sc_hd__decap_3 FILLER_12_14 timestamp 1636915332 transform 1 0 1288 0 1 6528 -box -38 -48 774 592 -use sky130_fd_sc_hd__fill_1 FILLER_12_22 -timestamp 1636915332 -transform 1 0 2024 0 1 6528 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_8 FILLER_12_3 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_4 FILLER_12_3 timestamp 1636915332 transform 1 0 276 0 1 6528 -box -38 -48 774 592 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_7 +timestamp 1636915332 +transform 1 0 644 0 1 6528 +box -38 -48 130 592 use sky130_fd_sc_hd__decap_3 PHY_24 timestamp 1636915332 transform 1 0 0 0 1 6528 @@ -24258,66 +24951,70 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_137 timestamp 1636915332 transform 1 0 1196 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_12_25 +use sky130_fd_sc_hd__mux2_1 _245_ timestamp 1636915332 -transform 1 0 2300 0 1 6528 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_12_40 +transform 1 0 1564 0 1 6528 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_1 _246_ +timestamp 1636915332 +transform 1 0 736 0 1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_38 +timestamp 1636915332 +transform 1 0 3496 0 1 6528 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_2 FILLER_12_40 timestamp 1636915332 transform 1 0 3680 0 1 6528 -box -38 -48 314 592 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_138 timestamp 1636915332 transform 1 0 3588 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nor2_2 _234_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__nor2_1 _253_ timestamp 1636915332 -transform 1 0 3128 0 1 6528 -box -38 -48 498 592 -use sky130_fd_sc_hd__a22o_1 _238_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +transform -1 0 2668 0 1 6528 +box -38 -48 314 592 +use sky130_fd_sc_hd__o211ai_4 _260_ timestamp 1636915332 -transform -1 0 3128 0 1 6528 -box -38 -48 682 592 -use sky130_fd_sc_hd__o211ai_4 _251_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 5520 0 1 6528 +transform -1 0 5428 0 1 6528 box -38 -48 1602 592 -use sky130_fd_sc_hd__fill_1 FILLER_12_60 +use sky130_fd_sc_hd__mux2_1 _429_ timestamp 1636915332 -transform 1 0 5520 0 1 6528 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_12_66 +transform 1 0 2668 0 1 6528 +box -38 -48 866 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__487__RESET_B timestamp 1636915332 -transform 1 0 6072 0 1 6528 -box -38 -48 130 592 +transform 1 0 5796 0 1 6528 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_12_59 +timestamp 1636915332 +transform 1 0 5428 0 1 6528 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_139 timestamp 1636915332 transform 1 0 5980 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _229_ +use sky130_fd_sc_hd__nand3_1 _243_ timestamp 1636915332 -transform -1 0 5980 0 1 6528 +transform 1 0 6072 0 1 6528 box -38 -48 406 592 -use sky130_fd_sc_hd__a21bo_1 _230_ +use sky130_fd_sc_hd__dfrtp_2 _487_ timestamp 1636915332 -transform 1 0 6164 0 1 6528 -box -38 -48 774 592 +transform -1 0 8372 0 1 6528 +box -38 -48 1970 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_92 +timestamp 1636915332 +transform 1 0 8464 0 1 6528 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_140 timestamp 1636915332 transform 1 0 8372 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__xnor2_1 _369_ +use sky130_fd_sc_hd__dfrtp_4 _491_ timestamp 1636915332 -transform -1 0 8372 0 1 6528 -box -38 -48 682 592 -use sky130_fd_sc_hd__mux2_1 _380_ -timestamp 1636915332 -transform 1 0 6900 0 1 6528 -box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_pll_clk90 -timestamp 1636915332 -transform -1 0 10304 0 1 6528 -box -38 -48 1878 592 +transform 1 0 8556 0 1 6528 +box -38 -48 2154 592 use sky130_fd_sc_hd__fill_1 FILLER_12_116 timestamp 1636915332 transform 1 0 10672 0 1 6528 @@ -24326,58 +25023,58 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_141 timestamp 1636915332 transform 1 0 10764 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _227_ -timestamp 1636915332 -transform 1 0 10304 0 1 6528 -box -38 -48 406 592 -use sky130_fd_sc_hd__mux2_1 _388_ +use sky130_fd_sc_hd__dfxtp_1 _443_ timestamp 1636915332 transform 1 0 10856 0 1 6528 -box -38 -48 866 592 -use sky130_fd_sc_hd__fill_2 FILLER_12_134 -timestamp 1636915332 -transform 1 0 12328 0 1 6528 -box -38 -48 222 592 +box -38 -48 1510 592 use sky130_fd_sc_hd__decap_4 FILLER_12_139 timestamp 1636915332 transform 1 0 12788 0 1 6528 box -38 -48 406 592 +use sky130_fd_sc_hd__decap_8 FILLER_12_144 +timestamp 1636915332 +transform 1 0 13248 0 1 6528 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_142 timestamp 1636915332 transform 1 0 13156 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _204_ +use sky130_fd_sc_hd__and2_1 _224_ timestamp 1636915332 -transform 1 0 13248 0 1 6528 -box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_1 _205_ -timestamp 1636915332 -transform 1 0 12512 0 1 6528 -box -38 -48 314 592 -use sky130_fd_sc_hd__clkinv_4 _347_ -timestamp 1636915332 -transform 1 0 11684 0 1 6528 -box -38 -48 682 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__433__RESET_B -timestamp 1636915332 -transform 1 0 15916 0 1 6528 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_12_170 +transform -1 0 12788 0 1 6528 +box -38 -48 498 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__460__RESET_B timestamp 1636915332 transform 1 0 15640 0 1 6528 -box -38 -48 314 592 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__479__SET_B +timestamp 1636915332 +transform 1 0 14444 0 1 6528 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_152 +timestamp 1636915332 +transform 1 0 13984 0 1 6528 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_12_159 +timestamp 1636915332 +transform 1 0 14628 0 1 6528 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_143 timestamp 1636915332 transform 1 0 15548 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_4 _346_ +use sky130_fd_sc_hd__nand3_1 _278_ timestamp 1636915332 -transform -1 0 14720 0 1 6528 -box -38 -48 682 592 -use sky130_fd_sc_hd__mux2_1 _410_ +transform -1 0 14444 0 1 6528 +box -38 -48 406 592 +use sky130_fd_sc_hd__mux2_1 _409_ timestamp 1636915332 transform 1 0 14720 0 1 6528 box -38 -48 866 592 +use sky130_fd_sc_hd__dfrtp_4 _460_ +timestamp 1636915332 +transform -1 0 17940 0 1 6528 +box -38 -48 2154 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input4_A timestamp 1636915332 transform -1 0 18308 0 1 6528 @@ -24390,10 +25087,6 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_144 timestamp 1636915332 transform 1 0 17940 0 1 6528 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _433_ -timestamp 1636915332 -transform -1 0 17940 0 1 6528 -box -38 -48 1878 592 use sky130_fd_sc_hd__decap_3 PHY_25 timestamp 1636915332 transform -1 0 18860 0 1 6528 @@ -24418,294 +25111,254 @@ use sky130_fd_sc_hd__fill_2 FILLER_14_11 timestamp 1636915332 transform 1 0 1012 0 1 7616 box -38 -48 222 592 -use sky130_fd_sc_hd__nand2_1 _263_ +use sky130_fd_sc_hd__mux2_1 _402_ +timestamp 1636915332 +transform -1 0 2484 0 1 7616 +box -38 -48 866 592 +use sky130_fd_sc_hd__o21ai_1 _273_ +timestamp 1636915332 +transform -1 0 1656 0 1 7616 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_1 _272_ timestamp 1636915332 transform -1 0 2392 0 -1 7616 box -38 -48 314 592 -use sky130_fd_sc_hd__inv_2 _262_ +use sky130_fd_sc_hd__inv_2 _271_ timestamp 1636915332 -transform -1 0 2024 0 1 7616 -box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_1 _254_ -timestamp 1636915332 -transform 1 0 2116 0 1 7616 +transform -1 0 2116 0 -1 7616 box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_152 timestamp 1636915332 transform 1 0 1196 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_22 +use sky130_fd_sc_hd__fill_1 FILLER_13_19 timestamp 1636915332 -transform 1 0 2024 0 1 7616 +transform 1 0 1748 0 -1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_18 +use sky130_fd_sc_hd__decap_4 FILLER_13_15 timestamp 1636915332 -transform 1 0 1656 0 1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_14 -timestamp 1636915332 -transform 1 0 1288 0 1 7616 +transform 1 0 1380 0 -1 7616 box -38 -48 406 592 -use sky130_fd_sc_hd__dfrtn_1 _462_ +use sky130_fd_sc_hd__decap_12 FILLER_13_3 timestamp 1636915332 transform 1 0 276 0 -1 7616 -box -38 -48 1878 592 -use sky130_fd_sc_hd__mux2_1 _384_ +box -38 -48 1142 592 +use sky130_fd_sc_hd__mux2_1 _401_ timestamp 1636915332 -transform 1 0 2576 0 1 7616 +transform 1 0 2484 0 1 7616 box -38 -48 866 592 -use sky130_fd_sc_hd__clkbuf_2 _223_ +use sky130_fd_sc_hd__xor2_1 _382_ timestamp 1636915332 transform 1 0 2484 0 -1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__clkinv_4 _216_ -timestamp 1636915332 -transform 1 0 2944 0 -1 7616 box -38 -48 682 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_145 timestamp 1636915332 transform 1 0 2392 0 -1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_14_37 +use sky130_fd_sc_hd__decap_3 FILLER_14_36 timestamp 1636915332 -transform 1 0 3404 0 1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_13_31 +transform 1 0 3312 0 1 7616 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_8 FILLER_13_34 timestamp 1636915332 -transform 1 0 2852 0 -1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__456__RESET_B -timestamp 1636915332 -transform 1 0 2392 0 1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__o211a_1 _245_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 4784 0 -1 7616 +transform 1 0 3128 0 -1 7616 box -38 -48 774 592 +use sky130_fd_sc_hd__nor2_1 _380_ +timestamp 1636915332 +transform 1 0 4232 0 -1 7616 +box -38 -48 314 592 +use sky130_fd_sc_hd__nor3_1 _378_ +timestamp 1636915332 +transform -1 0 4232 0 -1 7616 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_153 timestamp 1636915332 transform 1 0 3588 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_13_43 +use sky130_fd_sc_hd__decap_3 FILLER_13_49 timestamp 1636915332 -transform 1 0 3956 0 -1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_13_39 -timestamp 1636915332 -transform 1 0 3588 0 -1 7616 -box -38 -48 406 592 +transform 1 0 4508 0 -1 7616 +box -38 -48 314 592 use sky130_fd_sc_hd__decap_12 FILLER_14_40 timestamp 1636915332 transform 1 0 3680 0 1 7616 box -38 -48 1142 592 -use sky130_fd_sc_hd__nand2_2 _217_ +use sky130_fd_sc_hd__o211a_1 _254_ timestamp 1636915332 -transform 1 0 5060 0 -1 7616 -box -38 -48 498 592 -use sky130_fd_sc_hd__o21ai_1 _215_ +transform -1 0 5612 0 -1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__clkinv_4 _228_ timestamp 1636915332 -transform -1 0 5612 0 1 7616 -box -38 -48 406 592 +transform 1 0 5336 0 1 7616 +box -38 -48 682 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_146 timestamp 1636915332 transform 1 0 4784 0 -1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_56 -timestamp 1636915332 -transform 1 0 5152 0 1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_52 +use sky130_fd_sc_hd__decap_6 FILLER_14_52 timestamp 1636915332 transform 1 0 4784 0 1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__fill_2 FILLER_13_53 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_2 FILLER_13_61 timestamp 1636915332 -transform 1 0 4876 0 -1 7616 +transform 1 0 5612 0 -1 7616 box -38 -48 222 592 -use sky130_fd_sc_hd__a21bo_1 _232_ +use sky130_fd_sc_hd__a21bo_1 _244_ +timestamp 1636915332 +transform 1 0 6440 0 -1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__nand2_2 _229_ timestamp 1636915332 transform -1 0 6256 0 -1 7616 -box -38 -48 774 592 -use sky130_fd_sc_hd__clkbuf_2 _224_ -timestamp 1636915332 -transform 1 0 6072 0 1 7616 -box -38 -48 406 592 +box -38 -48 498 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_154 timestamp 1636915332 transform 1 0 5980 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_61 -timestamp 1636915332 -transform 1 0 5612 0 1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_pll_clk90 -timestamp 1636915332 -transform -1 0 6808 0 1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_74 -timestamp 1636915332 -transform 1 0 6808 0 1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_8 FILLER_13_68 +use sky130_fd_sc_hd__fill_2 FILLER_13_68 timestamp 1636915332 transform 1 0 6256 0 -1 7616 -box -38 -48 774 592 -use sky130_fd_sc_hd__mux2_1 _392_ +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__488__SET_B timestamp 1636915332 -transform 1 0 7360 0 -1 7616 -box -38 -48 866 592 -use sky130_fd_sc_hd__o21a_1 _218_ +transform 1 0 6072 0 1 7616 +box -38 -48 222 592 +use sky130_fd_sc_hd__dfstp_1 _488_ timestamp 1636915332 -transform -1 0 8372 0 1 7616 -box -38 -48 590 592 -use sky130_fd_sc_hd__and2_1 _212_ +transform 1 0 6256 0 1 7616 +box -38 -48 1970 592 +use sky130_fd_sc_hd__fill_2 FILLER_14_89 timestamp 1636915332 -transform 1 0 7268 0 1 7616 -box -38 -48 498 592 +transform 1 0 8188 0 1 7616 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_14_92 +timestamp 1636915332 +transform 1 0 8464 0 1 7616 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_147 timestamp 1636915332 transform 1 0 7176 0 -1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_84 -timestamp 1636915332 -transform 1 0 7728 0 1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_78 -timestamp 1636915332 -transform 1 0 7176 0 1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_13_79 -timestamp 1636915332 -transform 1 0 7268 0 -1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_13_76 -timestamp 1636915332 -transform 1 0 6992 0 -1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__mux2_1 _379_ -timestamp 1636915332 -transform 1 0 8464 0 -1 7616 -box -38 -48 866 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_155 timestamp 1636915332 transform 1 0 8372 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_13_89 +use sky130_fd_sc_hd__clkbuf_2 _236_ timestamp 1636915332 -transform 1 0 8188 0 -1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__467__RESET_B -timestamp 1636915332 -transform 1 0 8280 0 -1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__dfrtp_4 _467_ -timestamp 1636915332 -transform 1 0 8464 0 1 7616 -box -38 -48 2154 592 -use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_148 -timestamp 1636915332 -transform 1 0 9568 0 -1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_13_105 -timestamp 1636915332 -transform 1 0 9660 0 -1 7616 +transform 1 0 7268 0 -1 7616 box -38 -48 406 592 +use sky130_fd_sc_hd__mux2_1 _406_ +timestamp 1636915332 +transform 1 0 8464 0 -1 7616 +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _433_ +timestamp 1636915332 +transform 1 0 7636 0 -1 7616 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_pll_clk90 +timestamp 1636915332 +transform -1 0 10672 0 1 7616 +box -38 -48 1878 592 use sky130_fd_sc_hd__decap_3 FILLER_13_101 timestamp 1636915332 transform 1 0 9292 0 -1 7616 box -38 -48 314 592 -use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_pll_clk90 +use sky130_fd_sc_hd__decap_4 FILLER_13_105 timestamp 1636915332 -transform 1 0 10488 0 -1 7616 +transform 1 0 9660 0 -1 7616 box -38 -48 406 592 -use sky130_fd_sc_hd__nand2_1 _220_ +use sky130_fd_sc_hd__fill_1 FILLER_14_116 timestamp 1636915332 -transform -1 0 10488 0 -1 7616 -box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_14_115 +transform 1 0 10672 0 1 7616 +box -38 -48 130 592 +use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_148 timestamp 1636915332 -transform 1 0 10580 0 1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_pll_clk90_A -timestamp 1636915332 -transform 1 0 10028 0 -1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__o21a_1 _213_ -timestamp 1636915332 -transform -1 0 11408 0 -1 7616 -box -38 -48 590 592 +transform 1 0 9568 0 -1 7616 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_156 timestamp 1636915332 transform 1 0 10764 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_122 +use sky130_fd_sc_hd__clkinv_2 _386_ timestamp 1636915332 -transform 1 0 11224 0 1 7616 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_118 +transform -1 0 11224 0 -1 7616 +box -38 -48 406 592 +use sky130_fd_sc_hd__mux2_1 _405_ timestamp 1636915332 transform 1 0 10856 0 1 7616 +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _432_ +timestamp 1636915332 +transform 1 0 10028 0 -1 7616 +box -38 -48 866 592 +use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_pll_clk90 +timestamp 1636915332 +transform 1 0 11224 0 -1 7616 box -38 -48 406 592 -use sky130_fd_sc_hd__xnor2_1 _353_ +use sky130_fd_sc_hd__nand2_1 _232_ timestamp 1636915332 -transform 1 0 11316 0 1 7616 -box -38 -48 682 592 -use sky130_fd_sc_hd__o21bai_1 _225_ +transform 1 0 11684 0 1 7616 +box -38 -48 314 592 +use sky130_fd_sc_hd__o21ai_1 _226_ timestamp 1636915332 -transform -1 0 11960 0 -1 7616 -box -38 -48 590 592 -use sky130_fd_sc_hd__clkinv_4 _266_ -timestamp 1636915332 -transform 1 0 12512 0 1 7616 -box -38 -48 682 592 -use sky130_fd_sc_hd__nand3b_1 _222_ -timestamp 1636915332 -transform 1 0 11960 0 1 7616 -box -38 -48 590 592 +transform -1 0 12420 0 -1 7616 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_149 timestamp 1636915332 transform 1 0 11960 0 -1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _413_ +use sky130_fd_sc_hd__decap_4 FILLER_14_132 timestamp 1636915332 -transform 1 0 13708 0 1 7616 -box -38 -48 866 592 -use sky130_fd_sc_hd__nand3_1 _269_ -timestamp 1636915332 -transform 1 0 13432 0 -1 7616 +transform 1 0 12144 0 1 7616 box -38 -48 406 592 +use sky130_fd_sc_hd__decap_4 FILLER_13_126 +timestamp 1636915332 +transform 1 0 11592 0 -1 7616 +box -38 -48 406 592 +use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_pll_clk90_A +timestamp 1636915332 +transform 1 0 11960 0 1 7616 +box -38 -48 222 592 +use sky130_fd_sc_hd__o21a_1 _225_ +timestamp 1636915332 +transform 1 0 12512 0 1 7616 +box -38 -48 590 592 +use sky130_fd_sc_hd__nor2_1 _223_ +timestamp 1636915332 +transform 1 0 12420 0 -1 7616 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_8 FILLER_13_138 +timestamp 1636915332 +transform 1 0 12696 0 -1 7616 +box -38 -48 774 592 +use sky130_fd_sc_hd__nand2_1 _279_ +timestamp 1636915332 +transform 1 0 13524 0 -1 7616 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_157 timestamp 1636915332 transform 1 0 13156 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_14_148 +use sky130_fd_sc_hd__decap_4 FILLER_14_146 timestamp 1636915332 -transform 1 0 13616 0 1 7616 +transform 1 0 13432 0 1 7616 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_14_142 +timestamp 1636915332 +transform 1 0 13064 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_14_144 +use sky130_fd_sc_hd__fill_1 FILLER_13_146 +timestamp 1636915332 +transform 1 0 13432 0 -1 7616 +box -38 -48 130 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__490__SET_B timestamp 1636915332 transform 1 0 13248 0 1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_3 FILLER_13_143 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_14_170 timestamp 1636915332 -transform 1 0 13156 0 -1 7616 -box -38 -48 314 592 -use sky130_fd_sc_hd__decap_12 FILLER_13_131 -timestamp 1636915332 -transform 1 0 12052 0 -1 7616 -box -38 -48 1142 592 -use sky130_fd_sc_hd__nand2_1 _270_ -timestamp 1636915332 -transform 1 0 14812 0 1 7616 -box -38 -48 314 592 -use sky130_fd_sc_hd__a21o_1 _268_ -timestamp 1636915332 -transform -1 0 14352 0 -1 7616 -box -38 -48 590 592 -use sky130_fd_sc_hd__inv_2 _267_ -timestamp 1636915332 -transform 1 0 14536 0 1 7616 -box -38 -48 314 592 +transform 1 0 15640 0 1 7616 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_150 timestamp 1636915332 transform 1 0 14352 0 -1 7616 @@ -24714,38 +25367,38 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_158 timestamp 1636915332 transform 1 0 15548 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_3 FILLER_14_166 +use sky130_fd_sc_hd__clkinv_4 _275_ timestamp 1636915332 -transform 1 0 15272 0 1 7616 -box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__455__SET_B +transform -1 0 14444 0 1 7616 +box -38 -48 682 592 +use sky130_fd_sc_hd__a21o_1 _277_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 15088 0 1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__436__RESET_B +transform 1 0 13800 0 -1 7616 +box -38 -48 590 592 +use sky130_fd_sc_hd__o2bb2ai_2 _357_ timestamp 1636915332 -transform 1 0 15640 0 1 7616 -box -38 -48 222 592 -use sky130_fd_sc_hd__dfstp_1 _455_ +transform -1 0 15548 0 1 7616 +box -38 -48 1142 592 +use sky130_fd_sc_hd__dfstp_4 _461_ timestamp 1636915332 -transform -1 0 16376 0 -1 7616 +transform -1 0 17940 0 1 7616 +box -38 -48 2246 592 +use sky130_fd_sc_hd__dfstp_1 _479_ +timestamp 1636915332 +transform 1 0 14444 0 -1 7616 box -38 -48 1970 592 -use sky130_fd_sc_hd__dfrtp_4 _436_ -timestamp 1636915332 -transform 1 0 15824 0 1 7616 -box -38 -48 2154 592 -use sky130_fd_sc_hd__decap_4 FILLER_13_178 +use sky130_fd_sc_hd__diode_2 ANTENNA__461__SET_B timestamp 1636915332 transform 1 0 16376 0 -1 7616 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_12 FILLER_13_183 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_2 FILLER_13_180 timestamp 1636915332 -transform 1 0 16836 0 -1 7616 +transform 1 0 16560 0 -1 7616 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_12 FILLER_13_190 +timestamp 1636915332 +transform 1 0 17480 0 -1 7616 box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_6 FILLER_13_195 -timestamp 1636915332 -transform 1 0 17940 0 -1 7616 -box -38 -48 590 592 use sky130_fd_sc_hd__decap_6 FILLER_14_196 timestamp 1636915332 transform 1 0 18032 0 1 7616 @@ -24758,10 +25411,10 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_159 timestamp 1636915332 transform 1 0 17940 0 1 7616 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_13_201 +use sky130_fd_sc_hd__clkinv_4 _276_ timestamp 1636915332 -transform 1 0 18492 0 -1 7616 -box -38 -48 130 592 +transform 1 0 16836 0 -1 7616 +box -38 -48 682 592 use sky130_fd_sc_hd__decap_3 PHY_27 timestamp 1636915332 transform -1 0 18860 0 -1 7616 @@ -24774,158 +25427,162 @@ use sky130_fd_sc_hd__decap_3 PHY_30 timestamp 1636915332 transform 1 0 0 0 -1 8704 box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_1 _255_ +use sky130_fd_sc_hd__nand2_1 _264_ timestamp 1636915332 transform -1 0 2392 0 -1 8704 box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtn_1 _456_ +use sky130_fd_sc_hd__dfrtn_1 _480_ timestamp 1636915332 transform 1 0 276 0 -1 8704 box -38 -48 1878 592 -use sky130_fd_sc_hd__fill_1 FILLER_15_31 -timestamp 1636915332 -transform 1 0 2852 0 -1 8704 -box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_160 timestamp 1636915332 transform 1 0 2392 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__o21ai_1 _264_ +use sky130_fd_sc_hd__xnor2_1 _383_ timestamp 1636915332 -transform -1 0 2852 0 -1 8704 -box -38 -48 406 592 -use sky130_fd_sc_hd__mux2_1 _383_ +transform 1 0 2484 0 -1 8704 +box -38 -48 682 592 +use sky130_fd_sc_hd__mux2_1 _403_ timestamp 1636915332 -transform 1 0 2944 0 -1 8704 +transform 1 0 3956 0 -1 8704 box -38 -48 866 592 -use sky130_fd_sc_hd__mux2_1 _396_ +use sky130_fd_sc_hd__mux2_1 _404_ timestamp 1636915332 -transform 1 0 3772 0 -1 8704 +transform 1 0 3128 0 -1 8704 box -38 -48 866 592 -use sky130_fd_sc_hd__fill_2 FILLER_15_50 +use sky130_fd_sc_hd__decap_3 FILLER_15_53 timestamp 1636915332 -transform 1 0 4600 0 -1 8704 -box -38 -48 222 592 +transform 1 0 4876 0 -1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_3 FILLER_15_59 +timestamp 1636915332 +transform 1 0 5428 0 -1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_15_70 +timestamp 1636915332 +transform 1 0 6440 0 -1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_161 timestamp 1636915332 transform 1 0 4784 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _409_ -timestamp 1636915332 -transform 1 0 4876 0 -1 8704 -box -38 -48 866 592 -use sky130_fd_sc_hd__dfxtp_1 _419_ +use sky130_fd_sc_hd__o21ai_1 _227_ timestamp 1636915332 transform 1 0 5704 0 -1 8704 -box -38 -48 1510 592 -use sky130_fd_sc_hd__fill_1 FILLER_15_79 +box -38 -48 406 592 +use sky130_fd_sc_hd__clkbuf_2 _235_ +timestamp 1636915332 +transform -1 0 6440 0 -1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_1 _263_ +timestamp 1636915332 +transform -1 0 5428 0 -1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__xor2_1 _389_ +timestamp 1636915332 +transform 1 0 6532 0 -1 8704 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_2 FILLER_15_79 timestamp 1636915332 transform 1 0 7268 0 -1 8704 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_15_83 -timestamp 1636915332 -transform 1 0 7636 0 -1 8704 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_4 FILLER_15_88 -timestamp 1636915332 -transform 1 0 8096 0 -1 8704 -box -38 -48 406 592 +box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_162 timestamp 1636915332 transform 1 0 7176 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__or2b_1 _208_ +use sky130_fd_sc_hd__xnor2_1 _387_ timestamp 1636915332 -transform 1 0 8464 0 -1 8704 -box -38 -48 590 592 -use sky130_fd_sc_hd__or2b_1 _209_ +transform 1 0 8924 0 -1 8704 +box -38 -48 682 592 +use sky130_fd_sc_hd__dfxtp_1 _444_ timestamp 1636915332 -transform 1 0 9016 0 -1 8704 -box -38 -48 590 592 -use sky130_fd_sc_hd__nor2_1 _211_ +transform 1 0 7452 0 -1 8704 +box -38 -48 1510 592 +use sky130_fd_sc_hd__fill_1 FILLER_15_113 timestamp 1636915332 -transform -1 0 7636 0 -1 8704 -box -38 -48 314 592 -use sky130_fd_sc_hd__o21ai_1 _214_ -timestamp 1636915332 -transform 1 0 7728 0 -1 8704 -box -38 -48 406 592 -use sky130_fd_sc_hd__decap_12 FILLER_15_105 -timestamp 1636915332 -transform 1 0 9660 0 -1 8704 -box -38 -48 1142 592 +transform 1 0 10396 0 -1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_163 timestamp 1636915332 transform 1 0 9568 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _226_ +use sky130_fd_sc_hd__clkinv_4 _353_ timestamp 1636915332 -transform 1 0 10764 0 -1 8704 -box -38 -48 406 592 -use sky130_fd_sc_hd__mux2_1 _394_ +transform -1 0 11960 0 -1 8704 +box -38 -48 682 592 +use sky130_fd_sc_hd__mux2_1 _436_ timestamp 1636915332 -transform 1 0 11132 0 -1 8704 +transform -1 0 11316 0 -1 8704 box -38 -48 866 592 -use sky130_fd_sc_hd__fill_2 FILLER_15_134 +use sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 -transform 1 0 12328 0 -1 8704 +transform 1 0 9660 0 -1 8704 +box -38 -48 774 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__458__SET_B +timestamp 1636915332 +transform 1 0 13524 0 -1 8704 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_15_148 +use sky130_fd_sc_hd__fill_1 FILLER_15_146 timestamp 1636915332 -transform 1 0 13616 0 -1 8704 -box -38 -48 406 592 +transform 1 0 13432 0 -1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_164 timestamp 1636915332 transform 1 0 11960 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_2 _221_ +use sky130_fd_sc_hd__nor2_1 _231_ timestamp 1636915332 -transform -1 0 12328 0 -1 8704 +transform 1 0 12052 0 -1 8704 box -38 -48 314 592 -use sky130_fd_sc_hd__o2bb2ai_2 _354_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__nand3b_1 _234_ timestamp 1636915332 -transform -1 0 13616 0 -1 8704 -box -38 -48 1142 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__434__SET_B +transform 1 0 12328 0 -1 8704 +box -38 -48 590 592 +use sky130_fd_sc_hd__o21bai_1 _237_ timestamp 1636915332 -transform 1 0 14628 0 -1 8704 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_15_155 +transform -1 0 13432 0 -1 8704 +box -38 -48 590 592 +use sky130_fd_sc_hd__xnor2_1 _356_ timestamp 1636915332 -transform 1 0 14260 0 -1 8704 +transform 1 0 13708 0 -1 8704 +box -38 -48 682 592 +use sky130_fd_sc_hd__fill_1 FILLER_15_160 +timestamp 1636915332 +transform 1 0 14720 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_15_157 -timestamp 1636915332 -transform 1 0 14444 0 -1 8704 -box -38 -48 222 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_165 timestamp 1636915332 transform 1 0 14352 0 -1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__nor2_1 _352_ +use sky130_fd_sc_hd__inv_2 _233_ timestamp 1636915332 -transform 1 0 13984 0 -1 8704 +transform -1 0 14720 0 -1 8704 box -38 -48 314 592 -use sky130_fd_sc_hd__dfstp_1 _434_ +use sky130_fd_sc_hd__dfstp_1 _458_ timestamp 1636915332 -transform -1 0 16744 0 -1 8704 +transform 1 0 14812 0 -1 8704 box -38 -48 1970 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input5_A timestamp 1636915332 transform -1 0 18308 0 -1 8704 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_12 FILLER_15_183 +use sky130_fd_sc_hd__decap_4 FILLER_15_192 timestamp 1636915332 -transform 1 0 16836 0 -1 8704 -box -38 -48 1142 592 -use sky130_fd_sc_hd__fill_2 FILLER_15_195 +transform 1 0 17664 0 -1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_15_196 timestamp 1636915332 -transform 1 0 17940 0 -1 8704 -box -38 -48 222 592 +transform 1 0 18032 0 -1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_166 timestamp 1636915332 transform 1 0 16744 0 -1 8704 box -38 -48 130 592 +use sky130_fd_sc_hd__mux2_1 _437_ +timestamp 1636915332 +transform 1 0 16836 0 -1 8704 +box -38 -48 866 592 use sky130_fd_sc_hd__decap_3 PHY_31 timestamp 1636915332 transform -1 0 18860 0 -1 8704 @@ -24938,14 +25595,14 @@ use sky130_fd_sc_hd__diode_2 ANTENNA_input3_A timestamp 1636915332 transform -1 0 1472 0 1 8704 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_16_11 -timestamp 1636915332 -transform 1 0 1012 0 1 8704 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_16_3 +use sky130_fd_sc_hd__decap_6 FILLER_16_3 timestamp 1636915332 transform 1 0 276 0 1 8704 -box -38 -48 774 592 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_16_9 +timestamp 1636915332 +transform 1 0 828 0 1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__decap_3 PHY_32 timestamp 1636915332 transform 1 0 0 0 1 8704 @@ -24954,118 +25611,154 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_167 timestamp 1636915332 transform 1 0 1196 0 1 8704 box -38 -48 130 592 +use sky130_fd_sc_hd__nand2_1 _268_ +timestamp 1636915332 +transform 1 0 920 0 1 8704 +box -38 -48 314 592 use sky130_fd_sc_hd__buf_12 input3 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform 1 0 1472 0 1 8704 box -38 -48 1510 592 -use sky130_fd_sc_hd__decap_4 FILLER_16_35 +use sky130_fd_sc_hd__diode_2 ANTENNA__480__RESET_B timestamp 1636915332 -transform 1 0 3220 0 1 8704 +transform 1 0 2944 0 1 8704 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_4 FILLER_16_34 +timestamp 1636915332 +transform 1 0 3128 0 1 8704 box -38 -48 406 592 -use sky130_fd_sc_hd__decap_4 FILLER_16_40 +use sky130_fd_sc_hd__fill_1 FILLER_16_38 +timestamp 1636915332 +transform 1 0 3496 0 1 8704 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_16_40 timestamp 1636915332 transform 1 0 3680 0 1 8704 -box -38 -48 406 592 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_168 timestamp 1636915332 transform 1 0 3588 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__nand2_1 _259_ +use sky130_fd_sc_hd__mux2_1 _431_ timestamp 1636915332 -transform -1 0 3220 0 1 8704 -box -38 -48 314 592 -use sky130_fd_sc_hd__mux2_1 _395_ -timestamp 1636915332 -transform 1 0 4048 0 1 8704 +transform 1 0 3772 0 1 8704 box -38 -48 866 592 -use sky130_fd_sc_hd__fill_1 FILLER_16_53 +use sky130_fd_sc_hd__decap_8 FILLER_16_50 timestamp 1636915332 -transform 1 0 4876 0 1 8704 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_16_63 +transform 1 0 4600 0 1 8704 +box -38 -48 774 592 +use sky130_fd_sc_hd__decap_3 FILLER_16_62 timestamp 1636915332 -transform 1 0 5796 0 1 8704 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_16_66 +transform 1 0 5704 0 1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_4 FILLER_16_66 timestamp 1636915332 transform 1 0 6072 0 1 8704 -box -38 -48 774 592 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_169 timestamp 1636915332 transform 1 0 5980 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _408_ +use sky130_fd_sc_hd__nand3_1 _241_ timestamp 1636915332 -transform 1 0 4968 0 1 8704 -box -38 -48 866 592 -use sky130_fd_sc_hd__dfxtp_1 _418_ +transform -1 0 7176 0 1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand3_1 _257_ timestamp 1636915332 -transform 1 0 6808 0 1 8704 -box -38 -48 1510 592 -use sky130_fd_sc_hd__fill_1 FILLER_16_90 +transform 1 0 5336 0 1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_pll_clk90 timestamp 1636915332 -transform 1 0 8280 0 1 8704 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_16_92 +transform -1 0 6808 0 1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_2 FILLER_16_78 timestamp 1636915332 -transform 1 0 8464 0 1 8704 +transform 1 0 7176 0 1 8704 box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_16_88 +timestamp 1636915332 +transform 1 0 8096 0 1 8704 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_170 timestamp 1636915332 transform 1 0 8372 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__dfxtp_1 _417_ +use sky130_fd_sc_hd__a21bo_1 _240_ timestamp 1636915332 -transform 1 0 8648 0 1 8704 -box -38 -48 1510 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__466__SET_B +transform -1 0 9200 0 1 8704 +box -38 -48 774 592 +use sky130_fd_sc_hd__a21bo_1 _242_ timestamp 1636915332 -transform 1 0 10580 0 1 8704 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_16_114 +transform 1 0 7360 0 1 8704 +box -38 -48 774 592 +use sky130_fd_sc_hd__fill_1 FILLER_16_104 timestamp 1636915332 -transform 1 0 10488 0 1 8704 +transform 1 0 9568 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_171 timestamp 1636915332 transform 1 0 10764 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _210_ +use sky130_fd_sc_hd__nand3_1 _239_ timestamp 1636915332 -transform -1 0 10488 0 1 8704 +transform -1 0 9568 0 1 8704 box -38 -48 406 592 -use sky130_fd_sc_hd__dfstp_1 _466_ +use sky130_fd_sc_hd__nor2_1 _388_ +timestamp 1636915332 +transform 1 0 10488 0 1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__mux2_1 _407_ timestamp 1636915332 transform 1 0 10856 0 1 8704 -box -38 -48 1970 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__438__RESET_B +box -38 -48 866 592 +use sky130_fd_sc_hd__mux2_1 _408_ timestamp 1636915332 -transform 1 0 13248 0 1 8704 +transform 1 0 9660 0 1 8704 +box -38 -48 866 592 +use sky130_fd_sc_hd__fill_2 FILLER_16_127 +timestamp 1636915332 +transform 1 0 11684 0 1 8704 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_4 FILLER_16_139 +use sky130_fd_sc_hd__fill_1 FILLER_16_142 timestamp 1636915332 -transform 1 0 12788 0 1 8704 -box -38 -48 406 592 +transform 1 0 13064 0 1 8704 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_172 timestamp 1636915332 transform 1 0 13156 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_4 _438_ +use sky130_fd_sc_hd__nand3_1 _238_ timestamp 1636915332 -transform 1 0 13432 0 1 8704 -box -38 -48 2154 592 -use sky130_fd_sc_hd__fill_1 FILLER_16_170 +transform -1 0 13064 0 1 8704 +box -38 -48 406 592 +use sky130_fd_sc_hd__mux2_1 _400_ +timestamp 1636915332 +transform 1 0 11868 0 1 8704 +box -38 -48 866 592 +use sky130_fd_sc_hd__dfstp_1 _490_ +timestamp 1636915332 +transform 1 0 13248 0 1 8704 +box -38 -48 1970 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__462__RESET_B timestamp 1636915332 transform 1 0 15640 0 1 8704 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_16_165 +timestamp 1636915332 +transform 1 0 15180 0 1 8704 box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_173 timestamp 1636915332 transform 1 0 15548 0 1 8704 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_4 _437_ +use sky130_fd_sc_hd__nor2_1 _350_ timestamp 1636915332 -transform 1 0 15732 0 1 8704 -box -38 -48 2246 592 +transform -1 0 15548 0 1 8704 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtp_4 _462_ +timestamp 1636915332 +transform -1 0 17940 0 1 8704 +box -38 -48 2154 592 use sky130_fd_sc_hd__decap_6 FILLER_16_196 timestamp 1636915332 transform 1 0 18032 0 1 8704 @@ -25078,98 +25771,86 @@ use sky130_fd_sc_hd__decap_3 PHY_33 timestamp 1636915332 transform -1 0 18860 0 1 8704 box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__481__SET_B +timestamp 1636915332 +transform 1 0 2208 0 -1 9792 +box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 PHY_34 timestamp 1636915332 transform 1 0 0 0 -1 9792 box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_1 _257_ -timestamp 1636915332 -transform -1 0 2392 0 -1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtn_1 _458_ +use sky130_fd_sc_hd__dfstp_1 _481_ timestamp 1636915332 transform 1 0 276 0 -1 9792 -box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__458__RESET_B +box -38 -48 1970 592 +use sky130_fd_sc_hd__decap_4 FILLER_17_47 timestamp 1636915332 -transform 1 0 3496 0 -1 9792 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_17_40 -timestamp 1636915332 -transform 1 0 3680 0 -1 9792 -box -38 -48 314 592 +transform 1 0 4324 0 -1 9792 +box -38 -48 406 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_175 timestamp 1636915332 transform 1 0 2392 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__nand3_1 _256_ +use sky130_fd_sc_hd__nor3b_2 _256_ +timestamp 1636915332 +transform -1 0 3772 0 -1 9792 +box -38 -48 958 592 +use sky130_fd_sc_hd__nor2_1 _258_ +timestamp 1636915332 +transform 1 0 3772 0 -1 9792 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand2_1 _259_ +timestamp 1636915332 +transform 1 0 4048 0 -1 9792 +box -38 -48 314 592 +use sky130_fd_sc_hd__nand3_1 _265_ timestamp 1636915332 transform -1 0 2852 0 -1 9792 box -38 -48 406 592 -use sky130_fd_sc_hd__nand3_1 _260_ +use sky130_fd_sc_hd__fill_1 FILLER_17_51 timestamp 1636915332 -transform -1 0 3220 0 -1 9792 -box -38 -48 406 592 -use sky130_fd_sc_hd__nand2_1 _261_ -timestamp 1636915332 -transform -1 0 3496 0 -1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__xnor2_1 _365_ -timestamp 1636915332 -transform 1 0 3956 0 -1 9792 -box -38 -48 682 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__459__SET_B -timestamp 1636915332 -transform 1 0 5980 0 -1 9792 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_17_50 -timestamp 1636915332 -transform 1 0 4600 0 -1 9792 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_17_53 -timestamp 1636915332 -transform 1 0 4876 0 -1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__fill_1 FILLER_17_60 -timestamp 1636915332 -transform 1 0 5520 0 -1 9792 +transform 1 0 4692 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_17_72 -timestamp 1636915332 -transform 1 0 6624 0 -1 9792 -box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_176 timestamp 1636915332 transform 1 0 4784 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _244__2 +use sky130_fd_sc_hd__inv_2 _255_ +timestamp 1636915332 +transform -1 0 6900 0 -1 9792 +box -38 -48 314 592 +use sky130_fd_sc_hd__o21bai_1 _261_ +timestamp 1636915332 +transform -1 0 6256 0 -1 9792 +box -38 -48 590 592 +use sky130_fd_sc_hd__nand3_1 _262_ timestamp 1636915332 transform -1 0 6624 0 -1 9792 -box -38 -48 498 592 -use sky130_fd_sc_hd__nand3_1 _248_ -timestamp 1636915332 -transform 1 0 5152 0 -1 9792 box -38 -48 406 592 -use sky130_fd_sc_hd__nand3_1 _253_ +use sky130_fd_sc_hd__mux2_1 _430_ timestamp 1636915332 -transform -1 0 5980 0 -1 9792 -box -38 -48 406 592 +transform 1 0 4876 0 -1 9792 +box -38 -48 866 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__489__RESET_B +timestamp 1636915332 +transform 1 0 7360 0 -1 9792 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_17_75 +timestamp 1636915332 +transform 1 0 6900 0 -1 9792 +box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_17_79 +timestamp 1636915332 +transform 1 0 7268 0 -1 9792 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_177 timestamp 1636915332 transform 1 0 7176 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__clkinv_4 _246_ +use sky130_fd_sc_hd__dfrtp_1 _489_ timestamp 1636915332 -transform -1 0 7912 0 -1 9792 -box -38 -48 682 592 -use sky130_fd_sc_hd__dfxtp_1 _420_ -timestamp 1636915332 -transform 1 0 7912 0 -1 9792 -box -38 -48 1510 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__425__RESET_B -timestamp 1636915332 -transform 1 0 9936 0 -1 9792 -box -38 -48 222 592 +transform 1 0 7544 0 -1 9792 +box -38 -48 1878 592 use sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_ext_clk_A timestamp 1636915332 transform -1 0 9936 0 -1 9792 @@ -25186,42 +25867,50 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_178 timestamp 1636915332 transform 1 0 9568 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _425_ +use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_ext_clk timestamp 1636915332 -transform 1 0 10120 0 -1 9792 +transform 1 0 9936 0 -1 9792 box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__435__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__459__RESET_B timestamp 1636915332 -transform 1 0 12328 0 -1 9792 +transform 1 0 11776 0 -1 9792 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_17_131 +use sky130_fd_sc_hd__fill_1 FILLER_17_135 timestamp 1636915332 -transform 1 0 12052 0 -1 9792 -box -38 -48 314 592 +transform 1 0 12420 0 -1 9792 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_179 timestamp 1636915332 transform 1 0 11960 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfrtp_1 _435_ +use sky130_fd_sc_hd__dfrtp_1 _459_ timestamp 1636915332 -transform -1 0 14352 0 -1 9792 +transform 1 0 12512 0 -1 9792 box -38 -48 1878 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__422__SET_B +use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_ext_clk timestamp 1636915332 -transform 1 0 14628 0 -1 9792 +transform -1 0 12420 0 -1 9792 +box -38 -48 406 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__446__SET_B +timestamp 1636915332 +transform 1 0 14536 0 -1 9792 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_17_157 +use sky130_fd_sc_hd__fill_1 FILLER_17_157 timestamp 1636915332 transform 1 0 14444 0 -1 9792 -box -38 -48 222 592 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_180 timestamp 1636915332 transform 1 0 14352 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _422_ +use sky130_fd_sc_hd__dfstp_1 _446_ timestamp 1636915332 -transform 1 0 14812 0 -1 9792 +transform 1 0 14720 0 -1 9792 box -38 -48 1970 592 +use sky130_fd_sc_hd__fill_1 FILLER_17_181 +timestamp 1636915332 +transform 1 0 16652 0 -1 9792 +box -38 -48 130 592 use sky130_fd_sc_hd__decap_8 FILLER_17_191 timestamp 1636915332 transform 1 0 17572 0 -1 9792 @@ -25230,7 +25919,7 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_181 timestamp 1636915332 transform 1 0 16744 0 -1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 timestamp 1636915332 transform 1 0 16836 0 -1 9792 box -38 -48 774 592 @@ -25242,14 +25931,14 @@ use sky130_fd_sc_hd__decap_3 PHY_35 timestamp 1636915332 transform -1 0 18860 0 -1 9792 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_18_11 -timestamp 1636915332 -transform 1 0 1012 0 1 9792 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_18_3 +use sky130_fd_sc_hd__fill_1 FILLER_18_3 timestamp 1636915332 transform 1 0 276 0 1 9792 -box -38 -48 774 592 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_1 FILLER_18_9 +timestamp 1636915332 +transform 1 0 828 0 1 9792 +box -38 -48 130 592 use sky130_fd_sc_hd__decap_3 PHY_36 timestamp 1636915332 transform 1 0 0 0 1 9792 @@ -25258,55 +25947,63 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_182 timestamp 1636915332 transform 1 0 1196 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _457_ +use sky130_fd_sc_hd__inv_4 _267__3 timestamp 1636915332 -transform 1 0 1288 0 1 9792 -box -38 -48 1970 592 -use sky130_fd_sc_hd__fill_1 FILLER_18_35 +transform -1 0 828 0 1 9792 +box -38 -48 498 592 +use sky130_fd_sc_hd__nand3_1 _269_ timestamp 1636915332 -transform 1 0 3220 0 1 9792 +transform -1 0 1656 0 1 9792 +box -38 -48 406 592 +use sky130_fd_sc_hd__nand2_1 _270_ +timestamp 1636915332 +transform -1 0 1196 0 1 9792 +box -38 -48 314 592 +use sky130_fd_sc_hd__dfrtn_1 _482_ +timestamp 1636915332 +transform 1 0 1656 0 1 9792 +box -38 -48 1878 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__482__RESET_B +timestamp 1636915332 +transform 1 0 3956 0 1 9792 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_18_38 +timestamp 1636915332 +transform 1 0 3496 0 1 9792 box -38 -48 130 592 +use sky130_fd_sc_hd__decap_12 FILLER_18_45 +timestamp 1636915332 +transform 1 0 4140 0 1 9792 +box -38 -48 1142 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_183 timestamp 1636915332 transform 1 0 3588 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__nor3b_2 _247_ +use sky130_fd_sc_hd__nor2_1 _384_ timestamp 1636915332 -transform -1 0 4600 0 1 9792 -box -38 -48 958 592 -use sky130_fd_sc_hd__nor2_1 _366_ -timestamp 1636915332 -transform -1 0 3588 0 1 9792 +transform -1 0 3956 0 1 9792 box -38 -48 314 592 -use sky130_fd_sc_hd__fill_2 FILLER_18_56 +use sky130_fd_sc_hd__diode_2 ANTENNA__483__SET_B timestamp 1636915332 -transform 1 0 5152 0 1 9792 +transform 1 0 5796 0 1 9792 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_18_64 +use sky130_fd_sc_hd__decap_6 FILLER_18_57 timestamp 1636915332 -transform 1 0 5888 0 1 9792 -box -38 -48 130 592 +transform 1 0 5244 0 1 9792 +box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_184 timestamp 1636915332 transform 1 0 5980 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__nor2_1 _249_ -timestamp 1636915332 -transform -1 0 4876 0 1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__nand2_1 _250_ -timestamp 1636915332 -transform 1 0 4876 0 1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__o21bai_1 _252_ -timestamp 1636915332 -transform -1 0 5888 0 1 9792 -box -38 -48 590 592 -use sky130_fd_sc_hd__dfstp_1 _459_ +use sky130_fd_sc_hd__dfstp_1 _483_ timestamp 1636915332 transform 1 0 6072 0 1 9792 box -38 -48 1970 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__426__RESET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__347__S +timestamp 1636915332 +transform 1 0 8464 0 1 9792 +box -38 -48 222 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__450__RESET_B timestamp 1636915332 transform 1 0 8740 0 1 9792 box -38 -48 222 592 @@ -25314,15 +26011,15 @@ use sky130_fd_sc_hd__decap_4 FILLER_18_87 timestamp 1636915332 transform 1 0 8004 0 1 9792 box -38 -48 406 592 +use sky130_fd_sc_hd__fill_1 FILLER_18_94 +timestamp 1636915332 +transform 1 0 8648 0 1 9792 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_185 timestamp 1636915332 transform 1 0 8372 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__buf_1 _344_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag -timestamp 1636915332 -transform -1 0 8740 0 1 9792 -box -38 -48 314 592 -use sky130_fd_sc_hd__dfrtp_1 _426_ +use sky130_fd_sc_hd__dfrtp_1 _450_ timestamp 1636915332 transform 1 0 8924 0 1 9792 box -38 -48 1878 592 @@ -25330,42 +26027,38 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_186 timestamp 1636915332 transform 1 0 10764 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__clkbuf_16 clkbuf_0_ext_clk +use sky130_fd_sc_hd__dfrtp_1 _449_ timestamp 1636915332 transform 1 0 10856 0 1 9792 box -38 -48 1878 592 -use sky130_fd_sc_hd__fill_1 FILLER_18_142 +use sky130_fd_sc_hd__diode_2 ANTENNA__447__SET_B timestamp 1636915332 -transform 1 0 13064 0 1 9792 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_1 FILLER_18_144 +transform 1 0 12972 0 1 9792 +box -38 -48 222 592 +use sky130_fd_sc_hd__decap_3 FILLER_18_138 timestamp 1636915332 -transform 1 0 13248 0 1 9792 -box -38 -48 130 592 +transform 1 0 12696 0 1 9792 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_187 timestamp 1636915332 transform 1 0 13156 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _423_ +use sky130_fd_sc_hd__dfstp_1 _447_ timestamp 1636915332 -transform 1 0 13340 0 1 9792 +transform 1 0 13248 0 1 9792 box -38 -48 1970 592 -use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_ext_clk -timestamp 1636915332 -transform -1 0 13064 0 1 9792 -box -38 -48 406 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__421__SET_B +use sky130_fd_sc_hd__diode_2 ANTENNA__445__SET_B timestamp 1636915332 transform 1 0 15824 0 1 9792 box -38 -48 222 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__437__SET_B +use sky130_fd_sc_hd__decap_4 FILLER_18_165 +timestamp 1636915332 +transform 1 0 15180 0 1 9792 +box -38 -48 406 592 +use sky130_fd_sc_hd__fill_2 FILLER_18_170 timestamp 1636915332 transform 1 0 15640 0 1 9792 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_18_166 -timestamp 1636915332 -transform 1 0 15272 0 1 9792 -box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_188 timestamp 1636915332 transform 1 0 15548 0 1 9792 @@ -25382,7 +26075,7 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_189 timestamp 1636915332 transform 1 0 17940 0 1 9792 box -38 -48 130 592 -use sky130_fd_sc_hd__dfstp_1 _421_ +use sky130_fd_sc_hd__dfstp_1 _445_ timestamp 1636915332 transform 1 0 16008 0 1 9792 box -38 -48 1970 592 @@ -25398,14 +26091,18 @@ use sky130_fd_sc_hd__fill_2 FILLER_19_11 timestamp 1636915332 transform 1 0 1012 0 -1 10880 box -38 -48 222 592 -use sky130_fd_sc_hd__fill_1 FILLER_19_14 +use sky130_fd_sc_hd__decap_6 FILLER_19_14 timestamp 1636915332 transform 1 0 1288 0 -1 10880 -box -38 -48 130 592 -use sky130_fd_sc_hd__decap_6 FILLER_19_20 +box -38 -48 590 592 +use sky130_fd_sc_hd__fill_1 FILLER_19_20 timestamp 1636915332 transform 1 0 1840 0 -1 10880 -box -38 -48 590 592 +box -38 -48 130 592 +use sky130_fd_sc_hd__fill_2 FILLER_19_24 +timestamp 1636915332 +transform 1 0 2208 0 -1 10880 +box -38 -48 222 592 use sky130_fd_sc_hd__decap_8 FILLER_19_3 timestamp 1636915332 transform 1 0 276 0 -1 10880 @@ -25418,22 +26115,14 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_190 timestamp 1636915332 transform 1 0 1196 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _258__3 +use sky130_fd_sc_hd__nand2_1 _266_ timestamp 1636915332 -transform -1 0 1840 0 -1 10880 -box -38 -48 498 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__457__SET_B -timestamp 1636915332 -transform 1 0 3220 0 -1 10880 -box -38 -48 222 592 -use sky130_fd_sc_hd__decap_8 FILLER_19_27 +transform -1 0 2208 0 -1 10880 +box -38 -48 314 592 +use sky130_fd_sc_hd__decap_12 FILLER_19_27 timestamp 1636915332 transform 1 0 2484 0 -1 10880 -box -38 -48 774 592 -use sky130_fd_sc_hd__fill_2 FILLER_19_37 -timestamp 1636915332 -transform 1 0 3404 0 -1 10880 -box -38 -48 222 592 +box -38 -48 1142 592 use sky130_fd_sc_hd__decap_4 FILLER_19_47 timestamp 1636915332 transform 1 0 4324 0 -1 10880 @@ -25446,7 +26135,7 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_192 timestamp 1636915332 transform 1 0 3588 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__xor2_1 _367_ +use sky130_fd_sc_hd__xor2_1 _385_ timestamp 1636915332 transform 1 0 3680 0 -1 10880 box -38 -48 682 592 @@ -25458,10 +26147,14 @@ use sky130_fd_sc_hd__decap_12 FILLER_19_53 timestamp 1636915332 transform 1 0 4876 0 -1 10880 box -38 -48 1142 592 -use sky130_fd_sc_hd__decap_12 FILLER_19_66 +use sky130_fd_sc_hd__fill_1 FILLER_19_66 timestamp 1636915332 transform 1 0 6072 0 -1 10880 -box -38 -48 1142 592 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_6 FILLER_19_72 +timestamp 1636915332 +transform 1 0 6624 0 -1 10880 +box -38 -48 590 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_193 timestamp 1636915332 transform 1 0 4784 0 -1 10880 @@ -25470,14 +26163,14 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_194 timestamp 1636915332 transform 1 0 5980 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__343__S +use sky130_fd_sc_hd__inv_4 _252__2 timestamp 1636915332 -transform 1 0 8188 0 -1 10880 +transform -1 0 6624 0 -1 10880 +box -38 -48 498 592 +use sky130_fd_sc_hd__fill_2 FILLER_19_82 +timestamp 1636915332 +transform 1 0 7544 0 -1 10880 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_19_86 -timestamp 1636915332 -transform 1 0 7912 0 -1 10880 -box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_195 timestamp 1636915332 transform 1 0 7176 0 -1 10880 @@ -25486,26 +26179,46 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_196 timestamp 1636915332 transform 1 0 8372 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_2 _343_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__mux2_2 _347_ timestamp 1636915332 transform 1 0 8464 0 -1 10880 box -38 -48 866 592 +use sky130_fd_sc_hd__buf_1 _348_ OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +timestamp 1636915332 +transform -1 0 8004 0 -1 10880 +box -38 -48 314 592 use sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_ext_clk timestamp 1636915332 -transform 1 0 7544 0 -1 10880 +transform 1 0 8004 0 -1 10880 box -38 -48 406 592 use sky130_fd_sc_hd__clkbuf_1 output10 timestamp 1636915332 transform 1 0 7268 0 -1 10880 box -38 -48 314 592 +use sky130_fd_sc_hd__diode_2 ANTENNA__449__RESET_B +timestamp 1636915332 +transform 1 0 10856 0 -1 10880 +box -38 -48 222 592 use sky130_fd_sc_hd__decap_3 FILLER_19_101 timestamp 1636915332 transform 1 0 9292 0 -1 10880 box -38 -48 314 592 +use sky130_fd_sc_hd__decap_4 FILLER_19_105 +timestamp 1636915332 +transform 1 0 9660 0 -1 10880 +box -38 -48 406 592 +use sky130_fd_sc_hd__decap_4 FILLER_19_112 +timestamp 1636915332 +transform 1 0 10304 0 -1 10880 +box -38 -48 406 592 use sky130_fd_sc_hd__fill_1 FILLER_19_116 timestamp 1636915332 transform 1 0 10672 0 -1 10880 box -38 -48 130 592 +use sky130_fd_sc_hd__decap_8 FILLER_19_120 +timestamp 1636915332 +transform 1 0 11040 0 -1 10880 +box -38 -48 774 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_197 timestamp 1636915332 transform 1 0 9568 0 -1 10880 @@ -25514,30 +26227,22 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_198 timestamp 1636915332 transform 1 0 10764 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__mux2_1 _412_ -timestamp 1636915332 -transform -1 0 11684 0 -1 10880 -box -38 -48 866 592 -use sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 -timestamp 1636915332 -transform 1 0 9660 0 -1 10880 -box -38 -48 774 592 use sky130_fd_sc_hd__clkbuf_1 output12 timestamp 1636915332 -transform 1 0 10396 0 -1 10880 +transform 1 0 10028 0 -1 10880 box -38 -48 314 592 -use sky130_fd_sc_hd__diode_2 ANTENNA__423__SET_B +use sky130_fd_sc_hd__fill_2 FILLER_19_128 timestamp 1636915332 -transform 1 0 13248 0 -1 10880 +transform 1 0 11776 0 -1 10880 box -38 -48 222 592 -use sky130_fd_sc_hd__decap_3 FILLER_19_127 -timestamp 1636915332 -transform 1 0 11684 0 -1 10880 -box -38 -48 314 592 use sky130_fd_sc_hd__decap_3 FILLER_19_140 timestamp 1636915332 transform 1 0 12880 0 -1 10880 box -38 -48 314 592 +use sky130_fd_sc_hd__fill_1 FILLER_19_144 +timestamp 1636915332 +transform 1 0 13248 0 -1 10880 +box -38 -48 130 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_199 timestamp 1636915332 transform 1 0 11960 0 -1 10880 @@ -25546,26 +26251,26 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_200 timestamp 1636915332 transform 1 0 13156 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _340__9 +use sky130_fd_sc_hd__inv_4 _344__9 timestamp 1636915332 -transform -1 0 13892 0 -1 10880 +transform -1 0 13800 0 -1 10880 box -38 -48 498 592 -use sky130_fd_sc_hd__mux2_1 _393_ +use sky130_fd_sc_hd__mux2_1 _399_ timestamp 1636915332 -transform 1 0 12052 0 -1 10880 +transform -1 0 12880 0 -1 10880 box -38 -48 866 592 -use sky130_fd_sc_hd__fill_1 FILLER_19_155 +use sky130_fd_sc_hd__fill_2 FILLER_19_154 timestamp 1636915332 -transform 1 0 14260 0 -1 10880 -box -38 -48 130 592 -use sky130_fd_sc_hd__fill_2 FILLER_19_160 +transform 1 0 14168 0 -1 10880 +box -38 -48 222 592 +use sky130_fd_sc_hd__fill_1 FILLER_19_160 timestamp 1636915332 transform 1 0 14720 0 -1 10880 -box -38 -48 222 592 -use sky130_fd_sc_hd__fill_2 FILLER_19_167 +box -38 -48 130 592 +use sky130_fd_sc_hd__decap_3 FILLER_19_166 timestamp 1636915332 -transform 1 0 15364 0 -1 10880 -box -38 -48 222 592 +transform 1 0 15272 0 -1 10880 +box -38 -48 314 592 use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_201 timestamp 1636915332 transform 1 0 14352 0 -1 10880 @@ -25574,11 +26279,11 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_202 timestamp 1636915332 transform 1 0 15548 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _341__8 +use sky130_fd_sc_hd__inv_4 _345__8 timestamp 1636915332 -transform -1 0 15364 0 -1 10880 +transform -1 0 15272 0 -1 10880 box -38 -48 498 592 -use sky130_fd_sc_hd__conb_1 _378__13 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag +use sky130_fd_sc_hd__conb_1 _396__13 OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/mag timestamp 1636915332 transform -1 0 14720 0 -1 10880 box -38 -48 314 592 @@ -25588,7 +26293,7 @@ transform 1 0 15640 0 -1 10880 box -38 -48 774 592 use sky130_fd_sc_hd__buf_2 output11 timestamp 1636915332 -transform -1 0 14260 0 -1 10880 +transform -1 0 14168 0 -1 10880 box -38 -48 406 592 use sky130_fd_sc_hd__diode_2 ANTENNA_input2_A timestamp 1636915332 @@ -25614,11 +26319,11 @@ use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_204 timestamp 1636915332 transform 1 0 17940 0 -1 10880 box -38 -48 130 592 -use sky130_fd_sc_hd__inv_4 _342__7 +use sky130_fd_sc_hd__inv_4 _346__7 timestamp 1636915332 transform -1 0 17296 0 -1 10880 box -38 -48 498 592 -use sky130_fd_sc_hd__nor2_1 _375_ +use sky130_fd_sc_hd__nor2_1 _393_ timestamp 1636915332 transform 1 0 18032 0 -1 10880 box -38 -48 314 592 diff --git a/maglef/caravel_clocking.mag b/maglef/caravel_clocking.mag index f0f86195..829ac127 100644 --- a/maglef/caravel_clocking.mag +++ b/maglef/caravel_clocking.mag @@ -1,7 +1,7 @@ magic tech sky130A magscale 1 2 -timestamp 1638662846 +timestamp 1638876628 << nwell >> rect -38 10053 18898 10619 rect -38 8965 18898 9531 @@ -18,35 +18,40 @@ rect 29 -17 63 17 rect 305 -17 339 17 rect 1043 -10 1075 12 rect 1317 -17 1351 17 -rect 2513 -17 2547 17 +rect 1593 -17 1627 17 +rect 2328 -11 2352 11 +rect 2605 -17 2639 17 +rect 2697 -17 2731 17 +rect 2973 -17 3007 17 rect 3709 -17 3743 17 -rect 4905 -17 4939 17 -rect 5272 -11 5296 11 -rect 5917 -17 5951 17 -rect 6100 -17 6134 17 -rect 6377 -17 6411 17 -rect 7112 -11 7136 11 +rect 5549 -17 5583 17 +rect 5641 -17 5675 17 +rect 6101 -17 6135 17 +rect 6432 -17 6466 17 rect 7297 -17 7331 17 -rect 8493 -17 8527 17 -rect 9044 -11 9068 11 -rect 9412 -17 9446 17 -rect 9504 -11 9528 11 +rect 7573 -17 7607 17 +rect 7665 -17 7699 17 +rect 8548 -17 8582 17 +rect 9321 -17 9355 17 rect 9689 -17 9723 17 -rect 10885 -17 10919 17 -rect 12356 -17 12390 17 -rect 12449 -17 12483 17 -rect 13460 -17 13494 17 -rect 13554 -17 13588 17 -rect 13829 -17 13863 17 -rect 14473 -17 14507 17 -rect 15761 -17 15795 17 -rect 15852 -17 15886 17 -rect 16497 -17 16531 17 -rect 16591 -10 16623 12 -rect 16865 -17 16899 17 -rect 17509 -17 17543 17 -rect 17876 -11 17900 11 +rect 10424 -11 10448 11 +rect 10702 -17 10736 17 +rect 11160 -17 11194 17 +rect 11253 -17 11287 17 +rect 12080 -11 12104 11 +rect 12173 -17 12207 17 +rect 13000 -17 13034 17 +rect 13092 -11 13116 11 +rect 13277 -17 13311 17 +rect 14472 -11 14496 11 +rect 14565 -17 14599 17 +rect 15209 -17 15243 17 +rect 15669 -17 15703 17 +rect 17050 -17 17084 17 +rect 17325 -17 17359 17 +rect 17417 -17 17451 17 rect 18061 -17 18095 17 +rect 18521 -17 18555 17 rect 18797 -17 18831 17 << obsli1 >> rect 0 -17 18860 10897 @@ -85,22 +90,22 @@ rect 19200 3680 20000 3800 rect 19200 2184 20000 2304 rect 19200 688 20000 808 << obsm3 >> -rect 2497 11080 19120 11253 -rect 2497 9864 19200 11080 -rect 2497 9584 19120 9864 -rect 2497 8368 19200 9584 -rect 2497 8088 19120 8368 -rect 2497 6872 19200 8088 -rect 2497 6592 19120 6872 -rect 2497 5376 19200 6592 -rect 2497 5096 19120 5376 -rect 2497 3880 19200 5096 -rect 2497 3600 19120 3880 -rect 2497 2384 19200 3600 -rect 2497 2104 19120 2384 -rect 2497 888 19200 2104 -rect 2497 608 19120 888 -rect 2497 0 19200 608 +rect 3104 11080 19120 11253 +rect 3104 9864 19200 11080 +rect 3104 9584 19120 9864 +rect 3104 8368 19200 9584 +rect 3104 8088 19120 8368 +rect 3104 6872 19200 8088 +rect 3104 6592 19120 6872 +rect 3104 5376 19200 6592 +rect 3104 5096 19120 5376 +rect 3104 3880 19200 5096 +rect 3104 3600 19120 3880 +rect 3104 2384 19200 3600 +rect 3104 2104 19120 2384 +rect 3104 888 19200 2104 +rect 3104 608 19120 888 +rect 3104 0 19200 608 rect 4654 -33 4974 0 rect 7754 -33 8074 0 rect 10854 -33 11174 0 @@ -189,7 +194,7 @@ string LEFclass BLOCK string FIXED_BBOX 0 0 20000 12000 string LEFview TRUE string GDS_FILE ../gds/caravel_clocking.gds -string GDS_END 1197054 -string GDS_START 422960 +string GDS_END 1175822 +string GDS_START 367538 << end >> diff --git a/openlane/caravel_clocking/base.sdc b/openlane/caravel_clocking/base.sdc index f08ef5d9..c2cb1425 100644 --- a/openlane/caravel_clocking/base.sdc +++ b/openlane/caravel_clocking/base.sdc @@ -5,20 +5,20 @@ create_clock [get_ports {"pll_clk90"} ] -name "pll_clk90" -period 6.66666666666 ## GENERATED CLOCKS # divided PLL clocks -create_generated_clock -name pll_clk_divided -source [get_ports pll_clk] -divide_by 2 [get_pins _351_/Y] -create_generated_clock -name pll_clk90_divided -source [get_ports pll_clk90] -divide_by 2 [get_pins _354_/Y] +create_generated_clock -name pll_clk_divided -source [get_ports pll_clk] -divide_by 2 [get_pins _355_/Y] +create_generated_clock -name pll_clk90_divided -source [get_ports pll_clk90] -divide_by 2 [get_pins _357_/Y] # assign core_ext_clk = (use_pll_first) ? ext_clk_syncd : ext_clk; -create_generated_clock -name core_ext_clk -source [get_ports ext_clk] -divide_by 1 [get_pins _343_/X] -create_generated_clock -name core_ext_clk_syncd -source [get_pins _420_/Q] -divide_by 1 [get_pins _343_/X] +create_generated_clock -name core_ext_clk -source [get_ports ext_clk] -divide_by 1 [get_pins _347_/X] +create_generated_clock -name core_ext_clk_syncd -source [get_pins _444_/Q] -divide_by 1 [get_pins _347_/X] # assign core_clk = (use_pll_second) ? pll_clk_divided : core_ext_clk; -create_generated_clock -name core_clk -source [get_pins _343_/X] -divide_by 1 [get_ports core_clk] -create_generated_clock -name core_clk_pll -source [get_pins _351_/Y] -divide_by 1 [get_ports core_clk] +create_generated_clock -name core_clk -source [get_pins _347_/X] -divide_by 1 [get_ports core_clk] +create_generated_clock -name core_clk_pll -source [get_pins _355_/Y] -divide_by 1 [get_ports core_clk] # assign user_clk = (use_pll_second) ? pll_clk90_divided : core_ext_clk; -create_generated_clock -name user_clk -source [get_pins _343_/X] -divide_by 1 [get_ports user_clk] -create_generated_clock -name user_clk_pll -source [get_pins _354_/Y] -divide_by 1 [get_ports user_clk] +create_generated_clock -name user_clk -source [get_pins _347_/X] -divide_by 1 [get_ports user_clk] +create_generated_clock -name user_clk_pll -source [get_pins _357_/Y] -divide_by 1 [get_ports user_clk] # logically exclusive clocks, the generated pll clocks and the ext core clk set_clock_groups -logically_exclusive -group core_ext_clk -group core_ext_clk_syncd diff --git a/openlane/caravel_clocking/config.tcl b/openlane/caravel_clocking/config.tcl index 30c9afdc..5fb5d11e 100644 --- a/openlane/caravel_clocking/config.tcl +++ b/openlane/caravel_clocking/config.tcl @@ -56,7 +56,7 @@ set ::env(FP_PDN_HPITCH) 16.9 set ::env(FP_PDN_VPITCH) 15.5 ## Placement -set ::env(PL_TARGET_DENSITY) 0.715 +set ::env(PL_TARGET_DENSITY) 0.74 set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1 set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1 diff --git a/sdc/caravel_clocking.sdc b/sdc/caravel_clocking.sdc index 47b80725..1ae102c4 100644 --- a/sdc/caravel_clocking.sdc +++ b/sdc/caravel_clocking.sdc @@ -1,6 +1,6 @@ ############################################################################### # Created by write_sdc -# Sun Dec 5 00:06:34 2021 +# Tue Dec 7 11:29:52 2021 ############################################################################### current_design caravel_clocking ############################################################################### @@ -18,15 +18,15 @@ create_clock -name pll_clk90 -period 6.6667 [get_ports {pll_clk90}] set_clock_transition 0.1500 [get_clocks {pll_clk90}] set_clock_uncertainty 0.2500 pll_clk90 set_propagated_clock [get_clocks {pll_clk90}] -create_generated_clock -name pll_clk_divided -source [get_ports {pll_clk}] -divide_by 2 [get_pins {_351_/Y}] +create_generated_clock -name pll_clk_divided -source [get_ports {pll_clk}] -divide_by 2 [get_pins {_355_/Y}] set_propagated_clock [get_clocks {pll_clk_divided}] -create_generated_clock -name pll_clk90_divided -source [get_ports {pll_clk90}] -divide_by 2 [get_pins {_354_/Y}] +create_generated_clock -name pll_clk90_divided -source [get_ports {pll_clk90}] -divide_by 2 [get_pins {_357_/Y}] set_propagated_clock [get_clocks {pll_clk90_divided}] -create_generated_clock -name core_ext_clk_syncd -source [get_pins {_420_/Q}] -divide_by 1 [get_pins {_343_/X}] +create_generated_clock -name core_ext_clk_syncd -source [get_pins {_444_/Q}] -divide_by 1 [get_pins {_347_/X}] set_propagated_clock [get_clocks {core_ext_clk_syncd}] -create_generated_clock -name core_clk_pll -source [get_pins {_351_/Y}] -divide_by 1 [get_ports {core_clk}] +create_generated_clock -name core_clk_pll -source [get_pins {_355_/Y}] -divide_by 1 [get_ports {core_clk}] set_propagated_clock [get_clocks {core_clk_pll}] -create_generated_clock -name user_clk_pll -source [get_pins {_354_/Y}] -divide_by 1 [get_ports {user_clk}] +create_generated_clock -name user_clk_pll -source [get_pins {_357_/Y}] -divide_by 1 [get_ports {user_clk}] set_propagated_clock [get_clocks {user_clk_pll}] set_clock_groups -name group1 -logically_exclusive \ -group [get_clocks {core_ext_clk_syncd}] diff --git a/sdf/caravel_clocking.sdf b/sdf/caravel_clocking.sdf index fee6c164..ae3b537e 100644 --- a/sdf/caravel_clocking.sdf +++ b/sdf/caravel_clocking.sdf @@ -1,11 +1,11 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "caravel_clocking") - (DATE "Sun Dec 5 00:07:18 2021") + (DATE "Tue Dec 7 11:30:23 2021") (VENDOR "Parallax") (PROGRAM "STA") - (VERSION "2.3.0") - (DIVIDER /) + (VERSION "2.3.1") + (DIVIDER .) (VOLTAGE 1.800::1.800) (PROCESS "1.000::1.000") (TEMPERATURE 25.000::25.000) @@ -15,1103 +15,1010 @@ (INSTANCE) (DELAY (ABSOLUTE - (INTERCONNECT ext_clk clkbuf_0_ext_clk/A (0.107::0.107) (0.060::0.060)) - (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A/DIODE (0.107::0.107) (0.059::0.059)) - (INTERCONNECT ext_clk_sel input1/A (0.023::0.023) (0.012::0.012)) - (INTERCONNECT ext_clk_sel ANTENNA_input1_A/DIODE (0.023::0.023) (0.012::0.012)) - (INTERCONNECT ext_reset input2/A (0.027::0.027) (0.015::0.015)) - (INTERCONNECT ext_reset ANTENNA_input2_A/DIODE (0.027::0.027) (0.015::0.015)) - (INTERCONNECT pll_clk clkbuf_0_pll_clk/A (0.113::0.113) (0.063::0.063)) - (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A/DIODE (0.113::0.113) (0.063::0.063)) - (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90/A (0.107::0.107) (0.060::0.060)) - (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A/DIODE (0.107::0.107) (0.059::0.059)) - (INTERCONNECT resetb input3/A (0.069::0.069) (0.038::0.038)) - (INTERCONNECT resetb ANTENNA_input3_A/DIODE (0.069::0.069) (0.037::0.037)) - (INTERCONNECT sel2[0] input4/A (0.023::0.023) (0.013::0.013)) - (INTERCONNECT sel2[0] ANTENNA_input4_A/DIODE (0.023::0.023) (0.013::0.013)) - (INTERCONNECT sel2[1] input5/A (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel2[1] ANTENNA_input5_A/DIODE (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel2[2] input6/A (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel2[2] ANTENNA_input6_A/DIODE (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel[0] input7/A (0.023::0.023) (0.013::0.013)) - (INTERCONNECT sel[0] ANTENNA_input7_A/DIODE (0.023::0.023) (0.013::0.013)) - (INTERCONNECT sel[1] input8/A (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel[1] ANTENNA_input8_A/DIODE (0.024::0.024) (0.013::0.013)) - (INTERCONNECT sel[2] input9/A (0.023::0.023) (0.013::0.013)) - (INTERCONNECT sel[2] ANTENNA_input9_A/DIODE (0.023::0.023) (0.012::0.012)) - (INTERCONNECT _204_/X _205_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _205_/X _469_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _206_/X _207_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _207_/X _468_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _208_/X _210_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _209_/X _210_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _210_/Y _218_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _211_/Y _214_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _212_/X _214_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _213_/X _214_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _213_/X _222_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _214_/Y _218_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _215_/Y _217_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _215_/Y _224_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Y _217_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Y _223_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Y _229_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Y _231_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _216_/Y _254_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _217_/Y _218_/B1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _217_/Y _228_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _217_/Y _230_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _217_/Y _232_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _217_/Y _390_/A0 (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _218_/X _467_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _219_/Y _220_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _220_/Y _222_/A_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _220_/Y _225_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _220_/Y _347_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _221_/Y _222_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _221_/Y _225_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _222_/Y _226_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _223_/X _226_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _223_/X _227_/B (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _223_/X _253_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _223_/X _256_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _223_/X _260_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _224_/X _225_/A1 (0.002::0.002) (0.001::0.001)) - (INTERCONNECT _224_/X _227_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _224_/X _229_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _224_/X _231_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _224_/X _354_/B1 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _225_/Y _226_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _226_/Y _466_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _227_/Y _228_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _228_/X _465_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _229_/Y _230_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _230_/X _464_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _231_/Y _232_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _232_/X _463_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _233_/Y _238_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _233_/Y _243_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _234_/Y _238_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _234_/Y _245_/C1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _234_/Y _251_/C1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _235_/Y _237_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _236_/Y _237_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _236_/Y _243_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _237_/Y _238_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _237_/Y _242_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _238_/X _462_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _239__1/Y _461_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _240_/Y _242_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _241_/X _242_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _242_/Y _461_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _243_/X _460_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _244__2/Y _459_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _245_/X _248_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _245_/X _390_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _246_/Y _248_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _246_/Y _252_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _247_/Y _248_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _247_/Y _383_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _247_/Y _395_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _247_/Y _408_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _248_/Y _253_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _249_/Y _250_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _250_/Y _252_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _251_/Y _252_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _251_/Y _254_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _251_/Y _256_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _251_/Y _260_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _252_/Y _253_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _253_/Y _459_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _254_/Y _255_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _254_/Y _259_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _254_/Y _263_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _254_/Y _264_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _255_/Y _257_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _256_/Y _257_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _257_/Y _458_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _258__3/Y _457_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _259_/Y _261_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _260_/Y _261_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _261_/Y _457_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _262_/Y _264_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _262_/Y _383_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _263_/Y _264_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _264_/Y _456_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _265_/Y _268_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _265_/Y _269_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _265_/Y _346_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _266_/Y _268_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _266_/Y _269_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _266_/Y _354_/A1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _267_/Y _268_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _267_/Y _269_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _268_/X _270_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _269_/Y _270_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _270_/Y _455_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _271_/Y _272_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _272_/Y _275_/A_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _272_/Y _280_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _272_/Y _345_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _273_/Y _275_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _273_/Y _280_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _274_/X _275_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _274_/X _324_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _275_/Y _281_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _276_/Y _277_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _276_/Y _282_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _277_/X _281_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _277_/X _284_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _277_/X _312_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _277_/X _328_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _277_/X _332_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _278_/Y _279_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _278_/Y _283_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _278_/Y _303_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _279_/X _280_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _279_/X _284_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _279_/X _286_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _279_/X _288_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _279_/X _351_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _280_/Y _281_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _281_/Y _454_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _282_/X _283_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _282_/X _286_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _282_/X _288_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _282_/X _293_/B (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _282_/X _326_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _283_/Y _285_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _283_/Y _287_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _283_/Y _289_/A2 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _283_/Y _325_/B1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _283_/Y _397_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _284_/Y _285_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _285_/X _453_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _286_/Y _287_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _287_/X _452_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _288_/Y _289_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _289_/X _451_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _290_/Y _292_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _291_/Y _292_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _291_/Y _301_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _292_/Y _297_/A2_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _292_/Y _300_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _293_/Y _297_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _293_/Y _301_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _294_/Y _296_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _295_/Y _296_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _295_/Y _300_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _296_/Y _297_/B2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _296_/Y _303_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _297_/Y _450_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _298__4/Y _449_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _299_/X _300_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _300_/Y _449_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _301_/X _448_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _302__5/Y _447_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _303_/Y _397_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _303_/Y rebuffer5/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _304_/Y _306_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _304_/Y _311_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _305_/Y _306_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _305_/Y _381_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _305_/Y _385_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _305_/Y _406_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _306_/Y _312_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _307_/Y _308_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _308_/Y _311_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _309_/Y _310_/C1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _310_/Y _311_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _310_/Y _326_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _310_/Y _328_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _310_/Y _332_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _311_/Y _312_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _312_/Y _447_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _313_/Y _314_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _314_/X _446_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _315_/X _316_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _316_/X _445_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _317_/X _318_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _318_/X _444_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _319_/X _321_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _320_/X _321_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _321_/Y _325_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _322_/Y _324_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _323_/X _324_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _324_/Y _325_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _325_/X _443_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _326_/Y _327_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _326_/Y _331_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _326_/Y _335_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _326_/Y _336_/A2 (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _327_/Y _329_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _328_/Y _329_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _329_/Y _442_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _330__6/Y _441_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _331_/Y _333_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _332_/Y _333_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _333_/Y _441_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _334_/Y _336_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _334_/Y _385_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _335_/Y _336_/B1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _336_/Y _440_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _337_/Y _338_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _337_/Y _364_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _338_/Y _339_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _339_/Y _439_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _340__9/Y _423_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _341__8/Y _422_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _342__7/Y _421_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _343_/X _344_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _344_/X _420_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _345_/Y _398_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _345_/Y _401_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _345_/Y _404_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _346_/Y _387_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _346_/Y _410_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _347_/Y _379_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _347_/Y _388_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _347_/Y _391_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _348_/Y _411_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _349_/Y _351_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _350_/X _351_/B1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _351_/Y _393_/A1 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _351_/Y _427_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _351_/Y _428_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _351_/Y _429_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _351_/Y _430_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _351_/Y _431_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _351_/Y _432_/CLK (0.002::0.002) (0.001::0.001)) - (INTERCONNECT _352_/Y _413_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _353_/Y _354_/B2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _354_/Y _394_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _354_/Y _433_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _354_/Y _434_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _354_/Y _435_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _354_/Y _436_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _354_/Y _437_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _354_/Y _438_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _355_/Y _381_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _356_/Y _357_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _357_/X _406_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _358_/Y _404_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _359_/Y _401_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _360_/Y _361_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _361_/X _398_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _362_/Y _400_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _363_/Y _403_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _364_/Y _400_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _364_/Y _403_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _365_/Y _408_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _366_/Y _367_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _367_/X _395_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _368_/Y _379_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _369_/Y _391_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _370_/Y _371_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _371_/X _388_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _372_/Y _387_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _373_/Y _410_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _374_/Y _424_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _375_/Y output11/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _376_/Y _377_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _377_/X _470_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _378__13/LO _423_/D (0.000::0.000)) - (INTERCONNECT _379_/X _380_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _380_/X _232_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _381_/X _382_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _382_/X _331_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _383_/X _384_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _384_/X _263_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _385_/X _386_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _386_/X _335_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _387_/X _206_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _388_/X _389_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _389_/X _228_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _390_/X _233_/C_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _390_/X _236_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _390_/X _241_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _391_/X _392_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _392_/X _230_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _393_/X output10/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _393_/X _342__7/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _393_/X _341__8/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _393_/X _340__9/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _394_/X output12/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _395_/X _396_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _396_/X _255_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _397_/X _293_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _397_/X rebuffer6/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _398_/X _399_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _399_/X _285_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _400_/X _317_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _401_/X _402_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _402_/X _287_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _403_/X _315_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _404_/X _405_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _405_/X _289_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _406_/X _407_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _407_/X _327_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _408_/X _409_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _409_/X _259_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _410_/X _204_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _411_/X _350_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _412_/X _393_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _412_/X _394_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _413_/X _354_/A2_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _414_/Q _321_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _415_/Q _319_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _415_/Q _320_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _416_/Q _322_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _416_/Q _323_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _417_/Q _210_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _418_/Q _208_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _418_/Q _209_/B_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _419_/Q _211_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _419_/Q _212_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _420_/Q _343_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _420_/Q hold1/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _421_/Q _375_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _422_/Q hold3/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _423_/Q hold2/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _424_/Q _412_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _424_/Q _425_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _425_/Q _393_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _425_/Q _394_/S (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _426_/Q _412_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _427_/Q _430_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _428_/Q _431_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _429_/Q _432_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _430_/Q _274_/B1 (0.006::0.006) (0.006::0.006)) - (INTERCONNECT _430_/Q _278_/B1 (0.015::0.015) (0.015::0.015)) - (INTERCONNECT _430_/Q _310_/B1 (0.015::0.015) (0.014::0.014)) - (INTERCONNECT _430_/Q _313_/A (0.019::0.019) (0.018::0.018)) - (INTERCONNECT _430_/Q _315_/S (0.019::0.019) (0.018::0.018)) - (INTERCONNECT _430_/Q _317_/S (0.019::0.019) (0.018::0.018)) - (INTERCONNECT _430_/Q _338_/A_N (0.019::0.019) (0.018::0.018)) - (INTERCONNECT _430_/Q _350_/A_N (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _430_/Q _385_/A1 (0.004::0.004) (0.003::0.003)) - (INTERCONNECT _430_/Q _386_/A1 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _430_/Q _404_/A1 (0.014::0.014) (0.014::0.014)) - (INTERCONNECT _430_/Q _405_/A1 (0.016::0.016) (0.015::0.015)) - (INTERCONNECT _430_/Q _414_/D (0.005::0.005) (0.004::0.004)) - (INTERCONNECT _430_/Q ANTENNA__414__D/DIODE (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _430_/Q ANTENNA__405__A1/DIODE (0.014::0.014) (0.014::0.014)) - (INTERCONNECT _430_/Q ANTENNA__404__A1/DIODE (0.014::0.014) (0.014::0.014)) - (INTERCONNECT _430_/Q ANTENNA__386__A1/DIODE (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _430_/Q ANTENNA__385__A1/DIODE (0.004::0.004) (0.003::0.003)) - (INTERCONNECT _430_/Q ANTENNA__350__A_N/DIODE (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _430_/Q ANTENNA__338__A_N/DIODE (0.017::0.017) (0.016::0.016)) - (INTERCONNECT _430_/Q ANTENNA__317__S/DIODE (0.019::0.019) (0.018::0.018)) - (INTERCONNECT _430_/Q ANTENNA__315__S/DIODE (0.017::0.017) (0.016::0.016)) - (INTERCONNECT _430_/Q ANTENNA__313__A/DIODE (0.017::0.017) (0.016::0.016)) - (INTERCONNECT _430_/Q ANTENNA__310__B1/DIODE (0.015::0.015) (0.014::0.014)) - (INTERCONNECT _430_/Q ANTENNA__278__B1/DIODE (0.015::0.015) (0.014::0.014)) - (INTERCONNECT _430_/Q ANTENNA__274__B1/DIODE (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _431_/Q _274_/A2 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _431_/Q _278_/A2 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _431_/Q _310_/A2 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _431_/Q _320_/B_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _431_/Q _381_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _431_/Q _382_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _431_/Q split4/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _432_/Q _274_/A1 (0.003::0.003) (0.002::0.002)) - (INTERCONNECT _432_/Q _278_/A1 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _432_/Q _310_/A1 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _432_/Q _322_/A (0.003::0.003) (0.002::0.002)) - (INTERCONNECT _432_/Q _323_/A (0.003::0.003) (0.002::0.002)) - (INTERCONNECT _432_/Q _348_/A (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _432_/Q _398_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _432_/Q _399_/A1 (0.004::0.004) (0.003::0.003)) - (INTERCONNECT _432_/Q _403_/A0 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _432_/Q _406_/A1 (0.003::0.003) (0.002::0.002)) - (INTERCONNECT _432_/Q _407_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _432_/Q _416_/D (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _433_/Q _436_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _434_/Q _437_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _435_/Q _438_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _436_/Q _204_/S (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _436_/Q _206_/S (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _436_/Q _213_/B1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _436_/Q _215_/B1 (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _436_/Q _245_/B1 (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _436_/Q _251_/B1 (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _436_/Q _266_/A (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _436_/Q _376_/B (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _436_/Q _379_/A1 (0.006::0.006) (0.006::0.006)) - (INTERCONNECT _436_/Q _380_/A1 (0.007::0.007) (0.006::0.006)) - (INTERCONNECT _436_/Q _383_/A1 (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _436_/Q _384_/A1 (0.007::0.007) (0.007::0.007)) - (INTERCONNECT _436_/Q _417_/D (0.006::0.006) (0.006::0.006)) - (INTERCONNECT _437_/Q _208_/B_N (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _437_/Q _209_/A (0.004::0.004) (0.003::0.003)) - (INTERCONNECT _437_/Q _213_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _437_/Q _215_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _437_/Q _245_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _437_/Q _251_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _437_/Q _352_/A (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _437_/Q _387_/A0 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _437_/Q _391_/A1 (0.005::0.005) (0.004::0.004)) - (INTERCONNECT _437_/Q _392_/A1 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _437_/Q _408_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _437_/Q _409_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _437_/Q _418_/D (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _438_/Q _211_/B (0.005::0.005) (0.004::0.004)) - (INTERCONNECT _438_/Q _212_/B (0.005::0.005) (0.004::0.004)) - (INTERCONNECT _438_/Q _213_/A2 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _438_/Q _215_/A2 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _438_/Q _245_/A2 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _438_/Q _251_/A2 (0.006::0.006) (0.005::0.005)) - (INTERCONNECT _438_/Q _352_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _438_/Q _388_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _438_/Q _389_/A1 (0.004::0.004) (0.003::0.003)) - (INTERCONNECT _438_/Q _395_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _438_/Q _396_/A1 (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _438_/Q _410_/A0 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _438_/Q _419_/D (0.005::0.005) (0.005::0.005)) - (INTERCONNECT _439_/Q _339_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _439_/Q _411_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _440_/Q _305_/C_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _440_/Q _308_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _440_/Q _334_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _440_/Q _355_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _440_/Q _356_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _441_/Q _305_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _441_/Q _307_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _441_/Q _332_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _441_/Q _355_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _441_/Q _356_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _442_/Q _305_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _442_/Q _307_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _442_/Q _328_/C (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _442_/Q _357_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _443_/Q _276_/A (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _443_/Q _292_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _443_/Q _382_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _443_/Q _386_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _443_/Q _397_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _443_/Q _399_/S (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _443_/Q _402_/S (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _443_/Q _405_/S (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _443_/Q _407_/S (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _444_/Q _313_/C (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _444_/Q _317_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _444_/Q _338_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _444_/Q _362_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _444_/Q _363_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _444_/Q _364_/B (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _445_/Q _313_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _445_/Q _315_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _445_/Q _337_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _445_/Q _363_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _446_/Q _314_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _446_/Q _337_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _447_/Q _304_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _447_/Q _349_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _448_/Q _290_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _448_/Q _293_/A_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _448_/Q _299_/A_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _448_/Q _301_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _449_/Q _290_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _449_/Q _295_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _449_/Q _309_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _450_/Q _294_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _450_/Q _297_/A1_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _450_/Q _309_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _451_/Q _272_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _451_/Q _288_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _451_/Q _358_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _451_/Q _359_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _451_/Q _360_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _452_/Q _271_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _452_/Q _286_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _452_/Q _359_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _452_/Q _360_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _453_/Q _271_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _453_/Q _284_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _453_/Q _361_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _454_/Q _273_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _454_/Q _349_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _455_/Q _267_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _455_/Q _413_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _456_/Q _247_/C_N (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _456_/Q _250_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _456_/Q _262_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _456_/Q _365_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _456_/Q _366_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _457_/Q _247_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _457_/Q _249_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _457_/Q _260_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _457_/Q _365_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _457_/Q _366_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _458_/Q _247_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _458_/Q _249_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _458_/Q _256_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _458_/Q _367_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _459_/Q _246_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _459_/Q _353_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _460_/Q _233_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _460_/Q _235_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _460_/Q _241_/A_N (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _460_/Q _243_/A2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _461_/Q _234_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _461_/Q _235_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _461_/Q _240_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _462_/Q _234_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _462_/Q _238_/B2 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _463_/Q _220_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _463_/Q _231_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _463_/Q _368_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _463_/Q _369_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _463_/Q _370_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _464_/Q _219_/B (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _464_/Q _229_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _464_/Q _369_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _464_/Q _370_/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _465_/Q _219_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _465_/Q _227_/C (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _465_/Q _371_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _466_/Q _221_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _466_/Q _353_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _467_/Q _216_/A (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _467_/Q _233_/A (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _467_/Q _237_/A1 (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _467_/Q _380_/S (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _467_/Q _384_/S (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _467_/Q _389_/S (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _467_/Q _390_/A1 (0.003::0.003) (0.003::0.003)) - (INTERCONNECT _467_/Q _392_/S (0.002::0.002) (0.002::0.002)) - (INTERCONNECT _467_/Q _396_/S (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _467_/Q _409_/S (0.004::0.004) (0.004::0.004)) - (INTERCONNECT _468_/Q _206_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _468_/Q _265_/C_N (0.001::0.001) (0.000::0.000)) - (INTERCONNECT _468_/Q _372_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _468_/Q _373_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _468_/Q _376_/C (0.001::0.001) (0.001::0.001)) - (INTERCONNECT _469_/Q _204_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _469_/Q _265_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _469_/Q _373_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _469_/Q _376_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _470_/Q _265_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT _470_/Q _377_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_ext_clk/X clkbuf_1_0_0_ext_clk/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_ext_clk/X clkbuf_1_1_0_ext_clk/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_pll_clk/X clkbuf_1_0_0_pll_clk/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_pll_clk/X clkbuf_1_1_0_pll_clk/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_pll_clk90/X clkbuf_1_0_0_pll_clk90/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_0_pll_clk90/X clkbuf_1_1_0_pll_clk90/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_0_0_ext_clk/X _343_/A1 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _298__4/A (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _453_/CLK (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _452_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _451_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _450_/CLK_N (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _448_/CLK_N (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _446_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _445_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _444_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _439_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk/X _420_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _258__3/A (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _244__2/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _239__1/A (0.003::0.003) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _464_/CLK (0.002::0.002) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _463_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _462_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _460_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _458_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _456_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _419_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _418_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_ext_clk/X _412_/A0 (0.000::0.000) (0.000::0.000)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _330__6/A (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _302__5/A (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _454_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _443_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _442_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _440_/CLK_N (0.003::0.003) (0.003::0.003)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _426_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _425_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _424_/CLK (0.003::0.003) (0.002::0.002)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _416_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _415_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _414_/CLK (0.003::0.003) (0.002::0.002)) - (INTERCONNECT clkbuf_1_1_0_pll_clk/X _411_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _470_/CLK (0.002::0.002) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _469_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _468_/CLK (0.002::0.002) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _467_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _466_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _465_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _455_/CLK (0.002::0.002) (0.002::0.002)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _417_/CLK (0.001::0.001) (0.001::0.001)) - (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _413_/A1 (0.001::0.001) (0.001::0.001)) - (INTERCONNECT hold1/X _426_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT hold2/X _422_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT hold3/X _421_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input1/X _374_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input2/X _375_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input3/X _470_/RESET_B (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X _469_/RESET_B (0.053::0.053) (0.050::0.050)) - (INTERCONNECT input3/X _468_/SET_B (0.062::0.062) (0.058::0.058)) - (INTERCONNECT input3/X _467_/RESET_B (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X _466_/SET_B (0.056::0.056) (0.052::0.052)) - (INTERCONNECT input3/X _465_/RESET_B (0.053::0.053) (0.049::0.049)) - (INTERCONNECT input3/X _464_/SET_B (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X _463_/RESET_B (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X _462_/RESET_B (0.007::0.007) (0.007::0.007)) - (INTERCONNECT input3/X _461_/SET_B (0.015::0.015) (0.015::0.015)) - (INTERCONNECT input3/X _460_/RESET_B (0.011::0.011) (0.010::0.010)) - (INTERCONNECT input3/X _459_/SET_B (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X _458_/RESET_B (0.004::0.004) (0.004::0.004)) - (INTERCONNECT input3/X _457_/SET_B (0.059::0.059) (0.054::0.054)) - (INTERCONNECT input3/X _456_/RESET_B (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input3/X _455_/SET_B (0.061::0.061) (0.057::0.057)) - (INTERCONNECT input3/X _454_/SET_B (0.047::0.047) (0.043::0.043)) - (INTERCONNECT input3/X _453_/RESET_B (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X _452_/SET_B (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X _451_/RESET_B (0.034::0.034) (0.032::0.032)) - (INTERCONNECT input3/X _450_/RESET_B (0.037::0.037) (0.035::0.035)) - (INTERCONNECT input3/X _449_/SET_B (0.034::0.034) (0.032::0.032)) - (INTERCONNECT input3/X _448_/RESET_B (0.034::0.034) (0.032::0.032)) - (INTERCONNECT input3/X _447_/SET_B (0.045::0.045) (0.042::0.042)) - (INTERCONNECT input3/X _446_/RESET_B (0.024::0.024) (0.023::0.023)) - (INTERCONNECT input3/X _445_/RESET_B (0.021::0.021) (0.020::0.020)) - (INTERCONNECT input3/X _444_/SET_B (0.017::0.017) (0.016::0.016)) - (INTERCONNECT input3/X _443_/RESET_B (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X _442_/RESET_B (0.045::0.045) (0.042::0.042)) - (INTERCONNECT input3/X _441_/SET_B (0.064::0.064) (0.060::0.060)) - (INTERCONNECT input3/X _440_/RESET_B (0.064::0.064) (0.060::0.060)) - (INTERCONNECT input3/X _439_/SET_B (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X _438_/RESET_B (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X _437_/SET_B (0.061::0.061) (0.056::0.056)) - (INTERCONNECT input3/X _436_/RESET_B (0.061::0.061) (0.056::0.056)) - (INTERCONNECT input3/X _435_/RESET_B (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X _434_/SET_B (0.060::0.060) (0.056::0.056)) - (INTERCONNECT input3/X _433_/RESET_B (0.062::0.062) (0.058::0.058)) - (INTERCONNECT input3/X _432_/RESET_B (0.064::0.064) (0.059::0.059)) - (INTERCONNECT input3/X _431_/SET_B (0.064::0.064) (0.059::0.059)) - (INTERCONNECT input3/X _430_/RESET_B (0.064::0.064) (0.059::0.059)) - (INTERCONNECT input3/X _429_/RESET_B (0.063::0.063) (0.058::0.058)) - (INTERCONNECT input3/X _428_/SET_B (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X _427_/RESET_B (0.064::0.064) (0.060::0.060)) - (INTERCONNECT input3/X _426_/RESET_B (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X _425_/RESET_B (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X _424_/RESET_B (0.052::0.052) (0.049::0.049)) - (INTERCONNECT input3/X _423_/SET_B (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X _422_/SET_B (0.059::0.059) (0.055::0.055)) - (INTERCONNECT input3/X _421_/SET_B (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X _343_/S (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__343__S/DIODE (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__421__SET_B/DIODE (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X ANTENNA__422__SET_B/DIODE (0.059::0.059) (0.055::0.055)) - (INTERCONNECT input3/X ANTENNA__423__SET_B/DIODE (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X ANTENNA__424__RESET_B/DIODE (0.052::0.052) (0.049::0.049)) - (INTERCONNECT input3/X ANTENNA__425__RESET_B/DIODE (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__426__RESET_B/DIODE (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__427__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__428__SET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__429__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__430__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__431__SET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__432__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__433__RESET_B/DIODE (0.062::0.062) (0.057::0.057)) - (INTERCONNECT input3/X ANTENNA__434__SET_B/DIODE (0.060::0.060) (0.055::0.055)) - (INTERCONNECT input3/X ANTENNA__435__RESET_B/DIODE (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X ANTENNA__436__RESET_B/DIODE (0.061::0.061) (0.056::0.056)) - (INTERCONNECT input3/X ANTENNA__437__SET_B/DIODE (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X ANTENNA__438__RESET_B/DIODE (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__439__SET_B/DIODE (0.016::0.016) (0.015::0.015)) - (INTERCONNECT input3/X ANTENNA__440__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input3/X ANTENNA__441__SET_B/DIODE (0.064::0.064) (0.060::0.060)) - (INTERCONNECT input3/X ANTENNA__442__RESET_B/DIODE (0.045::0.045) (0.042::0.042)) - (INTERCONNECT input3/X ANTENNA__443__RESET_B/DIODE (0.050::0.050) (0.047::0.047)) - (INTERCONNECT input3/X ANTENNA__444__SET_B/DIODE (0.017::0.017) (0.016::0.016)) - (INTERCONNECT input3/X ANTENNA__445__RESET_B/DIODE (0.021::0.021) (0.020::0.020)) - (INTERCONNECT input3/X ANTENNA__446__RESET_B/DIODE (0.026::0.026) (0.025::0.025)) - (INTERCONNECT input3/X ANTENNA__447__SET_B/DIODE (0.042::0.042) (0.039::0.039)) - (INTERCONNECT input3/X ANTENNA__448__RESET_B/DIODE (0.034::0.034) (0.032::0.032)) - (INTERCONNECT input3/X ANTENNA__449__SET_B/DIODE (0.030::0.030) (0.029::0.029)) - (INTERCONNECT input3/X ANTENNA__450__RESET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__451__RESET_B/DIODE (0.034::0.034) (0.032::0.032)) - (INTERCONNECT input3/X ANTENNA__452__SET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__453__RESET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__454__SET_B/DIODE (0.041::0.041) (0.038::0.038)) - (INTERCONNECT input3/X ANTENNA__455__SET_B/DIODE (0.061::0.061) (0.056::0.056)) - (INTERCONNECT input3/X ANTENNA__456__RESET_B/DIODE (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input3/X ANTENNA__457__SET_B/DIODE (0.058::0.058) (0.054::0.054)) - (INTERCONNECT input3/X ANTENNA__458__RESET_B/DIODE (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input3/X ANTENNA__459__SET_B/DIODE (0.005::0.005) (0.005::0.005)) - (INTERCONNECT input3/X ANTENNA__460__RESET_B/DIODE (0.011::0.011) (0.011::0.011)) - (INTERCONNECT input3/X ANTENNA__461__SET_B/DIODE (0.015::0.015) (0.015::0.015)) - (INTERCONNECT input3/X ANTENNA__462__RESET_B/DIODE (0.008::0.008) (0.008::0.008)) - (INTERCONNECT input3/X ANTENNA__463__RESET_B/DIODE (0.016::0.016) (0.015::0.015)) - (INTERCONNECT input3/X ANTENNA__464__SET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__465__RESET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__466__SET_B/DIODE (0.057::0.057) (0.053::0.053)) - (INTERCONNECT input3/X ANTENNA__467__RESET_B/DIODE (0.035::0.035) (0.033::0.033)) - (INTERCONNECT input3/X ANTENNA__468__SET_B/DIODE (0.062::0.062) (0.058::0.058)) - (INTERCONNECT input3/X ANTENNA__469__RESET_B/DIODE (0.054::0.054) (0.050::0.050)) - (INTERCONNECT input3/X ANTENNA__470__RESET_B/DIODE (0.063::0.063) (0.059::0.059)) - (INTERCONNECT input4/X _433_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input5/X _434_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input6/X _435_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input7/X _427_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input8/X _428_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT input9/X _429_/D (0.000::0.000) (0.000::0.000)) - (INTERCONNECT output10/X core_clk (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output11/X resetb_sync (0.001::0.001) (0.001::0.001)) - (INTERCONNECT output12/X user_clk (0.001::0.001) (0.001::0.001)) - (INTERCONNECT rebuffer5/X _306_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rebuffer6/X _291_/A (0.000::0.000) (0.000::0.000)) - (INTERCONNECT rebuffer6/X _299_/B (0.000::0.000) (0.000::0.000)) - (INTERCONNECT split4/X _348_/B (0.001::0.001) (0.001::0.001)) - (INTERCONNECT split4/X _415_/D (0.001::0.001) (0.001::0.001)) - (INTERCONNECT split4/X _402_/A1 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT split4/X _400_/A0 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT split4/X _401_/A1 (0.002::0.002) (0.002::0.002)) - (INTERCONNECT split4/X _319_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ext_clk clkbuf_0_ext_clk.A (0.098:0.098:0.098) (0.055:0.055:0.055)) + (INTERCONNECT ext_clk ANTENNA_clkbuf_0_ext_clk_A.DIODE (0.098:0.098:0.098) (0.054:0.054:0.054)) + (INTERCONNECT ext_clk_sel input1.A (0.020:0.020:0.020) (0.011:0.011:0.011)) + (INTERCONNECT ext_clk_sel ANTENNA_input1_A.DIODE (0.020:0.020:0.020) (0.011:0.011:0.011)) + (INTERCONNECT ext_reset input2.A (0.026:0.026:0.026) (0.014:0.014:0.014)) + (INTERCONNECT ext_reset ANTENNA_input2_A.DIODE (0.026:0.026:0.026) (0.014:0.014:0.014)) + (INTERCONNECT pll_clk clkbuf_0_pll_clk.A (0.099:0.099:0.099) (0.055:0.055:0.055)) + (INTERCONNECT pll_clk ANTENNA_clkbuf_0_pll_clk_A.DIODE (0.099:0.099:0.099) (0.055:0.055:0.055)) + (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90.A (0.109:0.109:0.109) (0.061:0.061:0.061)) + (INTERCONNECT pll_clk90 ANTENNA_clkbuf_0_pll_clk90_A.DIODE (0.109:0.109:0.109) (0.061:0.061:0.061)) + (INTERCONNECT resetb input3.A (0.069:0.069:0.069) (0.038:0.038:0.038)) + (INTERCONNECT resetb ANTENNA_input3_A.DIODE (0.069:0.069:0.069) (0.038:0.038:0.038)) + (INTERCONNECT sel2[0] input4.A (0.023:0.023:0.023) (0.012:0.012:0.012)) + (INTERCONNECT sel2[0] ANTENNA_input4_A.DIODE (0.023:0.023:0.023) (0.012:0.012:0.012)) + (INTERCONNECT sel2[1] input5.A (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT sel2[1] ANTENNA_input5_A.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT sel2[2] input6.A (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT sel2[2] ANTENNA_input6_A.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT sel[0] input7.A (0.027:0.027:0.027) (0.015:0.015:0.015)) + (INTERCONNECT sel[0] ANTENNA_input7_A.DIODE (0.027:0.027:0.027) (0.015:0.015:0.015)) + (INTERCONNECT sel[1] input8.A (0.023:0.023:0.023) (0.013:0.013:0.013)) + (INTERCONNECT sel[1] ANTENNA_input8_A.DIODE (0.023:0.023:0.023) (0.013:0.013:0.013)) + (INTERCONNECT sel[2] input9.A (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT sel[2] ANTENNA_input9_A.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013)) + (INTERCONNECT _216_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _217_.X _493_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _218_.X _219_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _219_.X _492_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _220_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _221_.X _222_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _222_.Y _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _223_.Y _226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _224_.X _226_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _225_.X _226_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _225_.X _234_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _226_.Y _230_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _227_.Y _229_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _227_.Y _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _228_.Y _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _228_.Y _235_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _228_.Y _241_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _228_.Y _243_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _228_.Y _263_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _229_.Y _230_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _229_.Y _240_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _229_.Y _242_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _229_.Y _244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _229_.Y _397_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _230_.X _491_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _231_.Y _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _232_.Y _234_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _232_.Y _237_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _232_.Y _353_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _233_.Y _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _233_.Y _237_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _234_.Y _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _235_.X _238_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _235_.X _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _235_.X _262_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _235_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _235_.X _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _236_.X _237_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _236_.X _239_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _236_.X _241_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _236_.X _243_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _236_.X _357_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _237_.Y _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _238_.Y _490_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _239_.Y _240_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _240_.X _489_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _241_.Y _242_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _242_.X _488_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _243_.Y _244_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _244_.X _487_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _245_.X _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _246_.X _486_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _247__1.Y _485_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _248_.X _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _249_.X _485_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _250_.X _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _251_.X _484_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _252__2.Y _483_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _253_.Y _254_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _253_.Y _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _254_.X _257_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _254_.X _397_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _255_.Y _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _255_.Y _261_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _256_.Y _257_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _256_.Y _401_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _256_.Y _403_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _256_.Y _430_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _257_.Y _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _258_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _259_.Y _261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _260_.Y _261_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _260_.Y _263_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _260_.Y _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _260_.Y _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _261_.Y _262_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _262_.Y _483_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _263_.Y _264_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _263_.Y _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _263_.Y _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _263_.Y _273_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _264_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _265_.Y _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _266_.Y _482_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _267__3.Y _481_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _268_.Y _270_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _269_.Y _270_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _270_.Y _481_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _271_.Y _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _271_.Y _401_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _272_.Y _273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _273_.Y _480_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _274_.Y _277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _274_.Y _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _274_.Y _409_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _274_.Y _434_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _275_.Y _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _275_.Y _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _275_.Y _357_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _276_.Y _278_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _277_.X _279_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _278_.Y _279_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _279_.Y _479_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _280_.Y _281_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _281_.Y _284_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _281_.Y _289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _281_.Y _352_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _282_.Y _284_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _282_.Y _289_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _283_.X _284_.C (0.001:0.001:0.001) (0.000:0.000:0.001)) + (INTERCONNECT _283_.X _327_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _284_.Y _290_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _285_.Y _286_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _285_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _285_.Y _294_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _285_.Y _296_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _285_.Y _329_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _286_.X _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _286_.X _292_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _286_.X _315_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _286_.X _331_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _286_.X _335_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _287_.Y _288_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _287_.Y _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _288_.X _289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _288_.X _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _288_.X _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _288_.X _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _288_.X _355_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _289_.Y _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _290_.Y _478_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _291_.Y _293_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _291_.Y _295_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _291_.Y _297_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _291_.Y _328_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _291_.Y _398_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _292_.Y _293_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _293_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _294_.Y _295_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _295_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _296_.Y _297_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _297_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _298_.X _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _299_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _300__4.Y _473_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _301_.X _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _302_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _303_.X _304_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _304_.X _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _305__5.Y _471_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _306_.Y _307_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _306_.Y _313_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _307_.X _398_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _307_.X rebuffer16.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _308_.Y _310_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _308_.Y _314_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _309_.Y _310_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _309_.Y _413_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _309_.Y _415_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _309_.Y _417_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _310_.Y _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _311_.Y _312_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _312_.Y _314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _313_.Y _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _313_.Y _329_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _313_.Y _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _313_.Y _335_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _314_.Y _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _315_.Y _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _316_.Y _317_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _317_.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _318_.X _319_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _319_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _320_.X _321_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _321_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _322_.X _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _323_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _324_.Y _328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _325_.Y _327_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _326_.X _327_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _327_.Y _328_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _328_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _329_.Y _330_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _329_.Y _334_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _329_.Y _338_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _329_.Y _339_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _330_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _331_.Y _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _332_.Y _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _333__6.Y _465_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _334_.Y _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _335_.Y _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _336_.Y _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _337_.Y _339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _337_.Y _413_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _338_.Y _339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _339_.Y _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _340_.Y _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _341_.Y _342_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _341_.Y _355_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _342_.Y _343_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _343_.Y _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _344__9.Y _447_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _345__8.Y _446_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _346__7.Y _445_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _347_.X _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _348_.X _444_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _349_.Y _435_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _350_.Y _437_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _351_.Y _425_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _351_.Y _426_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _352_.Y _419_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _352_.Y _421_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _352_.Y _423_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _353_.Y _405_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _353_.Y _407_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _353_.Y _432_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _354_.Y _355_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _355_.Y _399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _452_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _453_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _454_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _455_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _355_.Y _456_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _356_.Y _357_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _357_.Y _400_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _357_.Y _457_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _357_.Y _458_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _357_.Y _459_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _357_.Y _460_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _357_.Y _461_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _357_.Y _462_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT _358_.Y _410_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _359_.Y _410_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _360_.Y _411_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _361_.Y _363_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _362_.X _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _362_.X _412_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _363_.Y _411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _364_.Y _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _365_.X _412_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _366_.Y _415_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _367_.Y _368_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _368_.X _417_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _369_.Y _419_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _370_.Y _421_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _371_.Y _372_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _372_.X _423_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _373_.Y _425_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _374_.Y _426_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _375_.Y _427_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _376_.Y _427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _377_.Y _428_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _378_.Y _380_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _379_.X _380_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _379_.X _429_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _380_.Y _428_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _381_.Y _382_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _382_.X _429_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _383_.Y _403_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _384_.Y _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _385_.X _430_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _386_.Y _432_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _387_.Y _405_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _388_.Y _389_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _389_.X _407_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _390_.Y _409_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _391_.Y _434_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _392_.Y _448_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _393_.Y output11.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _394_.Y _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _395_.X _494_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _396__13.LO _447_.D (0.000:0.000:0.000)) + (INTERCONNECT _397_.X _245_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _397_.X _248_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _397_.X _250_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _398_.X _298_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _398_.X _301_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _398_.X _303_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _399_.X output10.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _399_.X _346__7.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _399_.X _345__8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _399_.X _344__9.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _400_.X output12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _401_.X _402_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _402_.X _272_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _403_.X _404_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _404_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _405_.X _406_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _406_.X _242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _407_.X _408_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _408_.X _240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _409_.X _218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _410_.X _303_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _411_.X _301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _412_.X _298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _413_.X _414_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _414_.X _338_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _415_.X _416_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _416_.X _334_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _417_.X _418_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _418_.X _330_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _419_.X _420_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _420_.X _297_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _421_.X _422_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _422_.X _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _423_.X _424_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _424_.X _293_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _425_.X _320_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _426_.X _318_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _427_.X _250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _428_.X _248_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _429_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _430_.X _431_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _431_.X _264_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _432_.X _433_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _433_.X _244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _434_.X _216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _435_.X _355_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _436_.X _399_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _436_.X _400_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _437_.X _357_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _438_.Q _324_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _439_.Q _322_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _439_.Q _323_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _440_.Q _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _440_.Q _326_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _441_.Q _222_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _442_.Q _220_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _442_.Q _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _443_.Q _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _443_.Q _224_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _444_.Q _347_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _444_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _445_.Q _393_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _446_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _447_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _448_.Q _436_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _448_.Q _449_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _449_.Q _399_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _449_.Q _400_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _450_.Q _436_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _451_.Q _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _452_.Q _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _453_.Q _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _454_.Q _283_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _454_.Q _287_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _454_.Q _307_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _454_.Q _316_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _454_.Q _413_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _454_.Q _414_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _454_.Q _438_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _454_.Q rebuffer9.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _454_.Q rebuffer10.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _454_.Q rebuffer11.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _454_.Q rebuffer13.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _455_.Q _287_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _455_.Q _307_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002)) + (INTERCONNECT _455_.Q _415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _455_.Q _416_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _455_.Q split4.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _455_.Q rebuffer6.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _455_.Q rebuffer7.A (0.003:0.003:0.003) (0.002:0.002:0.002)) + (INTERCONNECT _456_.Q _287_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _456_.Q _307_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _456_.Q _361_.B (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _456_.Q _362_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _456_.Q _417_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _456_.Q split15.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _457_.Q _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _458_.Q _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _459_.Q _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _460_.Q _216_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _460_.Q _218_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _460_.Q _225_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _460_.Q _227_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _254_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _260_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _275_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _460_.Q _376_.A (0.008:0.008:0.008) (0.007:0.007:0.007)) + (INTERCONNECT _460_.Q _378_.A (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _379_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _394_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _460_.Q _401_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _402_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT _460_.Q _432_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005)) + (INTERCONNECT _460_.Q _433_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT _460_.Q _441_.D (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _220_.A (0.005:0.005:0.005) (0.004:0.004:0.004)) + (INTERCONNECT _461_.Q _221_.B_N (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _461_.Q _225_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _461_.Q _227_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _254_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _260_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _350_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _461_.Q _376_.B (0.006:0.006:0.006) (0.005:0.005:0.005)) + (INTERCONNECT _461_.Q _378_.C (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _379_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _403_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _404_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006)) + (INTERCONNECT _461_.Q _405_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _461_.Q _406_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _461_.Q _409_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _461_.Q _442_.D (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _223_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _224_.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _225_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _227_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004)) + (INTERCONNECT _462_.Q _254_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _260_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _350_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _462_.Q _378_.B (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _379_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _407_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _408_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _430_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _431_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _462_.Q _434_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _462_.Q _443_.D (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _463_.Q _343_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _463_.Q _435_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _464_.Q _309_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _464_.Q _312_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _464_.Q _337_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _464_.Q _366_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _464_.Q _367_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _465_.Q _309_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _465_.Q _311_.B (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _465_.Q _335_.C (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _465_.Q _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _465_.Q _367_.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _466_.Q _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _466_.Q _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _466_.Q _331_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _466_.Q _368_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _467_.Q _285_.A (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _467_.Q _398_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT _467_.Q _410_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT _467_.Q _411_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT _467_.Q _412_.S (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT _467_.Q _414_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _467_.Q _416_.S (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT _467_.Q _418_.S (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT _467_.Q _420_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _467_.Q _422_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _467_.Q _424_.S (0.006:0.006:0.006) (0.005:0.005:0.005)) + (INTERCONNECT _468_.Q _316_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _468_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _468_.Q _342_.C (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _468_.Q _351_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _468_.Q _373_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _468_.Q _374_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _469_.Q _316_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _469_.Q _318_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _469_.Q _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _469_.Q _351_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _469_.Q _374_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _470_.Q _317_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _470_.Q _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _470_.Q _351_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _471_.Q _308_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _471_.Q _354_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _472_.Q _303_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _472_.Q _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _472_.Q _360_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _472_.Q _364_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _473_.Q _301_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _473_.Q _306_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _473_.Q _360_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _473_.Q _364_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _474_.Q _298_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _474_.Q _306_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _474_.Q _365_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _475_.Q _281_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _475_.Q _296_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _475_.Q _369_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _475_.Q _370_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _475_.Q _371_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _476_.Q _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _476_.Q _294_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _476_.Q _370_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _476_.Q _371_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _477_.Q _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _477_.Q _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _477_.Q _372_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _478_.Q _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _478_.Q _354_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _479_.Q _276_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _479_.Q _437_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _480_.Q _256_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _480_.Q _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _480_.Q _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _480_.Q _383_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _480_.Q _384_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _481_.Q _256_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _481_.Q _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _481_.Q _269_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _481_.Q _383_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _481_.Q _384_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _482_.Q _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _482_.Q _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _482_.Q _265_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _482_.Q _385_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _483_.Q _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _483_.Q _356_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _484_.Q _250_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _484_.Q _375_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _484_.Q _377_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _484_.Q _381_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _485_.Q _248_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _485_.Q _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _485_.Q _377_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _485_.Q _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _486_.Q _245_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _486_.Q _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _486_.Q _382_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _487_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _487_.Q _243_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _487_.Q _386_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _487_.Q _387_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _487_.Q _388_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _488_.Q _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _488_.Q _241_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _488_.Q _387_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _488_.Q _388_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _489_.Q _231_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _489_.Q _239_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _489_.Q _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _490_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _490_.Q _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _491_.Q _228_.A (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _491_.Q _397_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _491_.Q _402_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _491_.Q _404_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) + (INTERCONNECT _491_.Q _406_.S (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT _491_.Q _408_.S (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _491_.Q _427_.S (0.004:0.004:0.004) (0.003:0.003:0.003)) + (INTERCONNECT _491_.Q _428_.S (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT _491_.Q _429_.S (0.005:0.005:0.005) (0.004:0.004:0.004)) + (INTERCONNECT _491_.Q _431_.S (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT _491_.Q _433_.S (0.003:0.003:0.003) (0.002:0.002:0.002)) + (INTERCONNECT _492_.Q _218_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _492_.Q _274_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _492_.Q _390_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _492_.Q _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _492_.Q _394_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _493_.Q _216_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _493_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT _493_.Q _391_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _493_.Q _394_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _494_.Q _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT _494_.Q _395_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_0_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_ext_clk.X clkbuf_1_1_0_ext_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_0_0_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_pll_clk.X clkbuf_1_1_0_pll_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_0_0_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_0_pll_clk90.X clkbuf_1_1_0_pll_clk90.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_0_0_ext_clk.X _347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _300__4.A (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _478_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _477_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _476_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _474_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _472_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _470_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _469_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _468_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk.X _444_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _267__3.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _252__2.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _247__1.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _489_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _488_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _486_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _484_.CLK_N (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _482_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _480_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90.X _442_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1_0_ext_clk.X _436_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _333__6.A (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _305__5.A (0.003:0.003:0.003) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _475_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _467_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _466_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _464_.CLK_N (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _463_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _448_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _440_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _439_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _438_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT clkbuf_1_1_0_pll_clk.X _435_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _494_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _493_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _492_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _491_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _490_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _487_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _479_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _441_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90.X _437_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT hold1.X _450_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold2.X _446_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT hold3.X _445_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input1.X _392_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT input2.X _393_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input3.X _494_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _493_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _492_.SET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _491_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _490_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _489_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X _488_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT input3.X _487_.RESET_B (0.010:0.010:0.010) (0.009:0.009:0.009)) + (INTERCONNECT input3.X _486_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT input3.X _485_.SET_B (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT input3.X _484_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X _483_.SET_B (0.009:0.009:0.009) (0.008:0.008:0.008)) + (INTERCONNECT input3.X _482_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT input3.X _481_.SET_B (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT input3.X _480_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT input3.X _479_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _478_.SET_B (0.047:0.047:0.047) (0.043:0.043:0.043)) + (INTERCONNECT input3.X _477_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X _476_.SET_B (0.042:0.042:0.042) (0.040:0.040:0.040)) + (INTERCONNECT input3.X _475_.RESET_B (0.044:0.044:0.044) (0.041:0.041:0.041)) + (INTERCONNECT input3.X _474_.RESET_B (0.017:0.017:0.017) (0.017:0.017:0.017)) + (INTERCONNECT input3.X _473_.SET_B (0.037:0.037:0.037) (0.035:0.035:0.035)) + (INTERCONNECT input3.X _472_.RESET_B (0.038:0.038:0.038) (0.036:0.036:0.036)) + (INTERCONNECT input3.X _471_.SET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _470_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X _469_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) + (INTERCONNECT input3.X _468_.SET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _467_.RESET_B (0.051:0.051:0.051) (0.048:0.048:0.048)) + (INTERCONNECT input3.X _466_.RESET_B (0.045:0.045:0.045) (0.042:0.042:0.042)) + (INTERCONNECT input3.X _465_.SET_B (0.052:0.052:0.052) (0.048:0.048:0.048)) + (INTERCONNECT input3.X _464_.RESET_B (0.052:0.052:0.052) (0.048:0.048:0.048)) + (INTERCONNECT input3.X _463_.SET_B (0.048:0.048:0.048) (0.045:0.045:0.045)) + (INTERCONNECT input3.X _462_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _461_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _460_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _459_.RESET_B (0.016:0.016:0.016) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _458_.SET_B (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X _457_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _456_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _455_.SET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _454_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _453_.RESET_B (0.050:0.050:0.050) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _452_.SET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _451_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _450_.RESET_B (0.013:0.013:0.013) (0.012:0.012:0.012)) + (INTERCONNECT input3.X _449_.RESET_B (0.013:0.013:0.013) (0.013:0.013:0.013)) + (INTERCONNECT input3.X _448_.RESET_B (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X _447_.SET_B (0.014:0.014:0.014) (0.014:0.014:0.014)) + (INTERCONNECT input3.X _446_.SET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) + (INTERCONNECT input3.X _445_.SET_B (0.015:0.015:0.015) (0.014:0.014:0.014)) + (INTERCONNECT input3.X _347_.S (0.011:0.011:0.011) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__347__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT input3.X ANTENNA__445__SET_B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014)) + (INTERCONNECT input3.X ANTENNA__446__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__447__SET_B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013)) + (INTERCONNECT input3.X ANTENNA__448__RESET_B.DIODE (0.049:0.049:0.049) (0.046:0.046:0.046)) + (INTERCONNECT input3.X ANTENNA__449__RESET_B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011)) + (INTERCONNECT input3.X ANTENNA__450__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT input3.X ANTENNA__451__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__452__SET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__453__RESET_B.DIODE (0.050:0.050:0.050) (0.046:0.046:0.046)) + (INTERCONNECT input3.X ANTENNA__454__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__455__SET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__456__RESET_B.DIODE (0.050:0.050:0.050) (0.046:0.046:0.046)) + (INTERCONNECT input3.X ANTENNA__457__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__458__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__459__RESET_B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) + (INTERCONNECT input3.X ANTENNA__460__RESET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__461__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__462__RESET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__463__SET_B.DIODE (0.048:0.048:0.048) (0.044:0.044:0.044)) + (INTERCONNECT input3.X ANTENNA__464__RESET_B.DIODE (0.052:0.052:0.052) (0.048:0.048:0.048)) + (INTERCONNECT input3.X ANTENNA__465__SET_B.DIODE (0.052:0.052:0.052) (0.048:0.048:0.048)) + (INTERCONNECT input3.X ANTENNA__466__RESET_B.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042)) + (INTERCONNECT input3.X ANTENNA__467__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__468__SET_B.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034)) + (INTERCONNECT input3.X ANTENNA__469__RESET_B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013)) + (INTERCONNECT input3.X ANTENNA__470__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__471__SET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__472__RESET_B.DIODE (0.039:0.039:0.039) (0.037:0.037:0.037)) + (INTERCONNECT input3.X ANTENNA__473__SET_B.DIODE (0.037:0.037:0.037) (0.035:0.035:0.035)) + (INTERCONNECT input3.X ANTENNA__474__RESET_B.DIODE (0.035:0.035:0.035) (0.033:0.033:0.033)) + (INTERCONNECT input3.X ANTENNA__475__RESET_B.DIODE (0.044:0.044:0.044) (0.041:0.041:0.041)) + (INTERCONNECT input3.X ANTENNA__476__SET_B.DIODE (0.041:0.041:0.041) (0.039:0.039:0.039)) + (INTERCONNECT input3.X ANTENNA__477__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__478__SET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__479__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__480__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003)) + (INTERCONNECT input3.X ANTENNA__481__SET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004)) + (INTERCONNECT input3.X ANTENNA__482__RESET_B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT input3.X ANTENNA__483__SET_B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT input3.X ANTENNA__484__RESET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__485__SET_B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010)) + (INTERCONNECT input3.X ANTENNA__486__RESET_B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007)) + (INTERCONNECT input3.X ANTENNA__487__RESET_B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) + (INTERCONNECT input3.X ANTENNA__488__SET_B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009)) + (INTERCONNECT input3.X ANTENNA__489__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009)) + (INTERCONNECT input3.X ANTENNA__490__SET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015)) + (INTERCONNECT input3.X ANTENNA__491__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__492__SET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__493__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input3.X ANTENNA__494__RESET_B.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047)) + (INTERCONNECT input4.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input5.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input6.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input7.X _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input8.X _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT input9.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT output10.X core_clk (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT output11.X resetb_sync (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT output12.X user_clk (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT rebuffer10.X _313_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer11.X _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer11.X _361_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer11.X _359_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT rebuffer11.X rebuffer12.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer12.X _318_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer12.X _320_.S (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer13.X _419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer13.X rebuffer14.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer14.X _420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer16.X _310_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer5.X _313_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer6.X _313_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer7.X _362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer7.X _359_.B (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer7.X _361_.C (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT rebuffer9.X _341_.A (0.001:0.001:0.001) (0.000:0.000:0.000)) + (INTERCONNECT split15.X _423_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split15.X split8.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split15.X _418_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split15.X rebuffer5.A (0.002:0.002:0.002) (0.001:0.001:0.001)) + (INTERCONNECT split4.X _349_.B (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT split4.X _439_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X _422_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X _322_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X _283_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT split4.X _425_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X _323_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X _421_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X ANTENNA__421__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X ANTENNA__323__B_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X ANTENNA__425__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X ANTENNA__283__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005)) + (INTERCONNECT split4.X ANTENNA__322__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X ANTENNA__422__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007)) + (INTERCONNECT split4.X ANTENNA__439__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split4.X ANTENNA__349__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006)) + (INTERCONNECT split8.X _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split8.X _440_.D (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split8.X _326_.A (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT split8.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split8.X _283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000)) + (INTERCONNECT split8.X _424_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) + (INTERCONNECT split8.X _426_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _204_) + (INSTANCE _216_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.112::0.113) (0.277::0.277)) - (IOPATH A1 X (0.149::0.149) (0.301::0.301)) - (IOPATH S X (0.229::0.229) (0.340::0.340)) - (IOPATH S X (0.166::0.166) (0.333::0.333)) + (IOPATH A0 X (0.110:0.111:0.112) (0.275:0.275:0.275)) + (IOPATH A1 X (0.153:0.153:0.153) (0.304:0.304:0.304)) + (IOPATH S X (0.233:0.233:0.233) (0.343:0.343:0.343)) + (IOPATH S X (0.172:0.172:0.172) (0.336:0.336:0.336)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE _205_) + (INSTANCE _217_) (DELAY (ABSOLUTE - (IOPATH A X (0.076::0.077) (0.088::0.089)) + (IOPATH A X (0.077:0.078:0.079) (0.089:0.089:0.090)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _206_) + (INSTANCE _218_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.108::0.110) (0.273::0.273)) - (IOPATH A1 X (0.157::0.157) (0.300::0.300)) - (IOPATH S X (0.226::0.226) (0.337::0.337)) - (IOPATH S X (0.163::0.163) (0.330::0.330)) + (IOPATH A0 X (0.112:0.113:0.113) (0.276:0.276:0.276)) + (IOPATH A1 X (0.163:0.163:0.163) (0.305:0.305:0.305)) + (IOPATH S X (0.233:0.233:0.233) (0.343:0.343:0.343)) + (IOPATH S X (0.172:0.172:0.172) (0.337:0.337:0.337)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE _207_) + (INSTANCE _219_) (DELAY (ABSOLUTE - (IOPATH A X (0.079::0.081) (0.089::0.090)) + (IOPATH A X (0.079:0.080:0.081) (0.090:0.090:0.090)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__or2b_1") - (INSTANCE _208_) + (INSTANCE _220_) (DELAY (ABSOLUTE - (IOPATH A X (0.096::0.096) (0.216::0.216)) - (IOPATH B_N X (0.172::0.172) (0.246::0.246)) + (IOPATH A X (0.125:0.125:0.125) (0.236:0.236:0.236)) + (IOPATH B_N X (0.140:0.140:0.140) (0.220:0.220:0.220)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__or2b_1") - (INSTANCE _209_) + (INSTANCE _221_) (DELAY (ABSOLUTE - (IOPATH A X (0.124::0.124) (0.238::0.238)) - (IOPATH B_N X (0.145::0.145) (0.226::0.226)) + (IOPATH A X (0.096:0.096:0.096) (0.217:0.217:0.217)) + (IOPATH B_N X (0.177:0.177:0.177) (0.252:0.252:0.252)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _210_) + (INSTANCE _222_) (DELAY (ABSOLUTE - (IOPATH A Y (0.083::0.083) (0.076::0.076)) - (IOPATH B Y (0.096::0.096) (0.085::0.085)) - (IOPATH C Y (0.086::0.086) (0.088::0.088)) + (IOPATH A Y (0.074:0.074:0.074) (0.067:0.067:0.068)) + (IOPATH B Y (0.089:0.089:0.090) (0.078:0.078:0.078)) + (IOPATH C Y (0.078:0.078:0.078) (0.080:0.080:0.080)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _211_) + (INSTANCE _223_) (DELAY (ABSOLUTE - (IOPATH A Y (0.090::0.090) (0.043::0.043)) - (IOPATH B Y (0.110::0.110) (0.057::0.057)) + (IOPATH A Y (0.125:0.125:0.125) (0.071:0.071:0.071)) + (IOPATH B Y (0.077:0.077:0.077) (0.040:0.040:0.040)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _212_) + (INSTANCE _224_) (DELAY (ABSOLUTE - (IOPATH A X (0.116::0.116) (0.127::0.127)) - (IOPATH B X (0.149::0.149) (0.185::0.185)) + (IOPATH A X (0.154:0.154:0.154) (0.168:0.168:0.168)) + (IOPATH B X (0.122:0.122:0.122) (0.145:0.145:0.145)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _213_) + (INSTANCE _225_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.186::0.186) (0.229::0.229)) - (IOPATH A2 X (0.173::0.173) (0.225::0.225)) - (IOPATH B1 X (0.195::0.195) (0.167::0.167)) + (IOPATH A1 X (0.176:0.176:0.176) (0.227:0.227:0.227)) + (IOPATH A2 X (0.154:0.154:0.154) (0.201:0.201:0.201)) + (IOPATH B1 X (0.181:0.181:0.181) (0.158:0.158:0.158)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _214_) + (INSTANCE _226_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.115::0.122) (0.068::0.070)) - (IOPATH A2 Y (0.106::0.106) (0.051::0.051)) - (IOPATH B1 Y (0.074::0.075) (0.070::0.070)) + (IOPATH A1 Y (0.159:0.164:0.169) (0.088:0.089:0.089)) + (IOPATH A2 Y (0.151:0.151:0.151) (0.068:0.069:0.069)) + (IOPATH B1 Y (0.099:0.100:0.101) (0.082:0.082:0.082)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _215_) + (INSTANCE _227_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.211::0.211) (0.120::0.120)) - (IOPATH A2 Y (0.209::0.209) (0.110::0.110)) - (IOPATH B1 Y (0.155::0.155) (0.134::0.134)) + (IOPATH A1 Y (0.234:0.234:0.234) (0.136:0.136:0.136)) + (IOPATH A2 Y (0.211:0.211:0.211) (0.116:0.116:0.116)) + (IOPATH B1 Y (0.168:0.168:0.168) (0.148:0.148:0.148)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _216_) + (INSTANCE _228_) (DELAY (ABSOLUTE - (IOPATH A Y (0.090::0.090) (0.089::0.089)) + (IOPATH A Y (0.091:0.091:0.091) (0.091:0.091:0.091)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _217_) + (INSTANCE _229_) (DELAY (ABSOLUTE - (IOPATH A Y (0.121::0.132) (0.114::0.125)) - (IOPATH B Y (0.126::0.126) (0.092::0.092)) + (IOPATH A Y (0.116:0.123:0.130) (0.110:0.116:0.122)) + (IOPATH B Y (0.119:0.119:0.119) (0.087:0.087:0.087)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _218_) + (INSTANCE _230_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.119::0.122) (0.187::0.187)) - (IOPATH A2 X (0.105::0.112) (0.154::0.160)) - (IOPATH B1 X (0.127::0.129) (0.113::0.122)) + (IOPATH A1 X (0.126:0.128:0.129) (0.191:0.191:0.191)) + (IOPATH A2 X (0.126:0.130:0.134) (0.170:0.173:0.176)) + (IOPATH B1 X (0.133:0.134:0.135) (0.117:0.122:0.127)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _219_) + (INSTANCE _231_) (DELAY (ABSOLUTE - (IOPATH A Y (0.121::0.121) (0.066::0.066)) - (IOPATH B Y (0.112::0.112) (0.066::0.066)) + (IOPATH A Y (0.118:0.118:0.118) (0.068:0.068:0.068)) + (IOPATH B Y (0.103:0.103:0.103) (0.061:0.061:0.061)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _220_) + (INSTANCE _232_) (DELAY (ABSOLUTE - (IOPATH A Y (0.144::0.147) (0.127::0.127)) - (IOPATH B Y (0.172::0.172) (0.142::0.142)) + (IOPATH A Y (0.140:0.140:0.140) (0.118:0.118:0.118)) + (IOPATH B Y (0.167:0.167:0.167) (0.139:0.139:0.139)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _221_) + (INSTANCE _233_) (DELAY (ABSOLUTE - (IOPATH A Y (0.056::0.056) (0.045::0.045)) + (IOPATH A Y (0.055:0.055:0.055) (0.045:0.045:0.045)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _222_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.144::0.145) (0.198::0.199)) - (IOPATH B Y (0.085::0.085) (0.080::0.080)) - (IOPATH C Y (0.099::0.101) (0.099::0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _223_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.177::0.177) (0.168::0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _224_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.207::0.219) (0.172::0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _225_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.167::0.167) (0.097::0.097)) - (IOPATH A2 Y (0.161::0.162) (0.087::0.088)) - (IOPATH B1_N Y (0.100::0.100) (0.147::0.147)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _226_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075::0.077) (0.066::0.070)) - (IOPATH B Y (0.100::0.100) (0.091::0.091)) - (IOPATH C Y (0.080::0.082) (0.076::0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _227_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.087::0.087) (0.087::0.087)) - (IOPATH B Y (0.101::0.101) (0.093::0.093)) - (IOPATH C Y (0.093::0.093) (0.090::0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _228_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.095::0.096) (0.164::0.164)) - (IOPATH A2 X (0.119::0.120) (0.197::0.204)) - (IOPATH B1_N X (0.173::0.177) (0.192::0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _229_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.081::0.081) (0.079::0.079)) - (IOPATH B Y (0.079::0.079) (0.065::0.065)) - (IOPATH C Y (0.093::0.093) (0.092::0.092)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _230_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.100::0.101) (0.168::0.168)) - (IOPATH A2 X (0.122::0.124) (0.199::0.207)) - (IOPATH B1_N X (0.172::0.178) (0.192::0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _231_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.084::0.084) (0.083::0.083)) - (IOPATH B Y (0.082::0.082) (0.068::0.068)) - (IOPATH C Y (0.095::0.095) (0.089::0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _232_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.107::0.108) (0.175::0.175)) - (IOPATH A2 X (0.128::0.129) (0.206::0.213)) - (IOPATH B1_N X (0.179::0.185) (0.199::0.202)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_1") - (INSTANCE _233_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.284::0.284) (0.089::0.089)) - (IOPATH B Y (0.251::0.251) (0.071::0.071)) - (IOPATH C_N Y (0.256::0.256) (0.163::0.164)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_2") (INSTANCE _234_) (DELAY (ABSOLUTE - (IOPATH A Y (0.195::0.195) (0.069::0.069)) - (IOPATH B Y (0.175::0.175) (0.077::0.077)) + (IOPATH A_N Y (0.126:0.126:0.127) (0.177:0.178:0.179)) + (IOPATH B Y (0.067:0.067:0.067) (0.064:0.064:0.064)) + (IOPATH C Y (0.077:0.078:0.079) (0.076:0.076:0.077)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") (INSTANCE _235_) (DELAY (ABSOLUTE - (IOPATH A Y (0.115::0.115) (0.067::0.067)) - (IOPATH B Y (0.099::0.099) (0.052::0.052)) + (IOPATH A X (0.180:0.180:0.180) (0.172:0.172:0.172)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") (INSTANCE _236_) (DELAY (ABSOLUTE - (IOPATH A Y (0.067::0.067) (0.043::0.044)) + (IOPATH A X (0.216:0.223:0.229) (0.180:0.187:0.193)) ) ) ) @@ -1120,227 +1027,230 @@ (INSTANCE _237_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.206::0.206) (0.117::0.117)) - (IOPATH A2 Y (0.159::0.162) (0.085::0.085)) - (IOPATH B1_N Y (0.119::0.119) (0.160::0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a22o_1") - (INSTANCE _238_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.188::0.188) (0.205::0.211)) - (IOPATH A2 X (0.171::0.172) (0.227::0.230)) - (IOPATH B1 X (0.132::0.153) (0.181::0.187)) - (IOPATH B2 X (0.134::0.134) (0.201::0.201)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _239__1) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075::0.075) (0.029::0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _240_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053::0.053) (0.041::0.041)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _241_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.194::0.194) (0.185::0.185)) - (IOPATH B X (0.128::0.129) (0.168::0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _242_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.141::0.141) (0.066::0.066)) - (IOPATH A2 Y (0.129::0.129) (0.059::0.059)) - (IOPATH B1 Y (0.089::0.096) (0.079::0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") - (INSTANCE _243_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.105::0.106) (0.149::0.149)) - (IOPATH A2 X (0.129::0.129) (0.186::0.186)) - (IOPATH B1 X (0.123::0.123) (0.154::0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _244__2) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075::0.075) (0.029::0.029)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211a_1") - (INSTANCE _245_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.213::0.213) (0.268::0.268)) - (IOPATH A2 X (0.195::0.195) (0.262::0.262)) - (IOPATH B1 X (0.220::0.220) (0.189::0.189)) - (IOPATH C1 X (0.210::0.210) (0.134::0.137)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _246_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.059::0.059) (0.064::0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") - (INSTANCE _247_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.333::0.333) (0.099::0.099)) - (IOPATH B Y (0.309::0.309) (0.101::0.101)) - (IOPATH C_N Y (0.336::0.336) (0.212::0.212)) + (IOPATH A1 Y (0.154:0.154:0.154) (0.091:0.091:0.091)) + (IOPATH A2 Y (0.143:0.144:0.144) (0.078:0.078:0.079)) + (IOPATH B1_N Y (0.092:0.092:0.092) (0.140:0.140:0.140)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _238_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067:0.068:0.069) (0.061:0.063:0.065)) + (IOPATH B Y (0.103:0.103:0.103) (0.093:0.093:0.093)) + (IOPATH C Y (0.078:0.079:0.081) (0.075:0.081:0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _239_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081:0.081:0.081) (0.077:0.077:0.077)) + (IOPATH B Y (0.094:0.094:0.094) (0.083:0.083:0.083)) + (IOPATH C Y (0.095:0.095:0.095) (0.087:0.087:0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _240_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.095:0.096:0.097) (0.164:0.164:0.165)) + (IOPATH A2 X (0.115:0.116:0.117) (0.193:0.198:0.202)) + (IOPATH B1_N X (0.170:0.172:0.174) (0.189:0.190:0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _241_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083:0.083:0.083) (0.080:0.080:0.080)) + (IOPATH B Y (0.079:0.079:0.079) (0.064:0.064:0.064)) + (IOPATH C Y (0.094:0.094:0.094) (0.093:0.093:0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _242_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.108:0.108:0.109) (0.174:0.175:0.175)) + (IOPATH A2 X (0.126:0.126:0.127) (0.202:0.207:0.211)) + (IOPATH B1_N X (0.178:0.181:0.185) (0.198:0.199:0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _243_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085:0.085:0.085) (0.082:0.082:0.082)) + (IOPATH B Y (0.081:0.081:0.081) (0.066:0.066:0.066)) + (IOPATH C Y (0.094:0.094:0.094) (0.088:0.088:0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _244_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.099:0.100:0.101) (0.168:0.168:0.168)) + (IOPATH A2 X (0.119:0.120:0.120) (0.197:0.201:0.206)) + (IOPATH B1_N X (0.172:0.175:0.179) (0.194:0.195:0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _245_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.134:0.134:0.134) (0.284:0.284:0.284)) + (IOPATH A1 X (0.116:0.116:0.117) (0.288:0.288:0.288)) + (IOPATH S X (0.219:0.219:0.220) (0.332:0.332:0.332)) + (IOPATH S X (0.152:0.152:0.152) (0.325:0.326:0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _246_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077:0.077:0.077) (0.088:0.088:0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _247__1) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074:0.074:0.074) (0.029:0.029:0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _248_) (DELAY (ABSOLUTE - (IOPATH A Y (0.066::0.070) (0.069::0.070)) - (IOPATH B Y (0.074::0.074) (0.061::0.061)) - (IOPATH C Y (0.081::0.086) (0.114::0.114)) + (IOPATH A0 X (0.149:0.149:0.149) (0.289:0.289:0.289)) + (IOPATH A1 X (0.119:0.120:0.120) (0.292:0.292:0.293)) + (IOPATH S X (0.223:0.223:0.223) (0.336:0.336:0.336)) + (IOPATH S X (0.155:0.155:0.155) (0.330:0.330:0.330)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _249_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083:0.084:0.084) (0.093:0.093:0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _250_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.149:0.149:0.149) (0.292:0.292:0.292)) + (IOPATH A1 X (0.114:0.115:0.115) (0.286:0.286:0.286)) + (IOPATH S X (0.216:0.216:0.216) (0.328:0.328:0.328)) + (IOPATH S X (0.148:0.149:0.149) (0.321:0.322:0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _251_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075:0.075:0.076) (0.086:0.086:0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _252__2) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076:0.076:0.076) (0.030:0.030:0.030)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _249_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.116::0.116) (0.068::0.068)) - (IOPATH B Y (0.099::0.099) (0.059::0.059)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _250_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053::0.053) (0.051::0.051)) - (IOPATH B Y (0.091::0.091) (0.074::0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211ai_4") - (INSTANCE _251_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.248::0.248) (0.123::0.123)) - (IOPATH A2 Y (0.237::0.237) (0.115::0.115)) - (IOPATH B1 Y (0.142::0.142) (0.133::0.133)) - (IOPATH C1 Y (0.095::0.098) (0.122::0.123)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _252_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.125::0.130) (0.063::0.067)) - (IOPATH A2 Y (0.128::0.135) (0.068::0.085)) - (IOPATH B1_N Y (0.090::0.090) (0.146::0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") (INSTANCE _253_) (DELAY (ABSOLUTE - (IOPATH A Y (0.068::0.078) (0.062::0.066)) - (IOPATH B Y (0.076::0.082) (0.073::0.086)) - (IOPATH C Y (0.104::0.104) (0.095::0.095)) + (IOPATH A Y (0.245:0.245:0.245) (0.097:0.097:0.097)) + (IOPATH B Y (0.231:0.231:0.231) (0.104:0.104:0.104)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (CELLTYPE "sky130_fd_sc_hd__o211a_1") (INSTANCE _254_) (DELAY (ABSOLUTE - (IOPATH A Y (0.129::0.137) (0.110::0.142)) - (IOPATH B Y (0.128::0.128) (0.097::0.097)) + (IOPATH A1 X (0.218:0.218:0.218) (0.276:0.276:0.276)) + (IOPATH A2 X (0.192:0.192:0.192) (0.250:0.250:0.250)) + (IOPATH B1 X (0.223:0.223:0.223) (0.191:0.191:0.191)) + (IOPATH C1 X (0.225:0.225:0.225) (0.141:0.142:0.143)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (CELLTYPE "sky130_fd_sc_hd__inv_2") (INSTANCE _255_) (DELAY (ABSOLUTE - (IOPATH A Y (0.075::0.083) (0.065::0.066)) - (IOPATH B Y (0.069::0.069) (0.050::0.051)) + (IOPATH A Y (0.067:0.067:0.067) (0.051:0.051:0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_2") + (INSTANCE _256_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.358:0.358:0.358) (0.101:0.101:0.101)) + (IOPATH B Y (0.338:0.338:0.338) (0.109:0.109:0.109)) + (IOPATH C_N Y (0.362:0.362:0.362) (0.215:0.215:0.215)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _256_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.076::0.083) (0.073::0.093)) - (IOPATH B Y (0.098::0.098) (0.088::0.088)) - (IOPATH C Y (0.100::0.100) (0.093::0.093)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") (INSTANCE _257_) (DELAY (ABSOLUTE - (IOPATH A Y (0.049::0.056) (0.044::0.045)) - (IOPATH B Y (0.067::0.075) (0.049::0.052)) + (IOPATH A Y (0.071:0.073:0.075) (0.075:0.076:0.076)) + (IOPATH B Y (0.078:0.078:0.078) (0.071:0.071:0.071)) + (IOPATH C Y (0.090:0.092:0.095) (0.127:0.127:0.127)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _258__3) + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _258_) (DELAY (ABSOLUTE - (IOPATH A Y (0.075::0.075) (0.030::0.030)) + (IOPATH A Y (0.113:0.113:0.113) (0.065:0.065:0.065)) + (IOPATH B Y (0.100:0.100:0.100) (0.060:0.060:0.060)) ) ) ) @@ -1349,38 +1259,42 @@ (INSTANCE _259_) (DELAY (ABSOLUTE - (IOPATH A Y (0.075::0.083) (0.065::0.066)) - (IOPATH B Y (0.070::0.071) (0.051::0.052)) + (IOPATH A Y (0.058:0.059:0.060) (0.057:0.057:0.057)) + (IOPATH B Y (0.095:0.095:0.095) (0.081:0.081:0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_4") + (INSTANCE _260_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.260:0.260:0.260) (0.131:0.131:0.131)) + (IOPATH A2 Y (0.228:0.228:0.228) (0.116:0.116:0.116)) + (IOPATH B1 Y (0.148:0.148:0.148) (0.140:0.140:0.140)) + (IOPATH C1 Y (0.105:0.106:0.107) (0.140:0.140:0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _261_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.129:0.131:0.133) (0.065:0.067:0.069)) + (IOPATH A2 Y (0.130:0.136:0.142) (0.069:0.077:0.086)) + (IOPATH B1_N Y (0.094:0.094:0.094) (0.144:0.144:0.144)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _260_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079::0.086) (0.076::0.097)) - (IOPATH B Y (0.100::0.100) (0.091::0.091)) - (IOPATH C Y (0.098::0.098) (0.099::0.099)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _261_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058::0.064) (0.051::0.053)) - (IOPATH B Y (0.076::0.085) (0.057::0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") (INSTANCE _262_) (DELAY (ABSOLUTE - (IOPATH A Y (0.077::0.077) (0.053::0.053)) + (IOPATH A Y (0.066:0.072:0.078) (0.059:0.061:0.062)) + (IOPATH B Y (0.071:0.074:0.077) (0.068:0.074:0.081)) + (IOPATH C Y (0.100:0.100:0.100) (0.090:0.090:0.090)) ) ) ) @@ -1389,59 +1303,58 @@ (INSTANCE _263_) (DELAY (ABSOLUTE - (IOPATH A Y (0.075::0.084) (0.067::0.067)) - (IOPATH B Y (0.068::0.068) (0.049::0.051)) + (IOPATH A Y (0.151:0.158:0.164) (0.128:0.145:0.162)) + (IOPATH B Y (0.151:0.151:0.151) (0.115:0.115:0.115)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (CELLTYPE "sky130_fd_sc_hd__nand2_1") (INSTANCE _264_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.131::0.131) (0.065::0.065)) - (IOPATH A2 Y (0.136::0.144) (0.073::0.074)) - (IOPATH B1 Y (0.068::0.075) (0.058::0.059)) + (IOPATH A Y (0.092:0.095:0.098) (0.077:0.078:0.078)) + (IOPATH B Y (0.076:0.076:0.077) (0.056:0.057:0.057)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_2") + (CELLTYPE "sky130_fd_sc_hd__nand3_1") (INSTANCE _265_) (DELAY (ABSOLUTE - (IOPATH A Y (0.349::0.349) (0.097::0.097)) - (IOPATH B Y (0.323::0.323) (0.086::0.086)) - (IOPATH C_N Y (0.356::0.356) (0.206::0.206)) + (IOPATH A Y (0.083:0.089:0.095) (0.081:0.092:0.104)) + (IOPATH B Y (0.105:0.105:0.105) (0.097:0.097:0.097)) + (IOPATH C Y (0.103:0.103:0.103) (0.098:0.098:0.098)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (CELLTYPE "sky130_fd_sc_hd__nand2_1") (INSTANCE _266_) (DELAY (ABSOLUTE - (IOPATH A Y (0.081::0.081) (0.080::0.080)) + (IOPATH A Y (0.048:0.053:0.057) (0.044:0.044:0.045)) + (IOPATH B Y (0.066:0.071:0.075) (0.048:0.049:0.051)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _267_) + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _267__3) (DELAY (ABSOLUTE - (IOPATH A Y (0.055::0.055) (0.042::0.042)) + (IOPATH A Y (0.075:0.075:0.075) (0.029:0.029:0.029)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (CELLTYPE "sky130_fd_sc_hd__nand2_1") (INSTANCE _268_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.164::0.164) (0.158::0.162)) - (IOPATH A2 X (0.107::0.107) (0.178::0.178)) - (IOPATH B1 X (0.078::0.078) (0.145::0.145)) + (IOPATH A Y (0.084:0.087:0.090) (0.068:0.069:0.069)) + (IOPATH B Y (0.070:0.070:0.071) (0.051:0.052:0.052)) ) ) ) @@ -1450,9 +1363,9 @@ (INSTANCE _269_) (DELAY (ABSOLUTE - (IOPATH A Y (0.074::0.078) (0.123::0.123)) - (IOPATH B Y (0.086::0.086) (0.072::0.072)) - (IOPATH C Y (0.073::0.073) (0.074::0.074)) + (IOPATH A Y (0.077:0.083:0.089) (0.073:0.084:0.094)) + (IOPATH B Y (0.099:0.099:0.099) (0.089:0.089:0.089)) + (IOPATH C Y (0.098:0.098:0.098) (0.098:0.098:0.098)) ) ) ) @@ -1461,18 +1374,17 @@ (INSTANCE _270_) (DELAY (ABSOLUTE - (IOPATH A Y (0.053::0.054) (0.044::0.046)) - (IOPATH B Y (0.070::0.091) (0.053::0.056)) + (IOPATH A Y (0.049:0.053:0.057) (0.044:0.045:0.046)) + (IOPATH B Y (0.067:0.071:0.075) (0.049:0.051:0.052)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (CELLTYPE "sky130_fd_sc_hd__inv_2") (INSTANCE _271_) (DELAY (ABSOLUTE - (IOPATH A Y (0.115::0.115) (0.063::0.063)) - (IOPATH B Y (0.103::0.103) (0.061::0.061)) + (IOPATH A Y (0.074:0.074:0.074) (0.051:0.051:0.051)) ) ) ) @@ -1481,589 +1393,593 @@ (INSTANCE _272_) (DELAY (ABSOLUTE - (IOPATH A Y (0.142::0.144) (0.123::0.124)) - (IOPATH B Y (0.170::0.170) (0.141::0.141)) + (IOPATH A Y (0.084:0.087:0.090) (0.069:0.069:0.070)) + (IOPATH B Y (0.067:0.067:0.067) (0.048:0.049:0.050)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") (INSTANCE _273_) (DELAY (ABSOLUTE - (IOPATH A Y (0.054::0.054) (0.043::0.043)) + (IOPATH A1 Y (0.125:0.125:0.125) (0.062:0.062:0.062)) + (IOPATH A2 Y (0.141:0.144:0.148) (0.075:0.075:0.076)) + (IOPATH B1 Y (0.064:0.069:0.073) (0.055:0.057:0.058)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (CELLTYPE "sky130_fd_sc_hd__nor3b_2") (INSTANCE _274_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.177::0.177) (0.229::0.229)) - (IOPATH A2 X (0.148::0.148) (0.195::0.196)) - (IOPATH B1 X (0.185::0.185) (0.162::0.162)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _275_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.127::0.128) (0.180::0.181)) - (IOPATH B Y (0.067::0.067) (0.063::0.063)) - (IOPATH C Y (0.079::0.081) (0.078::0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _276_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.078::0.078) (0.051::0.051)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _277_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156::0.156) (0.144::0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_2") - (INSTANCE _278_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.211::0.211) (0.104::0.104)) - (IOPATH A2 Y (0.171::0.172) (0.082::0.082)) - (IOPATH B1 Y (0.122::0.122) (0.112::0.112)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _279_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.179::0.201) (0.155::0.168)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _280_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.161::0.161) (0.093::0.093)) - (IOPATH A2 Y (0.159::0.160) (0.086::0.087)) - (IOPATH B1_N Y (0.099::0.099) (0.146::0.146)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _281_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080::0.082) (0.075::0.079)) - (IOPATH B Y (0.107::0.107) (0.101::0.101)) - (IOPATH C Y (0.093::0.095) (0.091::0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") - (INSTANCE _282_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158::0.158) (0.144::0.144)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_2") - (INSTANCE _283_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123::0.135) (0.107::0.127)) - (IOPATH B Y (0.143::0.143) (0.119::0.119)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _284_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073::0.073) (0.073::0.073)) - (IOPATH B Y (0.083::0.083) (0.078::0.078)) - (IOPATH C Y (0.085::0.085) (0.079::0.079)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _285_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.098::0.099) (0.167::0.167)) - (IOPATH A2 X (0.126::0.127) (0.206::0.210)) - (IOPATH B1_N X (0.172::0.176) (0.192::0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _286_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083::0.083) (0.085::0.085)) - (IOPATH B Y (0.095::0.095) (0.097::0.097)) - (IOPATH C Y (0.094::0.094) (0.090::0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _287_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.101::0.102) (0.168::0.169)) - (IOPATH A2 X (0.127::0.128) (0.205::0.209)) - (IOPATH B1_N X (0.177::0.181) (0.194::0.197)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _288_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.073::0.073) (0.073::0.073)) - (IOPATH B Y (0.088::0.088) (0.081::0.081)) - (IOPATH C Y (0.085::0.085) (0.080::0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _289_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.098::0.099) (0.166::0.167)) - (IOPATH A2 X (0.125::0.126) (0.205::0.209)) - (IOPATH B1_N X (0.171::0.175) (0.191::0.194)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _290_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.120::0.120) (0.073::0.073)) - (IOPATH B Y (0.097::0.097) (0.052::0.052)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _291_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.046::0.046) (0.034::0.034)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _292_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.209::0.209) (0.117::0.117)) - (IOPATH A2 Y (0.165::0.171) (0.087::0.087)) - (IOPATH B1_N Y (0.119::0.119) (0.160::0.160)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _293_) - (DELAY - (ABSOLUTE - (IOPATH A_N Y (0.144::0.144) (0.188::0.188)) - (IOPATH B Y (0.120::0.120) (0.113::0.113)) - (IOPATH C Y (0.108::0.109) (0.097::0.098)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _294_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.054::0.054) (0.038::0.038)) + (IOPATH A Y (0.327:0.327:0.327) (0.098:0.098:0.098)) + (IOPATH B Y (0.295:0.295:0.295) (0.081:0.081:0.081)) + (IOPATH C_N Y (0.334:0.334:0.334) (0.206:0.206:0.206)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _295_) + (INSTANCE _275_) (DELAY (ABSOLUTE - (IOPATH A Y (0.059::0.059) (0.065::0.065)) + (IOPATH A Y (0.086:0.086:0.086) (0.086:0.086:0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _276_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054:0.054:0.054) (0.063:0.063:0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _277_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154:0.154:0.154) (0.151:0.154:0.157)) + (IOPATH A2 X (0.102:0.102:0.102) (0.175:0.175:0.175)) + (IOPATH B1 X (0.068:0.068:0.068) (0.143:0.143:0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _278_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070:0.073:0.076) (0.115:0.115:0.115)) + (IOPATH B Y (0.086:0.086:0.086) (0.070:0.070:0.070)) + (IOPATH C Y (0.077:0.077:0.077) (0.068:0.068:0.068)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _296_) + (INSTANCE _279_) (DELAY (ABSOLUTE - (IOPATH A Y (0.072::0.072) (0.057::0.057)) - (IOPATH B Y (0.087::0.087) (0.062::0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1") - (INSTANCE _297_) - (DELAY - (ABSOLUTE - (IOPATH A1_N Y (0.120::0.120) (0.129::0.129)) - (IOPATH A2_N Y (0.112::0.128) (0.117::0.124)) - (IOPATH B1 Y (0.143::0.144) (0.071::0.075)) - (IOPATH B2 Y (0.115::0.115) (0.057::0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _298__4) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.074::0.074) (0.033::0.033)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_1") - (INSTANCE _299_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.200::0.200) (0.190::0.190)) - (IOPATH B X (0.120::0.120) (0.149::0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _300_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.138::0.138) (0.063::0.063)) - (IOPATH A2 Y (0.121::0.121) (0.057::0.057)) - (IOPATH B1 Y (0.084::0.091) (0.076::0.096)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__a21bo_1") - (INSTANCE _301_) - (DELAY - (ABSOLUTE - (IOPATH A1 X (0.096::0.096) (0.153::0.153)) - (IOPATH A2 X (0.121::0.121) (0.195::0.195)) - (IOPATH B1_N X (0.189::0.191) (0.203::0.207)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _302__5) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.080::0.080) (0.028::0.028)) + (IOPATH A Y (0.051:0.052:0.052) (0.042:0.043:0.044)) + (IOPATH B Y (0.068:0.078:0.088) (0.052:0.054:0.055)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _303_) + (INSTANCE _280_) (DELAY (ABSOLUTE - (IOPATH A Y (0.171::0.181) (0.074::0.094)) - (IOPATH B Y (0.156::0.156) (0.063::0.064)) + (IOPATH A Y (0.106:0.106:0.106) (0.059:0.059:0.059)) + (IOPATH B Y (0.092:0.092:0.092) (0.055:0.055:0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _281_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135:0.135:0.136) (0.114:0.114:0.115)) + (IOPATH B Y (0.161:0.161:0.161) (0.133:0.133:0.133)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _304_) + (INSTANCE _282_) (DELAY (ABSOLUTE - (IOPATH A Y (0.054::0.054) (0.043::0.043)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3b_4") - (INSTANCE _305_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.346::0.346) (0.097::0.097)) - (IOPATH B Y (0.314::0.314) (0.085::0.085)) - (IOPATH C_N Y (0.356::0.356) (0.208::0.208)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _306_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.058::0.058) (0.059::0.059)) - (IOPATH B Y (0.069::0.069) (0.063::0.063)) - (IOPATH C Y (0.080::0.089) (0.116::0.117)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _307_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.126::0.126) (0.075::0.075)) - (IOPATH B Y (0.095::0.095) (0.058::0.058)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _308_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.075::0.080) (0.074::0.074)) - (IOPATH B Y (0.118::0.118) (0.104::0.104)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _309_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.131::0.131) (0.068::0.068)) - (IOPATH B Y (0.124::0.124) (0.073::0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o211ai_2") - (INSTANCE _310_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.261::0.261) (0.149::0.149)) - (IOPATH A2 Y (0.219::0.219) (0.124::0.124)) - (IOPATH B1 Y (0.146::0.146) (0.170::0.170)) - (IOPATH C1 Y (0.092::0.096) (0.126::0.127)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_1") - (INSTANCE _311_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.140::0.145) (0.074::0.077)) - (IOPATH A2 Y (0.140::0.150) (0.071::0.089)) - (IOPATH B1_N Y (0.094::0.094) (0.142::0.142)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _312_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.068::0.079) (0.062::0.066)) - (IOPATH B Y (0.077::0.083) (0.074::0.088)) - (IOPATH C Y (0.095::0.095) (0.090::0.090)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _313_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.252::0.252) (0.102::0.102)) - (IOPATH B Y (0.216::0.216) (0.075::0.075)) - (IOPATH C Y (0.174::0.174) (0.069::0.069)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _314_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.158::0.158) (0.074::0.074)) - (IOPATH A X (0.148::0.148) (0.163::0.163)) - (IOPATH B X (0.134::0.143) (0.097::0.097)) - (IOPATH B X (0.171::0.171) (0.139::0.149)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _315_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112::0.113) (0.276::0.276)) - (IOPATH A1 X (0.143::0.143) (0.296::0.296)) - (IOPATH S X (0.233::0.233) (0.341::0.341)) - (IOPATH S X (0.169::0.169) (0.336::0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE _316_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.075::0.076) (0.088::0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _317_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.112::0.113) (0.276::0.276)) - (IOPATH A1 X (0.143::0.143) (0.289::0.289)) - (IOPATH S X (0.232::0.232) (0.341::0.341)) - (IOPATH S X (0.169::0.169) (0.336::0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") - (INSTANCE _318_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.079::0.080) (0.089::0.089)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2b_1") - (INSTANCE _319_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.122::0.122) (0.240::0.240)) - (IOPATH B_N X (0.148::0.148) (0.230::0.230)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__or2b_1") - (INSTANCE _320_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.106::0.106) (0.222::0.222)) - (IOPATH B_N X (0.167::0.167) (0.243::0.243)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _321_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.079::0.079) (0.072::0.073)) - (IOPATH B Y (0.094::0.094) (0.083::0.083)) - (IOPATH C Y (0.084::0.084) (0.087::0.087)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _322_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.134::0.134) (0.073::0.073)) - (IOPATH B Y (0.087::0.087) (0.042::0.042)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2_1") - (INSTANCE _323_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.156::0.156) (0.171::0.171)) - (IOPATH B X (0.127::0.127) (0.150::0.150)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _324_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.126::0.136) (0.077::0.078)) - (IOPATH A2 Y (0.118::0.119) (0.058::0.058)) - (IOPATH B1 Y (0.078::0.079) (0.069::0.070)) + (IOPATH A Y (0.053:0.053:0.053) (0.042:0.042:0.042)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21a_1") - (INSTANCE _325_) + (INSTANCE _283_) (DELAY (ABSOLUTE - (IOPATH A1 X (0.115::0.119) (0.183::0.183)) - (IOPATH A2 X (0.106::0.113) (0.154::0.159)) - (IOPATH B1 X (0.129::0.130) (0.118::0.122)) + (IOPATH A1 X (0.194:0.194:0.194) (0.228:0.228:0.228)) + (IOPATH A2 X (0.177:0.177:0.177) (0.221:0.221:0.221)) + (IOPATH B1 X (0.192:0.192:0.192) (0.164:0.164:0.164)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _326_) + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _284_) (DELAY (ABSOLUTE - (IOPATH A Y (0.151::0.162) (0.122::0.158)) - (IOPATH B Y (0.151::0.151) (0.129::0.129)) + (IOPATH A_N Y (0.126:0.127:0.127) (0.177:0.178:0.178)) + (IOPATH B Y (0.068:0.068:0.068) (0.064:0.064:0.064)) + (IOPATH C Y (0.086:0.087:0.089) (0.086:0.086:0.086)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _327_) + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _285_) (DELAY (ABSOLUTE - (IOPATH A Y (0.085::0.093) (0.072::0.072)) - (IOPATH B Y (0.070::0.070) (0.050::0.051)) + (IOPATH A Y (0.095:0.095:0.095) (0.097:0.097:0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _286_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166:0.166:0.166) (0.163:0.163:0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _287_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.228:0.228:0.228) (0.118:0.118:0.118)) + (IOPATH A2 Y (0.207:0.207:0.207) (0.101:0.101:0.101)) + (IOPATH B1 Y (0.159:0.159:0.159) (0.126:0.126:0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _288_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201:0.209:0.216) (0.166:0.171:0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _289_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.149:0.149:0.149) (0.088:0.088:0.088)) + (IOPATH A2 Y (0.143:0.144:0.144) (0.078:0.079:0.079)) + (IOPATH B1_N Y (0.092:0.092:0.092) (0.140:0.140:0.140)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _290_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066:0.067:0.068) (0.060:0.062:0.064)) + (IOPATH B Y (0.095:0.095:0.095) (0.087:0.087:0.087)) + (IOPATH C Y (0.076:0.077:0.078) (0.073:0.079:0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _291_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140:0.145:0.150) (0.133:0.141:0.148)) + (IOPATH B Y (0.147:0.147:0.147) (0.107:0.107:0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _292_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081:0.081:0.081) (0.083:0.083:0.083)) + (IOPATH B Y (0.090:0.090:0.090) (0.086:0.086:0.086)) + (IOPATH C Y (0.094:0.094:0.094) (0.089:0.089:0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _293_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.096:0.097:0.097) (0.165:0.165:0.165)) + (IOPATH A2 X (0.124:0.124:0.125) (0.204:0.208:0.212)) + (IOPATH B1_N X (0.172:0.173:0.175) (0.190:0.191:0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _294_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091:0.091:0.091) (0.094:0.094:0.094)) + (IOPATH B Y (0.101:0.101:0.101) (0.104:0.104:0.104)) + (IOPATH C Y (0.096:0.096:0.096) (0.083:0.083:0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _295_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.100:0.100:0.101) (0.167:0.167:0.167)) + (IOPATH A2 X (0.127:0.128:0.128) (0.206:0.210:0.214)) + (IOPATH B1_N X (0.178:0.180:0.183) (0.195:0.196:0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _296_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076:0.076:0.076) (0.077:0.077:0.077)) + (IOPATH B Y (0.088:0.088:0.088) (0.082:0.082:0.082)) + (IOPATH C Y (0.083:0.083:0.083) (0.068:0.068:0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _297_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.097:0.097:0.097) (0.165:0.166:0.166)) + (IOPATH A2 X (0.126:0.127:0.127) (0.206:0.210:0.214)) + (IOPATH B1_N X (0.170:0.172:0.175) (0.191:0.192:0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _298_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143:0.143:0.143) (0.293:0.293:0.293)) + (IOPATH A1 X (0.123:0.123:0.123) (0.297:0.297:0.297)) + (IOPATH S X (0.210:0.210:0.211) (0.328:0.329:0.329)) + (IOPATH S X (0.143:0.143:0.144) (0.322:0.322:0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _299_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079:0.080:0.080) (0.091:0.091:0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _300__4) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069:0.069:0.069) (0.029:0.029:0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _301_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.148:0.148:0.148) (0.288:0.288:0.288)) + (IOPATH A1 X (0.118:0.119:0.119) (0.291:0.291:0.292)) + (IOPATH S X (0.206:0.206:0.207) (0.323:0.323:0.324)) + (IOPATH S X (0.139:0.139:0.139) (0.317:0.317:0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _302_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082:0.083:0.083) (0.092:0.092:0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _303_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.154:0.154:0.154) (0.299:0.299:0.299)) + (IOPATH A1 X (0.120:0.121:0.121) (0.294:0.294:0.294)) + (IOPATH S X (0.207:0.208:0.209) (0.325:0.326:0.326)) + (IOPATH S X (0.141:0.141:0.141) (0.319:0.319:0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _304_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080:0.080:0.081) (0.091:0.091:0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _305__5) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083:0.083:0.083) (0.028:0.028:0.028)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _306_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.246:0.246:0.246) (0.098:0.098:0.098)) + (IOPATH B Y (0.231:0.231:0.231) (0.104:0.104:0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _307_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192:0.192:0.192) (0.255:0.255:0.255)) + (IOPATH A2 X (0.169:0.169:0.169) (0.231:0.231:0.231)) + (IOPATH B1 X (0.193:0.193:0.193) (0.170:0.170:0.170)) + (IOPATH C1 X (0.217:0.217:0.217) (0.135:0.136:0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _308_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057:0.057:0.057) (0.046:0.046:0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_2") + (INSTANCE _309_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.379:0.379:0.379) (0.104:0.104:0.104)) + (IOPATH B Y (0.361:0.361:0.361) (0.115:0.115:0.115)) + (IOPATH C_N Y (0.383:0.383:0.383) (0.219:0.219:0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _310_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069:0.069:0.069) (0.075:0.075:0.075)) + (IOPATH B Y (0.072:0.072:0.072) (0.066:0.066:0.066)) + (IOPATH C Y (0.088:0.091:0.094) (0.125:0.125:0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _311_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124:0.124:0.124) (0.070:0.070:0.070)) + (IOPATH B Y (0.114:0.114:0.114) (0.068:0.068:0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _312_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067:0.068:0.069) (0.067:0.068:0.068)) + (IOPATH B Y (0.103:0.103:0.103) (0.090:0.090:0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_4") + (INSTANCE _313_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.246:0.246:0.246) (0.123:0.123:0.123)) + (IOPATH A2 Y (0.221:0.221:0.221) (0.108:0.108:0.108)) + (IOPATH B1 Y (0.118:0.118:0.118) (0.123:0.123:0.123)) + (IOPATH C1 Y (0.111:0.112:0.113) (0.146:0.146:0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _314_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.132:0.133:0.135) (0.068:0.070:0.071)) + (IOPATH A2 Y (0.130:0.136:0.143) (0.070:0.079:0.087)) + (IOPATH B1_N Y (0.091:0.091:0.091) (0.141:0.141:0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _315_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076:0.083:0.090) (0.071:0.073:0.075)) + (IOPATH B Y (0.084:0.087:0.090) (0.081:0.088:0.095)) + (IOPATH C Y (0.105:0.105:0.105) (0.100:0.100:0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _316_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.240:0.240:0.240) (0.085:0.085:0.085)) + (IOPATH B Y (0.213:0.213:0.213) (0.070:0.070:0.070)) + (IOPATH C Y (0.174:0.174:0.174) (0.071:0.071:0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _317_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150:0.150:0.150) (0.078:0.078:0.078)) + (IOPATH A X (0.142:0.142:0.142) (0.165:0.165:0.165)) + (IOPATH B X (0.117:0.120:0.122) (0.088:0.088:0.089)) + (IOPATH B X (0.156:0.156:0.156) (0.133:0.135:0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _318_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.122:0.122:0.123) (0.287:0.288:0.288)) + (IOPATH A1 X (0.147:0.147:0.147) (0.306:0.306:0.306)) + (IOPATH S X (0.202:0.202:0.202) (0.331:0.331:0.331)) + (IOPATH S X (0.146:0.146:0.146) (0.316:0.316:0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _319_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079:0.080:0.080) (0.092:0.092:0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _320_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.119:0.120:0.120) (0.286:0.286:0.286)) + (IOPATH A1 X (0.155:0.155:0.155) (0.302:0.302:0.302)) + (IOPATH S X (0.201:0.201:0.201) (0.330:0.330:0.330)) + (IOPATH S X (0.145:0.145:0.145) (0.315:0.315:0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _321_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085:0.085:0.086) (0.094:0.094:0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _322_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117:0.117:0.117) (0.233:0.233:0.233)) + (IOPATH B_N X (0.138:0.138:0.138) (0.218:0.218:0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _323_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091:0.091:0.091) (0.212:0.212:0.212)) + (IOPATH B_N X (0.171:0.171:0.171) (0.242:0.242:0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _324_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086:0.086:0.086) (0.080:0.080:0.080)) + (IOPATH B Y (0.101:0.101:0.102) (0.090:0.090:0.090)) + (IOPATH C Y (0.090:0.090:0.090) (0.093:0.093:0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _325_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120:0.120:0.120) (0.070:0.070:0.070)) + (IOPATH B Y (0.090:0.090:0.090) (0.044:0.044:0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _326_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143:0.143:0.143) (0.145:0.145:0.145)) + (IOPATH B X (0.122:0.122:0.122) (0.146:0.146:0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _327_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.128:0.132:0.135) (0.079:0.079:0.079)) + (IOPATH A2 Y (0.118:0.118:0.118) (0.056:0.056:0.056)) + (IOPATH B1 Y (0.085:0.086:0.087) (0.080:0.080:0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") (INSTANCE _328_) (DELAY (ABSOLUTE - (IOPATH A Y (0.083::0.093) (0.073::0.095)) - (IOPATH B Y (0.089::0.089) (0.083::0.083)) - (IOPATH C Y (0.106::0.106) (0.098::0.098)) + (IOPATH A1 X (0.119:0.121:0.123) (0.188:0.188:0.188)) + (IOPATH A2 X (0.107:0.110:0.114) (0.154:0.158:0.162)) + (IOPATH B1 X (0.132:0.133:0.133) (0.121:0.126:0.131)) ) ) ) @@ -2072,297 +1988,296 @@ (INSTANCE _329_) (DELAY (ABSOLUTE - (IOPATH A Y (0.046::0.054) (0.041::0.043)) - (IOPATH B Y (0.062::0.072) (0.047::0.049)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _330__6) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.083::0.083) (0.031::0.031)) + (IOPATH A Y (0.158:0.166:0.173) (0.136:0.154:0.172)) + (IOPATH B Y (0.160:0.160:0.160) (0.121:0.121:0.121)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _331_) + (INSTANCE _330_) (DELAY (ABSOLUTE - (IOPATH A Y (0.084::0.091) (0.070::0.070)) - (IOPATH B Y (0.070::0.070) (0.050::0.051)) + (IOPATH A Y (0.092:0.095:0.098) (0.076:0.076:0.076)) + (IOPATH B Y (0.071:0.071:0.071) (0.051:0.052:0.052)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand3_1") - (INSTANCE _332_) + (INSTANCE _331_) (DELAY (ABSOLUTE - (IOPATH A Y (0.100::0.111) (0.092::0.120)) - (IOPATH B Y (0.107::0.107) (0.102::0.102)) - (IOPATH C Y (0.102::0.102) (0.109::0.109)) + (IOPATH A Y (0.077:0.084:0.091) (0.076:0.086:0.096)) + (IOPATH B Y (0.092:0.092:0.092) (0.085:0.085:0.085)) + (IOPATH C Y (0.096:0.096:0.096) (0.091:0.091:0.091)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _333_) + (INSTANCE _332_) (DELAY (ABSOLUTE - (IOPATH A Y (0.052::0.060) (0.047::0.049)) - (IOPATH B Y (0.078::0.087) (0.057::0.059)) + (IOPATH A Y (0.048:0.052:0.057) (0.043:0.044:0.045)) + (IOPATH B Y (0.064:0.069:0.074) (0.047:0.048:0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _333__6) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083:0.083:0.083) (0.028:0.028:0.028)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _334_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089:0.092:0.095) (0.072:0.073:0.073)) + (IOPATH B Y (0.068:0.069:0.069) (0.049:0.050:0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _335_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.079:0.086:0.093) (0.078:0.088:0.099)) + (IOPATH B Y (0.094:0.094:0.094) (0.087:0.087:0.087)) + (IOPATH C Y (0.102:0.102:0.102) (0.101:0.101:0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _336_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050:0.054:0.059) (0.045:0.046:0.048)) + (IOPATH B Y (0.068:0.073:0.078) (0.050:0.052:0.053)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_2") - (INSTANCE _334_) + (INSTANCE _337_) (DELAY (ABSOLUTE - (IOPATH A Y (0.078::0.078) (0.052::0.052)) + (IOPATH A Y (0.072:0.072:0.072) (0.049:0.049:0.049)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _335_) + (INSTANCE _338_) (DELAY (ABSOLUTE - (IOPATH A Y (0.076::0.082) (0.062::0.062)) - (IOPATH B Y (0.062::0.063) (0.044::0.046)) + (IOPATH A Y (0.087:0.089:0.092) (0.070:0.070:0.071)) + (IOPATH B Y (0.068:0.068:0.069) (0.050:0.050:0.051)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o21ai_1") - (INSTANCE _336_) + (INSTANCE _339_) (DELAY (ABSOLUTE - (IOPATH A1 Y (0.127::0.127) (0.063::0.063)) - (IOPATH A2 Y (0.139::0.146) (0.074::0.075)) - (IOPATH B1 Y (0.063::0.071) (0.054::0.057)) + (IOPATH A1 Y (0.116:0.116:0.116) (0.057:0.057:0.057)) + (IOPATH A2 Y (0.135:0.139:0.142) (0.071:0.071:0.071)) + (IOPATH B1 Y (0.058:0.063:0.067) (0.051:0.053:0.054)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _337_) + (INSTANCE _340_) (DELAY (ABSOLUTE - (IOPATH A Y (0.143::0.143) (0.065::0.065)) - (IOPATH B Y (0.135::0.135) (0.071::0.071)) + (IOPATH A Y (0.115:0.115:0.115) (0.064:0.064:0.064)) + (IOPATH B Y (0.099:0.099:0.099) (0.053:0.053:0.053)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nand3b_1") - (INSTANCE _338_) + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _341_) (DELAY (ABSOLUTE - (IOPATH A_N Y (0.155::0.155) (0.208::0.208)) - (IOPATH B Y (0.088::0.091) (0.103::0.103)) - (IOPATH C Y (0.099::0.099) (0.104::0.104)) + (IOPATH A Y (0.056:0.056:0.056) (0.067:0.067:0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _342_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073:0.074:0.075) (0.085:0.086:0.086)) + (IOPATH B Y (0.091:0.091:0.091) (0.078:0.078:0.078)) + (IOPATH C Y (0.099:0.099:0.099) (0.107:0.107:0.107)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _339_) + (INSTANCE _343_) (DELAY (ABSOLUTE - (IOPATH A Y (0.128::0.128) (0.128::0.128)) - (IOPATH A Y (0.157::0.157) (0.084::0.084)) - (IOPATH B Y (0.114::0.117) (0.129::0.130)) - (IOPATH B Y (0.140::0.141) (0.062::0.065)) + (IOPATH A Y (0.123:0.123:0.123) (0.123:0.123:0.123)) + (IOPATH A Y (0.155:0.155:0.155) (0.078:0.078:0.078)) + (IOPATH B Y (0.114:0.116:0.118) (0.128:0.129:0.130)) + (IOPATH B Y (0.142:0.143:0.144) (0.063:0.064:0.066)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _340__9) + (INSTANCE _344__9) (DELAY (ABSOLUTE - (IOPATH A Y (0.077::0.077) (0.028::0.028)) + (IOPATH A Y (0.077:0.077:0.077) (0.028:0.028:0.028)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _341__8) + (INSTANCE _345__8) (DELAY (ABSOLUTE - (IOPATH A Y (0.079::0.079) (0.030::0.030)) + (IOPATH A Y (0.078:0.078:0.078) (0.029:0.029:0.029)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__inv_4") - (INSTANCE _342__7) + (INSTANCE _346__7) (DELAY (ABSOLUTE - (IOPATH A Y (0.079::0.079) (0.029::0.029)) + (IOPATH A Y (0.078:0.078:0.078) (0.030:0.029:0.029)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__mux2_2") - (INSTANCE _343_) + (INSTANCE _347_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.139::0.139) (0.249::0.249)) - (IOPATH A1 X (0.127::0.127) (0.247::0.247)) - (IOPATH S X (0.270::0.270) (0.376::0.376)) - (IOPATH S X (0.221::0.221) (0.314::0.314)) + (IOPATH A0 X (0.145:0.145:0.145) (0.253:0.253:0.253)) + (IOPATH A1 X (0.128:0.128:0.128) (0.249:0.249:0.249)) + (IOPATH S X (0.260:0.260:0.260) (0.377:0.377:0.377)) + (IOPATH S X (0.221:0.221:0.221) (0.305:0.305:0.305)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__buf_1") - (INSTANCE _344_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.072::0.073) (0.084::0.084)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _345_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.085::0.086) (0.084::0.085)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _346_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051::0.055) (0.088::0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _347_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.086::0.087) (0.085::0.086)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _348_) (DELAY (ABSOLUTE - (IOPATH A Y (0.140::0.140) (0.075::0.075)) - (IOPATH B Y (0.118::0.118) (0.062::0.062)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _349_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.142::0.142) (0.135::0.135)) - (IOPATH A Y (0.187::0.187) (0.092::0.092)) - (IOPATH B Y (0.142::0.142) (0.133::0.133)) - (IOPATH B Y (0.169::0.169) (0.083::0.083)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__and2b_2") - (INSTANCE _350_) - (DELAY - (ABSOLUTE - (IOPATH A_N X (0.000::0.000)) - (IOPATH B X (0.124::0.126) (0.184::0.184)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__o21bai_2") - (INSTANCE _351_) - (DELAY - (ABSOLUTE - (IOPATH A1 Y (0.000::0.000)) - (IOPATH A2 Y (0.277::0.280) (0.124::0.146)) - (IOPATH B1_N Y (0.174::0.174) (0.247::0.247)) + (IOPATH A X (0.080:0.081:0.081) (0.090:0.090:0.090)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _349_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119:0.119:0.119) (0.069:0.069:0.069)) + (IOPATH B Y (0.113:0.113:0.113) (0.060:0.060:0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _350_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164:0.164:0.164) (0.090:0.090:0.090)) + (IOPATH B Y (0.139:0.139:0.139) (0.078:0.078:0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_1") + (INSTANCE _351_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.323:0.323:0.323) (0.089:0.089:0.089)) + (IOPATH B Y (0.307:0.307:0.307) (0.082:0.082:0.082)) + (IOPATH C_N Y (0.319:0.319:0.319) (0.165:0.165:0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") (INSTANCE _352_) (DELAY (ABSOLUTE - (IOPATH A Y (0.130::0.130) (0.072::0.072)) - (IOPATH B Y (0.126::0.126) (0.065::0.065)) + (IOPATH A Y (0.079:0.080:0.080) (0.080:0.080:0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _353_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077:0.077:0.078) (0.075:0.076:0.076)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _353_) + (INSTANCE _354_) (DELAY (ABSOLUTE - (IOPATH A Y (0.150::0.150) (0.142::0.142)) - (IOPATH A Y (0.201::0.201) (0.099::0.099)) - (IOPATH B Y (0.165::0.165) (0.155::0.155)) - (IOPATH B Y (0.196::0.196) (0.107::0.107)) + (IOPATH A Y (0.140:0.140:0.140) (0.134:0.134:0.134)) + (IOPATH A Y (0.183:0.183:0.183) (0.090:0.090:0.090)) + (IOPATH B Y (0.145:0.145:0.145) (0.136:0.136:0.136)) + (IOPATH B Y (0.169:0.169:0.169) (0.086:0.086:0.086)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2") - (INSTANCE _354_) + (INSTANCE _355_) (DELAY (ABSOLUTE - (IOPATH A1_N Y (0.000::0.000)) - (IOPATH A2_N Y (0.000::0.000)) - (IOPATH B1 Y (0.000::0.000)) - (IOPATH B2 Y (0.259::0.264) (0.110::0.133)) + (IOPATH A1_N Y (0.000:0.000:0.000)) + (IOPATH A2_N Y (0.000:0.000:0.000)) + (IOPATH B1 Y (0.000:0.000:0.000)) + (IOPATH B2 Y (0.269:0.270:0.272) (0.111:0.122:0.133)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _355_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.123::0.123) (0.123::0.123)) - (IOPATH A Y (0.140::0.140) (0.082::0.082)) - (IOPATH B Y (0.134::0.134) (0.144::0.144)) - (IOPATH B Y (0.143::0.143) (0.080::0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _356_) (DELAY (ABSOLUTE - (IOPATH A Y (0.137::0.137) (0.077::0.077)) - (IOPATH B Y (0.142::0.142) (0.078::0.078)) + (IOPATH A Y (0.143:0.143:0.143) (0.136:0.136:0.136)) + (IOPATH A Y (0.188:0.188:0.188) (0.092:0.092:0.092)) + (IOPATH B Y (0.155:0.155:0.155) (0.147:0.147:0.147)) + (IOPATH B Y (0.182:0.182:0.182) (0.097:0.097:0.097)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2") (INSTANCE _357_) (DELAY (ABSOLUTE - (IOPATH A X (0.170::0.170) (0.093::0.093)) - (IOPATH A X (0.159::0.159) (0.180::0.180)) - (IOPATH B X (0.126::0.129) (0.077::0.078)) - (IOPATH B X (0.152::0.152) (0.137::0.140)) + (IOPATH A1_N Y (0.000:0.000:0.000)) + (IOPATH A2_N Y (0.000:0.000:0.000)) + (IOPATH B1 Y (0.000:0.000:0.000)) + (IOPATH B2 Y (0.255:0.257:0.259) (0.107:0.118:0.129)) ) ) ) @@ -2371,7 +2286,7 @@ (INSTANCE _358_) (DELAY (ABSOLUTE - (IOPATH A Y (0.048::0.048) (0.054::0.054)) + (IOPATH A Y (0.050:0.050:0.050) (0.056:0.056:0.056)) ) ) ) @@ -2380,443 +2295,425 @@ (INSTANCE _359_) (DELAY (ABSOLUTE - (IOPATH A Y (0.127::0.127) (0.131::0.131)) - (IOPATH A Y (0.149::0.149) (0.086::0.086)) - (IOPATH B Y (0.124::0.124) (0.131::0.131)) - (IOPATH B Y (0.132::0.132) (0.072::0.072)) + (IOPATH A Y (0.136:0.136:0.136) (0.138:0.138:0.138)) + (IOPATH A Y (0.159:0.159:0.159) (0.094:0.094:0.094)) + (IOPATH B Y (0.113:0.113:0.113) (0.120:0.120:0.120)) + (IOPATH B Y (0.126:0.126:0.126) (0.063:0.063:0.063)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") (INSTANCE _360_) (DELAY (ABSOLUTE - (IOPATH A Y (0.140::0.140) (0.079::0.079)) - (IOPATH B Y (0.127::0.127) (0.067::0.067)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _361_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.147::0.147) (0.075::0.075)) - (IOPATH A X (0.139::0.139) (0.163::0.163)) - (IOPATH B X (0.116::0.118) (0.072::0.073)) - (IOPATH B X (0.143::0.143) (0.132::0.135)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _362_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.042::0.042) (0.054::0.054)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _363_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.125::0.125) (0.132::0.132)) - (IOPATH A Y (0.154::0.154) (0.082::0.082)) - (IOPATH B Y (0.126::0.126) (0.121::0.121)) - (IOPATH B Y (0.127::0.127) (0.073::0.073)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nand2_1") - (INSTANCE _364_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.090::0.092) (0.100::0.100)) - (IOPATH B Y (0.105::0.105) (0.100::0.100)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _365_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.140::0.140) (0.142::0.142)) - (IOPATH A Y (0.168::0.168) (0.097::0.097)) - (IOPATH B Y (0.142::0.142) (0.148::0.148)) - (IOPATH B Y (0.158::0.158) (0.088::0.088)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _366_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.141::0.141) (0.082::0.082)) - (IOPATH B Y (0.135::0.135) (0.074::0.074)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _367_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.168::0.168) (0.089::0.089)) - (IOPATH A X (0.158::0.158) (0.177::0.177)) - (IOPATH B X (0.131::0.131) (0.076::0.077)) - (IOPATH B X (0.154::0.154) (0.140::0.140)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _368_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.053::0.053) (0.060::0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _369_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.139::0.139) (0.142::0.142)) - (IOPATH A Y (0.169::0.169) (0.097::0.097)) - (IOPATH B Y (0.132::0.132) (0.138::0.138)) - (IOPATH B Y (0.149::0.149) (0.080::0.080)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _370_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.146::0.146) (0.084::0.084)) - (IOPATH B Y (0.130::0.130) (0.068::0.068)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xor2_1") - (INSTANCE _371_) - (DELAY - (ABSOLUTE - (IOPATH A X (0.148::0.148) (0.076::0.076)) - (IOPATH A X (0.140::0.140) (0.163::0.163)) - (IOPATH B X (0.116::0.120) (0.073::0.074)) - (IOPATH B X (0.144::0.144) (0.133::0.136)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_2") - (INSTANCE _372_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.051::0.051) (0.060::0.060)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__xnor2_1") - (INSTANCE _373_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.127::0.127) (0.136::0.136)) - (IOPATH A Y (0.156::0.156) (0.085::0.085)) - (IOPATH B Y (0.135::0.135) (0.135::0.135)) - (IOPATH B Y (0.139::0.139) (0.081::0.081)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__clkinv_4") - (INSTANCE _374_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.052::0.052) (0.064::0.064)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__nor2_1") - (INSTANCE _375_) - (DELAY - (ABSOLUTE - (IOPATH A Y (0.122::0.122) (0.048::0.048)) - (IOPATH B Y (0.109::0.109) (0.045::0.045)) + (IOPATH A Y (0.127:0.127:0.127) (0.129:0.129:0.129)) + (IOPATH A Y (0.151:0.151:0.151) (0.085:0.085:0.085)) + (IOPATH B Y (0.131:0.131:0.131) (0.136:0.136:0.136)) + (IOPATH B Y (0.141:0.141:0.141) (0.078:0.078:0.078)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__nor3_1") - (INSTANCE _376_) + (INSTANCE _361_) (DELAY (ABSOLUTE - (IOPATH A Y (0.233::0.233) (0.084::0.084)) - (IOPATH B Y (0.234::0.234) (0.089::0.089)) - (IOPATH C Y (0.184::0.184) (0.079::0.079)) + (IOPATH A Y (0.212:0.212:0.212) (0.088:0.088:0.088)) + (IOPATH B Y (0.198:0.198:0.198) (0.068:0.068:0.068)) + (IOPATH C Y (0.150:0.150:0.150) (0.053:0.053:0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _362_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169:0.169:0.169) (0.208:0.208:0.208)) + (IOPATH A2 X (0.127:0.127:0.127) (0.178:0.178:0.178)) + (IOPATH B1 X (0.148:0.148:0.148) (0.130:0.130:0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _363_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085:0.090:0.096) (0.065:0.065:0.065)) + (IOPATH B Y (0.073:0.074:0.075) (0.038:0.038:0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _364_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131:0.131:0.131) (0.073:0.073:0.073)) + (IOPATH B Y (0.124:0.124:0.124) (0.067:0.067:0.067)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _365_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157:0.157:0.157) (0.077:0.077:0.077)) + (IOPATH A X (0.148:0.148:0.148) (0.165:0.165:0.165)) + (IOPATH B X (0.129:0.129:0.129) (0.076:0.076:0.076)) + (IOPATH B X (0.154:0.154:0.155) (0.138:0.138:0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _366_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136:0.136:0.136) (0.141:0.141:0.141)) + (IOPATH A Y (0.159:0.159:0.159) (0.094:0.094:0.094)) + (IOPATH B Y (0.133:0.133:0.133) (0.141:0.141:0.141)) + (IOPATH B Y (0.143:0.143:0.143) (0.080:0.080:0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _367_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144:0.144:0.144) (0.086:0.086:0.086)) + (IOPATH B Y (0.133:0.133:0.133) (0.072:0.072:0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _368_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169:0.169:0.169) (0.088:0.088:0.088)) + (IOPATH A X (0.160:0.160:0.160) (0.175:0.175:0.175)) + (IOPATH B X (0.134:0.135:0.136) (0.078:0.078:0.078)) + (IOPATH B X (0.157:0.157:0.158) (0.141:0.142:0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _369_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.048:0.048:0.048) (0.053:0.053:0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _370_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130:0.130:0.130) (0.133:0.133:0.133)) + (IOPATH A Y (0.155:0.155:0.155) (0.088:0.088:0.088)) + (IOPATH B Y (0.126:0.126:0.126) (0.132:0.132:0.132)) + (IOPATH B Y (0.137:0.137:0.137) (0.074:0.074:0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _371_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140:0.140:0.140) (0.079:0.079:0.079)) + (IOPATH B Y (0.127:0.127:0.127) (0.066:0.066:0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _372_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147:0.147:0.147) (0.075:0.075:0.075)) + (IOPATH A X (0.138:0.138:0.138) (0.162:0.162:0.162)) + (IOPATH B X (0.114:0.116:0.117) (0.072:0.073:0.073)) + (IOPATH B X (0.142:0.143:0.143) (0.132:0.133:0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _373_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.043:0.043:0.043) (0.054:0.054:0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _374_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118:0.118:0.118) (0.128:0.128:0.128)) + (IOPATH A Y (0.148:0.148:0.148) (0.076:0.076:0.076)) + (IOPATH B Y (0.125:0.125:0.125) (0.122:0.122:0.122)) + (IOPATH B Y (0.126:0.126:0.126) (0.073:0.073:0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _375_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056:0.056:0.056) (0.063:0.063:0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _376_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141:0.141:0.141) (0.159:0.159:0.159)) + (IOPATH A Y (0.175:0.175:0.175) (0.100:0.100:0.100)) + (IOPATH B Y (0.132:0.132:0.132) (0.136:0.136:0.136)) + (IOPATH B Y (0.138:0.138:0.138) (0.078:0.078:0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") (INSTANCE _377_) (DELAY (ABSOLUTE - (IOPATH A X (0.151::0.151) (0.077::0.077)) - (IOPATH A X (0.144::0.144) (0.164::0.164)) - (IOPATH B X (0.125::0.128) (0.090::0.091)) - (IOPATH B X (0.159::0.159) (0.138::0.141)) + (IOPATH A Y (0.135:0.135:0.135) (0.135:0.135:0.135)) + (IOPATH A Y (0.166:0.166:0.166) (0.092:0.092:0.092)) + (IOPATH B Y (0.141:0.141:0.141) (0.145:0.145:0.145)) + (IOPATH B Y (0.159:0.159:0.159) (0.088:0.088:0.088)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _378_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.209:0.209:0.209) (0.091:0.091:0.091)) + (IOPATH B Y (0.191:0.191:0.191) (0.076:0.076:0.076)) + (IOPATH C Y (0.145:0.145:0.145) (0.064:0.064:0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") (INSTANCE _379_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.113::0.113) (0.277::0.277)) - (IOPATH A1 X (0.168::0.168) (0.322::0.322)) - (IOPATH S X (0.199::0.199) (0.314::0.314)) - (IOPATH S X (0.128::0.128) (0.312::0.312)) + (IOPATH A1 X (0.190:0.190:0.190) (0.235:0.235:0.235)) + (IOPATH A2 X (0.159:0.159:0.159) (0.204:0.204:0.204)) + (IOPATH B1 X (0.177:0.177:0.177) (0.154:0.154:0.154)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _380_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.123::0.125) (0.289::0.289)) - (IOPATH A1 X (0.174::0.174) (0.327::0.327)) - (IOPATH S X (0.232::0.232) (0.345::0.345)) - (IOPATH S X (0.166::0.166) (0.339::0.339)) + (IOPATH A Y (0.104:0.107:0.111) (0.068:0.068:0.068)) + (IOPATH B Y (0.089:0.090:0.090) (0.046:0.046:0.046)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _381_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.111::0.131) (0.269::0.273)) - (IOPATH A1 X (0.138::0.138) (0.294::0.294)) - (IOPATH S X (0.192::0.201) (0.352::0.352)) - (IOPATH S X (0.186::0.186) (0.304::0.311)) + (IOPATH A Y (0.135:0.135:0.135) (0.075:0.075:0.075)) + (IOPATH B Y (0.132:0.132:0.132) (0.072:0.072:0.072)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xor2_1") (INSTANCE _382_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.119::0.120) (0.284::0.285)) - (IOPATH A1 X (0.146::0.147) (0.303::0.303)) - (IOPATH S X (0.226::0.226) (0.340::0.340)) - (IOPATH S X (0.160::0.160) (0.334::0.334)) + (IOPATH A X (0.144:0.144:0.144) (0.071:0.071:0.071)) + (IOPATH A X (0.134:0.134:0.134) (0.159:0.159:0.159)) + (IOPATH B X (0.116:0.117:0.117) (0.072:0.072:0.072)) + (IOPATH B X (0.142:0.142:0.142) (0.133:0.134:0.134)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") (INSTANCE _383_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.108::0.108) (0.267::0.267)) - (IOPATH A1 X (0.157::0.157) (0.309::0.309)) - (IOPATH S X (0.190::0.194) (0.345::0.345)) - (IOPATH S X (0.180::0.180) (0.300::0.304)) + (IOPATH A Y (0.141:0.141:0.141) (0.143:0.143:0.143)) + (IOPATH A Y (0.169:0.169:0.169) (0.099:0.099:0.099)) + (IOPATH B Y (0.140:0.140:0.140) (0.146:0.146:0.146)) + (IOPATH B Y (0.155:0.155:0.155) (0.086:0.086:0.086)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _384_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.113::0.115) (0.278::0.278)) - (IOPATH A1 X (0.166::0.166) (0.319::0.319)) - (IOPATH S X (0.225::0.225) (0.337::0.337)) - (IOPATH S X (0.159::0.159) (0.331::0.331)) + (IOPATH A Y (0.141:0.141:0.141) (0.083:0.083:0.083)) + (IOPATH B Y (0.131:0.131:0.131) (0.071:0.071:0.071)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xor2_1") (INSTANCE _385_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.111::0.111) (0.271::0.271)) - (IOPATH A1 X (0.165::0.165) (0.318::0.317)) - (IOPATH S X (0.190::0.199) (0.350::0.350)) - (IOPATH S X (0.184::0.184) (0.302::0.309)) + (IOPATH A X (0.163:0.163:0.163) (0.086:0.086:0.086)) + (IOPATH A X (0.154:0.154:0.154) (0.173:0.173:0.173)) + (IOPATH B X (0.127:0.128:0.129) (0.075:0.075:0.075)) + (IOPATH B X (0.151:0.151:0.151) (0.138:0.139:0.140)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") (INSTANCE _386_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.114::0.116) (0.279::0.279)) - (IOPATH A1 X (0.171::0.171) (0.323::0.323)) - (IOPATH S X (0.222::0.222) (0.335::0.335)) - (IOPATH S X (0.156::0.156) (0.329::0.329)) + (IOPATH A Y (0.049:0.049:0.049) (0.054:0.054:0.054)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") (INSTANCE _387_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.142::0.142) (0.286::0.286)) - (IOPATH A1 X (0.106::0.106) (0.278::0.278)) - (IOPATH S X (0.195::0.195) (0.295::0.295)) - (IOPATH S X (0.112::0.113) (0.305::0.305)) + (IOPATH A Y (0.145:0.145:0.145) (0.147:0.147:0.147)) + (IOPATH A Y (0.179:0.179:0.179) (0.103:0.103:0.103)) + (IOPATH B Y (0.138:0.138:0.138) (0.142:0.142:0.142)) + (IOPATH B Y (0.159:0.159:0.159) (0.085:0.085:0.085)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _388_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.127::0.132) (0.270::0.274)) - (IOPATH A1 X (0.153::0.153) (0.311::0.311)) - (IOPATH S X (0.193::0.194) (0.307::0.308)) - (IOPATH S X (0.123::0.123) (0.305::0.305)) + (IOPATH A Y (0.186:0.186:0.186) (0.101:0.101:0.101)) + (IOPATH B Y (0.170:0.170:0.170) (0.084:0.084:0.084)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xor2_1") (INSTANCE _389_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.114::0.115) (0.278::0.279)) - (IOPATH A1 X (0.157::0.157) (0.314::0.314)) - (IOPATH S X (0.225::0.225) (0.336::0.336)) - (IOPATH S X (0.158::0.158) (0.330::0.330)) + (IOPATH A X (0.212:0.212:0.212) (0.108:0.108:0.108)) + (IOPATH A X (0.202:0.202:0.202) (0.192:0.192:0.192)) + (IOPATH B X (0.177:0.179:0.181) (0.110:0.110:0.110)) + (IOPATH B X (0.206:0.206:0.206) (0.157:0.159:0.161)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") (INSTANCE _390_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.184::0.186) (0.333::0.340)) - (IOPATH A1 X (0.202::0.202) (0.357::0.357)) - (IOPATH S X (0.240::0.244) (0.364::0.365)) - (IOPATH S X (0.180::0.181) (0.351::0.354)) + (IOPATH A Y (0.053:0.053:0.053) (0.062:0.062:0.062)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") (INSTANCE _391_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.120::0.144) (0.277::0.282)) - (IOPATH A1 X (0.154::0.154) (0.307::0.307)) - (IOPATH S X (0.198::0.198) (0.314::0.314)) - (IOPATH S X (0.128::0.128) (0.311::0.312)) + (IOPATH A Y (0.128:0.128:0.128) (0.139:0.139:0.139)) + (IOPATH A Y (0.155:0.155:0.155) (0.086:0.086:0.086)) + (IOPATH B Y (0.135:0.135:0.135) (0.137:0.137:0.137)) + (IOPATH B Y (0.137:0.137:0.137) (0.081:0.081:0.081)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") (INSTANCE _392_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.121::0.122) (0.287::0.287)) - (IOPATH A1 X (0.158::0.158) (0.311::0.311)) - (IOPATH S X (0.230::0.230) (0.343::0.343)) - (IOPATH S X (0.164::0.164) (0.337::0.337)) + (IOPATH A Y (0.052:0.052:0.052) (0.061:0.061:0.061)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor2_1") (INSTANCE _393_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.345::0.345) (0.442::0.442)) - (IOPATH A1 X (0.375::0.414) (0.470::0.477)) - (IOPATH S X (0.423::0.423) (0.484::0.484)) - (IOPATH S X (0.361::0.361) (0.471::0.471)) + (IOPATH A Y (0.111:0.111:0.111) (0.045:0.045:0.045)) + (IOPATH B Y (0.098:0.098:0.098) (0.042:0.042:0.042)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__nor3_1") (INSTANCE _394_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.135::0.136) (0.298::0.299)) - (IOPATH A1 X (0.200::0.200) (0.319::0.322)) - (IOPATH S X (0.215::0.215) (0.339::0.339)) - (IOPATH S X (0.154::0.154) (0.327::0.327)) + (IOPATH A Y (0.261:0.261:0.261) (0.095:0.095:0.095)) + (IOPATH B Y (0.263:0.263:0.263) (0.102:0.102:0.102)) + (IOPATH C Y (0.211:0.211:0.211) (0.089:0.089:0.089)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__xor2_1") (INSTANCE _395_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.127::0.132) (0.265::0.271)) - (IOPATH A1 X (0.148::0.148) (0.305::0.305)) - (IOPATH S X (0.190::0.194) (0.345::0.345)) - (IOPATH S X (0.180::0.180) (0.300::0.304)) + (IOPATH A X (0.168:0.168:0.168) (0.082:0.082:0.082)) + (IOPATH A X (0.160:0.160:0.160) (0.169:0.169:0.169)) + (IOPATH B X (0.146:0.148:0.150) (0.106:0.106:0.106)) + (IOPATH B X (0.181:0.181:0.181) (0.148:0.150:0.152)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__mux2_1") - (INSTANCE _396_) - (DELAY - (ABSOLUTE - (IOPATH A0 X (0.117::0.118) (0.283::0.283)) - (IOPATH A1 X (0.162::0.162) (0.320::0.320)) - (IOPATH S X (0.229::0.229) (0.342::0.342)) - (IOPATH S X (0.163::0.163) (0.336::0.336)) - ) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_4") (INSTANCE _397_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.166::0.167) (0.287::0.291)) - (IOPATH A1 X (0.173::0.173) (0.283::0.283)) - (IOPATH S X (0.196::0.204) (0.321::0.321)) - (IOPATH S X (0.184::0.184) (0.297::0.304)) + (IOPATH A0 X (0.217:0.218:0.219) (0.358:0.362:0.367)) + (IOPATH A1 X (0.242:0.242:0.242) (0.386:0.386:0.386)) + (IOPATH S X (0.275:0.277:0.279) (0.391:0.392:0.392)) + (IOPATH S X (0.215:0.215:0.216) (0.378:0.380:0.381)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_2") (INSTANCE _398_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.125::0.130) (0.268::0.272)) - (IOPATH A1 X (0.151::0.151) (0.309::0.309)) - (IOPATH S X (0.191::0.191) (0.305::0.305)) - (IOPATH S X (0.121::0.121) (0.303::0.303)) + (IOPATH A0 X (0.215:0.216:0.217) (0.320:0.324:0.329)) + (IOPATH A1 X (0.232:0.232:0.232) (0.333:0.333:0.333)) + (IOPATH S X (0.263:0.265:0.267) (0.373:0.373:0.374)) + (IOPATH S X (0.197:0.197:0.198) (0.309:0.310:0.312)) ) ) ) @@ -2825,10 +2722,10 @@ (INSTANCE _399_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.112::0.113) (0.276::0.277)) - (IOPATH A1 X (0.155::0.155) (0.312::0.312)) - (IOPATH S X (0.220::0.220) (0.332::0.332)) - (IOPATH S X (0.154::0.154) (0.326::0.326)) + (IOPATH A0 X (0.346:0.346:0.347) (0.444:0.444:0.444)) + (IOPATH A1 X (0.408:0.408:0.408) (0.464:0.465:0.465)) + (IOPATH S X (0.417:0.417:0.417) (0.480:0.480:0.480)) + (IOPATH S X (0.354:0.354:0.354) (0.468:0.468:0.468)) ) ) ) @@ -2837,10 +2734,10 @@ (INSTANCE _400_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.150::0.150) (0.299::0.299)) - (IOPATH A1 X (0.113::0.113) (0.287::0.287)) - (IOPATH S X (0.204::0.206) (0.323::0.325)) - (IOPATH S X (0.138::0.140) (0.316::0.317)) + (IOPATH A0 X (0.139:0.139:0.139) (0.300:0.300:0.301)) + (IOPATH A1 X (0.197:0.197:0.197) (0.316:0.317:0.318)) + (IOPATH S X (0.211:0.211:0.211) (0.335:0.335:0.335)) + (IOPATH S X (0.150:0.150:0.150) (0.324:0.324:0.324)) ) ) ) @@ -2849,10 +2746,10 @@ (INSTANCE _401_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.111::0.132) (0.269::0.273)) - (IOPATH A1 X (0.147::0.147) (0.303::0.303)) - (IOPATH S X (0.192::0.193) (0.307::0.307)) - (IOPATH S X (0.122::0.122) (0.304::0.304)) + (IOPATH A0 X (0.111:0.111:0.111) (0.271:0.271:0.271)) + (IOPATH A1 X (0.169:0.169:0.169) (0.319:0.319:0.319)) + (IOPATH S X (0.195:0.198:0.201) (0.353:0.353:0.353)) + (IOPATH S X (0.189:0.189:0.189) (0.306:0.308:0.310)) ) ) ) @@ -2861,10 +2758,10 @@ (INSTANCE _402_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.119::0.120) (0.285::0.285)) - (IOPATH A1 X (0.156::0.156) (0.312::0.312)) - (IOPATH S X (0.226::0.226) (0.340::0.340)) - (IOPATH S X (0.160::0.160) (0.334::0.334)) + (IOPATH A0 X (0.115:0.116:0.117) (0.279:0.280:0.280)) + (IOPATH A1 X (0.175:0.175:0.175) (0.325:0.325:0.325)) + (IOPATH S X (0.227:0.227:0.227) (0.339:0.339:0.339)) + (IOPATH S X (0.163:0.163:0.163) (0.332:0.332:0.332)) ) ) ) @@ -2873,10 +2770,10 @@ (INSTANCE _403_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.154::0.154) (0.305::0.305)) - (IOPATH A1 X (0.119::0.140) (0.285::0.288)) - (IOPATH S X (0.202::0.204) (0.321::0.322)) - (IOPATH S X (0.137::0.138) (0.313::0.315)) + (IOPATH A0 X (0.112:0.124:0.136) (0.268:0.271:0.274)) + (IOPATH A1 X (0.153:0.153:0.153) (0.300:0.300:0.300)) + (IOPATH S X (0.194:0.196:0.199) (0.351:0.351:0.351)) + (IOPATH S X (0.187:0.187:0.187) (0.305:0.307:0.309)) ) ) ) @@ -2885,10 +2782,10 @@ (INSTANCE _404_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.104::0.104) (0.267::0.267)) - (IOPATH A1 X (0.165::0.165) (0.318::0.317)) - (IOPATH S X (0.191::0.191) (0.305::0.305)) - (IOPATH S X (0.121::0.121) (0.302::0.303)) + (IOPATH A0 X (0.126:0.126:0.127) (0.292:0.292:0.292)) + (IOPATH A1 X (0.172:0.172:0.172) (0.320:0.320:0.320)) + (IOPATH S X (0.238:0.238:0.238) (0.352:0.352:0.352)) + (IOPATH S X (0.174:0.174:0.174) (0.345:0.345:0.345)) ) ) ) @@ -2897,10 +2794,10 @@ (INSTANCE _405_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.114::0.116) (0.278::0.279)) - (IOPATH A1 X (0.171::0.171) (0.324::0.324)) - (IOPATH S X (0.222::0.222) (0.335::0.335)) - (IOPATH S X (0.155::0.155) (0.328::0.328)) + (IOPATH A0 X (0.127:0.140:0.152) (0.284:0.287:0.290)) + (IOPATH A1 X (0.165:0.165:0.165) (0.315:0.315:0.315)) + (IOPATH S X (0.199:0.199:0.199) (0.317:0.317:0.317)) + (IOPATH S X (0.130:0.130:0.131) (0.314:0.314:0.314)) ) ) ) @@ -2909,10 +2806,10 @@ (INSTANCE _406_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.128::0.133) (0.267::0.274)) - (IOPATH A1 X (0.150::0.150) (0.308::0.308)) - (IOPATH S X (0.189::0.198) (0.348::0.348)) - (IOPATH S X (0.183::0.183) (0.301::0.308)) + (IOPATH A0 X (0.126:0.127:0.127) (0.291:0.291:0.291)) + (IOPATH A1 X (0.167:0.167:0.167) (0.316:0.316:0.316)) + (IOPATH S X (0.234:0.234:0.234) (0.348:0.348:0.348)) + (IOPATH S X (0.170:0.170:0.170) (0.341:0.341:0.341)) ) ) ) @@ -2921,10 +2818,10 @@ (INSTANCE _407_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.113::0.115) (0.278::0.278)) - (IOPATH A1 X (0.157::0.157) (0.314::0.314)) - (IOPATH S X (0.222::0.222) (0.334::0.334)) - (IOPATH S X (0.155::0.155) (0.328::0.328)) + (IOPATH A0 X (0.149:0.150:0.152) (0.275:0.280:0.286)) + (IOPATH A1 X (0.161:0.161:0.161) (0.314:0.314:0.314)) + (IOPATH S X (0.190:0.190:0.190) (0.306:0.306:0.306)) + (IOPATH S X (0.121:0.122:0.122) (0.303:0.303:0.303)) ) ) ) @@ -2933,10 +2830,10 @@ (INSTANCE _408_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.112::0.135) (0.267::0.273)) - (IOPATH A1 X (0.146::0.146) (0.297::0.297)) - (IOPATH S X (0.191::0.196) (0.347::0.347)) - (IOPATH S X (0.182::0.182) (0.302::0.306)) + (IOPATH A0 X (0.117:0.118:0.119) (0.283:0.283:0.283)) + (IOPATH A1 X (0.167:0.167:0.167) (0.321:0.321:0.321)) + (IOPATH S X (0.229:0.229:0.229) (0.342:0.342:0.342)) + (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) ) ) ) @@ -2945,10 +2842,10 @@ (INSTANCE _409_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.123::0.124) (0.289::0.289)) - (IOPATH A1 X (0.163::0.163) (0.316::0.316)) - (IOPATH S X (0.234::0.234) (0.347::0.347)) - (IOPATH S X (0.168::0.168) (0.341::0.341)) + (IOPATH A0 X (0.109:0.109:0.109) (0.275:0.275:0.275)) + (IOPATH A1 X (0.157:0.157:0.157) (0.305:0.305:0.305)) + (IOPATH S X (0.193:0.196:0.199) (0.352:0.352:0.352)) + (IOPATH S X (0.185:0.185:0.185) (0.306:0.308:0.311)) ) ) ) @@ -2957,10 +2854,10 @@ (INSTANCE _410_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.151::0.151) (0.301::0.301)) - (IOPATH A1 X (0.115::0.136) (0.281::0.284)) - (IOPATH S X (0.199::0.199) (0.299::0.300)) - (IOPATH S X (0.116::0.117) (0.310::0.310)) + (IOPATH A0 X (0.105:0.105:0.105) (0.268:0.268:0.268)) + (IOPATH A1 X (0.114:0.125:0.136) (0.278:0.281:0.283)) + (IOPATH S X (0.223:0.223:0.223) (0.334:0.334:0.334)) + (IOPATH S X (0.159:0.159:0.159) (0.327:0.327:0.327)) ) ) ) @@ -2969,10 +2866,10 @@ (INSTANCE _411_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.140::0.140) (0.281::0.281)) - (IOPATH A1 X (0.187::0.187) (0.351::0.351)) - (IOPATH S X (0.000::0.000)) - (IOPATH S X (0.000::0.000)) + (IOPATH A0 X (0.111:0.122:0.133) (0.267:0.269:0.271)) + (IOPATH A1 X (0.121:0.121:0.121) (0.275:0.278:0.281)) + (IOPATH S X (0.223:0.223:0.223) (0.334:0.334:0.334)) + (IOPATH S X (0.159:0.159:0.159) (0.327:0.327:0.327)) ) ) ) @@ -2981,10 +2878,10 @@ (INSTANCE _412_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.128::0.128) (0.288::0.288)) - (IOPATH A1 X (0.134::0.134) (0.302::0.302)) - (IOPATH S X (0.218::0.218) (0.342::0.342)) - (IOPATH S X (0.157::0.157) (0.330::0.330)) + (IOPATH A0 X (0.131:0.133:0.136) (0.268:0.270:0.273)) + (IOPATH A1 X (0.119:0.120:0.120) (0.280:0.281:0.281)) + (IOPATH S X (0.223:0.223:0.223) (0.334:0.334:0.334)) + (IOPATH S X (0.159:0.159:0.159) (0.327:0.327:0.327)) ) ) ) @@ -2993,584 +2890,440 @@ (INSTANCE _413_) (DELAY (ABSOLUTE - (IOPATH A0 X (0.143::0.143) (0.292::0.292)) - (IOPATH A1 X (0.174::0.174) (0.329::0.329)) - (IOPATH S X (0.207::0.207) (0.339::0.340)) - (IOPATH S X (0.155::0.156) (0.321::0.321)) + (IOPATH A0 X (0.114:0.114:0.114) (0.275:0.275:0.275)) + (IOPATH A1 X (0.151:0.151:0.151) (0.310:0.310:0.310)) + (IOPATH S X (0.201:0.204:0.206) (0.359:0.359:0.359)) + (IOPATH S X (0.197:0.197:0.197) (0.312:0.314:0.317)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _414_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.394::0.394) (0.380::0.380)) + (IOPATH A0 X (0.121:0.122:0.123) (0.287:0.287:0.287)) + (IOPATH A1 X (0.159:0.159:0.159) (0.318:0.318:0.318)) + (IOPATH S X (0.234:0.234:0.234) (0.347:0.347:0.347)) + (IOPATH S X (0.170:0.170:0.170) (0.340:0.340:0.340)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) - (SETUP (posedge D) (posedge CLK) (0.053::0.053)) - (SETUP (negedge D) (posedge CLK) (0.087::0.087)) - ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _415_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.409::0.409) (0.389::0.389)) + (IOPATH A0 X (0.109:0.119:0.130) (0.266:0.269:0.271)) + (IOPATH A1 X (0.132:0.132:0.132) (0.289:0.289:0.289)) + (IOPATH S X (0.195:0.198:0.201) (0.352:0.352:0.352)) + (IOPATH S X (0.191:0.191:0.191) (0.305:0.307:0.310)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.014::-0.014)) - (HOLD (negedge D) (posedge CLK) (-0.013::-0.013)) - (SETUP (posedge D) (posedge CLK) (0.036::0.036)) - (SETUP (negedge D) (posedge CLK) (0.069::0.069)) - ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _416_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.398::0.398) (0.382::0.382)) + (IOPATH A0 X (0.114:0.115:0.116) (0.279:0.279:0.279)) + (IOPATH A1 X (0.140:0.140:0.140) (0.297:0.297:0.297)) + (IOPATH S X (0.229:0.229:0.229) (0.341:0.341:0.341)) + (IOPATH S X (0.165:0.165:0.165) (0.334:0.334:0.334)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.019::-0.019)) - (HOLD (negedge D) (posedge CLK) (-0.022::-0.022)) - (SETUP (posedge D) (posedge CLK) (0.041::0.041)) - (SETUP (negedge D) (posedge CLK) (0.078::0.078)) - ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _417_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.346::0.346) (0.336::0.336)) + (IOPATH A0 X (0.133:0.136:0.138) (0.270:0.273:0.275)) + (IOPATH A1 X (0.138:0.138:0.138) (0.299:0.299:0.299)) + (IOPATH S X (0.198:0.201:0.204) (0.355:0.355:0.355)) + (IOPATH S X (0.194:0.194:0.194) (0.308:0.311:0.313)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.047::-0.047)) - (HOLD (negedge D) (posedge CLK) (-0.061::-0.061)) - (SETUP (posedge D) (posedge CLK) (0.072::0.072)) - (SETUP (negedge D) (posedge CLK) (0.118::0.118)) - ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _418_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.390::0.390) (0.375::0.375)) + (IOPATH A0 X (0.115:0.116:0.117) (0.280:0.280:0.281)) + (IOPATH A1 X (0.149:0.149:0.149) (0.305:0.305:0.305)) + (IOPATH S X (0.229:0.229:0.229) (0.341:0.341:0.341)) + (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) - (HOLD (negedge D) (posedge CLK) (-0.019::-0.019)) - (SETUP (posedge D) (posedge CLK) (0.043::0.043)) - (SETUP (negedge D) (posedge CLK) (0.075::0.075)) - ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (CELLTYPE "sky130_fd_sc_hd__mux2_1") (INSTANCE _419_) (DELAY (ABSOLUTE - (IOPATH CLK Q (0.391::0.391) (0.376::0.376)) + (IOPATH A0 X (0.106:0.106:0.106) (0.269:0.269:0.269)) + (IOPATH A1 X (0.123:0.123:0.123) (0.283:0.283:0.283)) + (IOPATH S X (0.191:0.191:0.191) (0.306:0.306:0.306)) + (IOPATH S X (0.122:0.122:0.122) (0.303:0.303:0.303)) ) ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.024::-0.024)) - (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) - (SETUP (posedge D) (posedge CLK) (0.046::0.046)) - (SETUP (negedge D) (posedge CLK) (0.086::0.086)) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _420_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114:0.115:0.115) (0.279:0.279:0.279)) + (IOPATH A1 X (0.116:0.116:0.116) (0.284:0.284:0.284)) + (IOPATH S X (0.228:0.228:0.228) (0.340:0.340:0.340)) + (IOPATH S X (0.164:0.164:0.164) (0.333:0.333:0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _421_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.113:0.124:0.135) (0.270:0.272:0.274)) + (IOPATH A1 X (0.146:0.146:0.146) (0.302:0.302:0.302)) + (IOPATH S X (0.191:0.191:0.191) (0.306:0.306:0.306)) + (IOPATH S X (0.121:0.121:0.121) (0.303:0.303:0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _422_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.120:0.121:0.122) (0.286:0.286:0.287)) + (IOPATH A1 X (0.157:0.157:0.157) (0.314:0.314:0.314)) + (IOPATH S X (0.234:0.234:0.234) (0.347:0.347:0.347)) + (IOPATH S X (0.170:0.170:0.170) (0.341:0.341:0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _423_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.125:0.128:0.130) (0.268:0.270:0.273)) + (IOPATH A1 X (0.142:0.142:0.142) (0.298:0.298:0.298)) + (IOPATH S X (0.190:0.190:0.190) (0.304:0.304:0.305)) + (IOPATH S X (0.120:0.121:0.121) (0.302:0.302:0.302)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _424_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.119:0.120:0.120) (0.285:0.285:0.285)) + (IOPATH A1 X (0.156:0.156:0.156) (0.305:0.305:0.305)) + (IOPATH S X (0.233:0.233:0.233) (0.346:0.346:0.346)) + (IOPATH S X (0.169:0.169:0.169) (0.340:0.340:0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _425_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.101:0.101:0.101) (0.265:0.265:0.265)) + (IOPATH A1 X (0.143:0.143:0.143) (0.297:0.297:0.297)) + (IOPATH S X (0.188:0.189:0.190) (0.347:0.347:0.347)) + (IOPATH S X (0.182:0.182:0.182) (0.300:0.300:0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _426_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114:0.124:0.135) (0.271:0.273:0.274)) + (IOPATH A1 X (0.149:0.149:0.149) (0.296:0.296:0.296)) + (IOPATH S X (0.193:0.194:0.195) (0.353:0.354:0.354)) + (IOPATH S X (0.188:0.188:0.188) (0.306:0.307:0.307)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _427_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.115:0.115:0.115) (0.280:0.280:0.280)) + (IOPATH A1 X (0.122:0.132:0.143) (0.289:0.292:0.295)) + (IOPATH S X (0.229:0.229:0.229) (0.343:0.343:0.343)) + (IOPATH S X (0.165:0.165:0.165) (0.336:0.336:0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _428_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.118:0.130:0.142) (0.273:0.275:0.276)) + (IOPATH A1 X (0.130:0.130:0.130) (0.281:0.283:0.285)) + (IOPATH S X (0.224:0.224:0.224) (0.336:0.336:0.336)) + (IOPATH S X (0.159:0.159:0.159) (0.329:0.329:0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _429_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128:0.130:0.133) (0.270:0.273:0.275)) + (IOPATH A1 X (0.127:0.127:0.127) (0.285:0.286:0.287)) + (IOPATH S X (0.224:0.224:0.224) (0.336:0.336:0.336)) + (IOPATH S X (0.159:0.159:0.159) (0.329:0.329:0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _430_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.132:0.134:0.137) (0.271:0.274:0.276)) + (IOPATH A1 X (0.161:0.161:0.161) (0.315:0.315:0.315)) + (IOPATH S X (0.197:0.200:0.202) (0.355:0.355:0.355)) + (IOPATH S X (0.191:0.191:0.191) (0.309:0.311:0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _431_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.124:0.124:0.125) (0.289:0.289:0.290)) + (IOPATH A1 X (0.173:0.173:0.173) (0.328:0.328:0.328)) + (IOPATH S X (0.235:0.235:0.235) (0.349:0.349:0.349)) + (IOPATH S X (0.171:0.171:0.171) (0.342:0.342:0.342)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _432_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114:0.114:0.114) (0.278:0.278:0.278)) + (IOPATH A1 X (0.178:0.178:0.178) (0.329:0.329:0.329)) + (IOPATH S X (0.196:0.196:0.196) (0.313:0.314:0.314)) + (IOPATH S X (0.128:0.128:0.128) (0.311:0.311:0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _433_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.120:0.121:0.122) (0.284:0.285:0.285)) + (IOPATH A1 X (0.177:0.177:0.177) (0.328:0.328:0.328)) + (IOPATH S X (0.229:0.229:0.229) (0.342:0.342:0.342)) + (IOPATH S X (0.165:0.165:0.165) (0.335:0.335:0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _434_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.110:0.120:0.130) (0.267:0.269:0.271)) + (IOPATH A1 X (0.158:0.158:0.158) (0.311:0.311:0.311)) + (IOPATH S X (0.189:0.193:0.196) (0.348:0.348:0.348)) + (IOPATH S X (0.182:0.182:0.182) (0.301:0.304:0.306)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _435_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.148:0.148:0.148) (0.294:0.294:0.294)) + (IOPATH A1 X (0.209:0.209:0.209) (0.374:0.374:0.374)) + (IOPATH S X (0.204:0.205:0.205) (0.337:0.337:0.338)) + (IOPATH S X (0.153:0.153:0.154) (0.318:0.319:0.319)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _436_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143:0.143:0.143) (0.303:0.303:0.303)) + (IOPATH A1 X (0.150:0.150:0.150) (0.318:0.318:0.318)) + (IOPATH S X (0.233:0.233:0.233) (0.357:0.357:0.357)) + (IOPATH S X (0.172:0.172:0.172) (0.345:0.345:0.345)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _437_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.169:0.169:0.169) (0.307:0.307:0.307)) + (IOPATH A1 X (0.182:0.182:0.182) (0.337:0.337:0.337)) + (IOPATH S X (0.218:0.219:0.220) (0.353:0.353:0.353)) + (IOPATH S X (0.170:0.170:0.170) (0.331:0.332:0.332)) + ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") - (INSTANCE _420_) - (DELAY - (ABSOLUTE - (IOPATH CLK Q (0.386::0.386) (0.367::0.367)) - ) - ) - (TIMINGCHECK - (HOLD (posedge D) (posedge CLK) (-0.003::-0.003)) - (HOLD (negedge D) (posedge CLK) (0.000::0.000)) - (SETUP (posedge D) (posedge CLK) (0.024::0.024)) - (SETUP (negedge D) (posedge CLK) (0.055::0.055)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _421_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.493::0.493) (0.346::0.346)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) - (HOLD (posedge D) (posedge CLK) (-0.036::-0.036)) - (HOLD (negedge D) (posedge CLK) (-0.013::-0.013)) - (SETUP (posedge D) (posedge CLK) (0.056::0.056)) - (SETUP (negedge D) (posedge CLK) (0.069::0.069)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _422_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.491::0.491) (0.345::0.345)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) - (HOLD (posedge D) (posedge CLK) (-0.037::-0.037)) - (HOLD (negedge D) (posedge CLK) (-0.014::-0.014)) - (SETUP (posedge D) (posedge CLK) (0.057::0.057)) - (SETUP (negedge D) (posedge CLK) (0.070::0.070)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _423_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.495::0.495) (0.347::0.347)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) - (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) - (HOLD (negedge D) (posedge CLK) (0.001::0.001)) - (SETUP (posedge D) (posedge CLK) (0.045::0.045)) - (SETUP (negedge D) (posedge CLK) (0.053::0.053)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _424_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.453::0.453) (0.477::0.477)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.486::0.486)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236::-0.236)) - (HOLD (posedge D) (posedge CLK) (0.007::0.007)) - (HOLD (negedge D) (posedge CLK) (0.020::0.020)) - (SETUP (posedge D) (posedge CLK) (0.014::0.014)) - (SETUP (negedge D) (posedge CLK) (0.049::0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _425_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.451::0.451) (0.475::0.475)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.487::0.487)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235::-0.235)) - (HOLD (posedge D) (posedge CLK) (-0.008::-0.008)) - (HOLD (negedge D) (posedge CLK) (0.008::0.008)) - (SETUP (posedge D) (posedge CLK) (0.030::0.030)) - (SETUP (negedge D) (posedge CLK) (0.061::0.061)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _426_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.398::0.398) (0.434::0.434)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.487::0.487)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235::-0.235)) - (HOLD (posedge D) (posedge CLK) (0.003::0.003)) - (HOLD (negedge D) (posedge CLK) (0.019::0.019)) - (SETUP (posedge D) (posedge CLK) (0.018::0.018)) - (SETUP (negedge D) (posedge CLK) (0.049::0.049)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _427_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.352::0.399) (0.389::0.435)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.486::0.441)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232::-0.179)) - (HOLD (posedge D) (posedge CLK) (-0.002::-0.025)) - (HOLD (negedge D) (posedge CLK) (0.020::-0.018)) - (SETUP (posedge D) (posedge CLK) (0.024::0.049)) - (SETUP (negedge D) (posedge CLK) (0.049::0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _428_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.532::0.577) (0.385::0.431)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.200::0.155)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.181::-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.004::-0.025)) - (HOLD (negedge D) (posedge CLK) (0.050::0.014)) - (SETUP (posedge D) (posedge CLK) (0.020::0.043)) - (SETUP (negedge D) (posedge CLK) (0.003::0.041)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _429_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.350::0.398) (0.388::0.434)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.486::0.441)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232::-0.179)) - (HOLD (posedge D) (posedge CLK) (0.001::-0.022)) - (HOLD (negedge D) (posedge CLK) (0.022::-0.016)) - (SETUP (posedge D) (posedge CLK) (0.021::0.046)) - (SETUP (negedge D) (posedge CLK) (0.046::0.084)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _430_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.580::0.627) (0.608::0.654)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.486::0.441)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198::-0.145)) - (HOLD (posedge D) (posedge CLK) (0.003::-0.020)) - (HOLD (negedge D) (posedge CLK) (0.023::-0.015)) - (SETUP (posedge D) (posedge CLK) (0.022::0.047)) - (SETUP (negedge D) (posedge CLK) (0.049::0.087)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _431_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.702::0.747) (0.536::0.581)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.200::0.155)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.181::-0.135)) - (HOLD (posedge D) (posedge CLK) (-0.002::-0.022)) - (HOLD (negedge D) (posedge CLK) (0.056::0.019)) - (SETUP (posedge D) (posedge CLK) (0.018::0.041)) - (SETUP (negedge D) (posedge CLK) (0.002::0.039)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _432_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.542::0.589) (0.585::0.630)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.486::0.441)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198::-0.145)) - (HOLD (posedge D) (posedge CLK) (0.003::-0.020)) - (HOLD (negedge D) (posedge CLK) (0.023::-0.014)) - (SETUP (posedge D) (posedge CLK) (0.021::0.047)) - (SETUP (negedge D) (posedge CLK) (0.049::0.086)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _433_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.391::0.391) (0.428::0.428)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.478::0.478)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223::-0.223)) - (HOLD (posedge D) (posedge CLK) (-0.001::-0.001)) - (HOLD (negedge D) (posedge CLK) (0.018::0.018)) - (SETUP (posedge D) (posedge CLK) (0.023::0.023)) - (SETUP (negedge D) (posedge CLK) (0.050::0.050)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _434_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.578::0.578) (0.429::0.429)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.192::0.192)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.173::-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) - (HOLD (negedge D) (posedge CLK) (0.044::0.044)) - (SETUP (posedge D) (posedge CLK) (0.023::0.023)) - (SETUP (negedge D) (posedge CLK) (0.010::0.010)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _435_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.398::0.398) (0.434::0.434)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.477::0.477)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) - (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) - (HOLD (negedge D) (posedge CLK) (0.013::0.013)) - (SETUP (posedge D) (posedge CLK) (0.028::0.028)) - (SETUP (negedge D) (posedge CLK) (0.055::0.055)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _436_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.613::0.613) (0.643::0.643)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.478::0.478)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190::-0.190)) - (HOLD (posedge D) (posedge CLK) (-0.001::-0.001)) - (HOLD (negedge D) (posedge CLK) (0.017::0.017)) - (SETUP (posedge D) (posedge CLK) (0.026::0.026)) - (SETUP (negedge D) (posedge CLK) (0.055::0.055)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_4") - (INSTANCE _437_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.774::0.774) (0.597::0.597)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.192::0.192)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.173::-0.173)) - (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) - (HOLD (negedge D) (posedge CLK) (0.048::0.048)) - (SETUP (posedge D) (posedge CLK) (0.023::0.023)) - (SETUP (negedge D) (posedge CLK) (0.009::0.009)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") (INSTANCE _438_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.585::0.585) (0.624::0.624)) + (IOPATH CLK Q (0.388:0.388:0.388) (0.377:0.377:0.377)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.477::0.477)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190::-0.190)) - (HOLD (posedge D) (posedge CLK) (-0.002::-0.002)) - (HOLD (negedge D) (posedge CLK) (0.015::0.015)) - (SETUP (posedge D) (posedge CLK) (0.027::0.027)) - (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013)) + (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) + (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034)) + (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _439_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.395:0.395:0.395) (0.382:0.382:0.382)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011)) + (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) + (SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032)) + (SETUP (negedge D) (posedge CLK) (0.063:0.063:0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _440_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.409:0.409:0.409) (0.389:0.389:0.389)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012)) + (HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001)) + (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) + (SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _441_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.345:0.345:0.345) (0.335:0.335:0.335)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053)) + (HOLD (negedge D) (posedge CLK) (-0.065:-0.065:-0.065)) + (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078)) + (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _442_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.386:0.386:0.386) (0.372:0.372:0.372)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021)) + (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048)) + (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _443_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.366:0.366:0.366) (0.348:0.348:0.348)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045)) + (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058)) + (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069)) + (SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _444_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.392:0.392:0.392) (0.370:0.370:0.370)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.006:-0.006:-0.006)) + (HOLD (negedge D) (posedge CLK) (-0.003:-0.003:-0.003)) + (SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027)) + (SETUP (negedge D) (posedge CLK) (0.058:0.058:0.058)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _439_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.618::0.618) (0.448::0.448)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.181::0.181)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.162::-0.162)) - (HOLD (posedge D) (posedge CLK) (-0.012::-0.025)) - (HOLD (negedge D) (posedge CLK) (0.033::0.029)) - (SETUP (posedge D) (posedge CLK) (0.028::0.043)) - (SETUP (negedge D) (posedge CLK) (0.021::0.025)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _440_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.580::0.580) (0.481::0.481)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.595::0.595)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.307::-0.307)) - (HOLD (posedge D) (negedge CLK_N) (0.144::0.138)) - (HOLD (negedge D) (negedge CLK_N) (-0.021::-0.021)) - (SETUP (posedge D) (negedge CLK_N) (-0.099::-0.093)) - (SETUP (negedge D) (negedge CLK_N) (0.103::0.103)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _441_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.599::0.599) (0.402::0.402)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) - (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) - (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) - (SETUP (posedge D) (posedge CLK) (0.054::0.056)) - (SETUP (negedge D) (posedge CLK) (0.066::0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _442_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.573::0.573) (0.475::0.475)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.592::0.592)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.309::-0.309)) - (HOLD (posedge D) (negedge CLK_N) (0.151::0.150)) - (HOLD (negedge D) (negedge CLK_N) (-0.015::-0.016)) - (SETUP (posedge D) (negedge CLK_N) (-0.110::-0.107)) - (SETUP (negedge D) (negedge CLK_N) (0.096::0.097)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") - (INSTANCE _443_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.578::0.578) (0.623::0.623)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.488::0.488)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201::-0.201)) - (HOLD (posedge D) (posedge CLK) (0.006::0.005)) - (HOLD (negedge D) (posedge CLK) (0.030::0.027)) - (SETUP (posedge D) (posedge CLK) (0.019::0.019)) - (SETUP (negedge D) (posedge CLK) (0.042::0.044)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_2") - (INSTANCE _444_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.649::0.649) (0.460::0.460)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.182::0.182)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.161::-0.161)) - (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) - (HOLD (negedge D) (posedge CLK) (0.042::0.042)) - (SETUP (posedge D) (posedge CLK) (0.027::0.027)) - (SETUP (negedge D) (posedge CLK) (0.019::0.019)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") (INSTANCE _445_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.449::0.449) (0.466::0.466)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.493:0.493:0.493) (0.346:0.346:0.346)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.212::-0.212)) - (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) - (HOLD (negedge D) (posedge CLK) (0.008::0.008)) - (SETUP (posedge D) (posedge CLK) (0.029::0.029)) - (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.014)) + (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) + (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") (INSTANCE _446_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.417::0.417) (0.445::0.445)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.491:0.491:0.491) (0.345:0.345:0.345)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.212::-0.212)) - (HOLD (posedge D) (posedge CLK) (-0.021::-0.024)) - (HOLD (negedge D) (posedge CLK) (0.002::-0.011)) - (SETUP (posedge D) (posedge CLK) (0.044::0.047)) - (SETUP (negedge D) (posedge CLK) (0.066::0.080)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014)) + (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057)) + (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070)) ) ) (CELL @@ -3578,89 +3331,89 @@ (INSTANCE _447_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.543::0.543) (0.377::0.377)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.496:0.496:0.496) (0.348:0.348:0.348)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) - (HOLD (posedge D) (posedge CLK) (-0.036::-0.039)) - (HOLD (negedge D) (posedge CLK) (-0.016::-0.018)) - (SETUP (posedge D) (posedge CLK) (0.056::0.059)) - (SETUP (negedge D) (posedge CLK) (0.071::0.074)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026)) + (HOLD (negedge D) (posedge CLK) (0.001:0.001:0.000)) + (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045)) + (SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") (INSTANCE _448_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.488::0.488) (0.414::0.414)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.456:0.456:0.456) (0.481:0.481:0.481)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.570::0.570)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.285::-0.285)) - (HOLD (posedge D) (negedge CLK_N) (0.129::0.129)) - (HOLD (negedge D) (negedge CLK_N) (-0.035::-0.036)) - (SETUP (posedge D) (negedge CLK_N) (-0.087::-0.086)) - (SETUP (negedge D) (negedge CLK_N) (0.114::0.115)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.491:0.491:0.491)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242)) + (HOLD (posedge D) (posedge CLK) (0.010:0.010:0.010)) + (HOLD (negedge D) (posedge CLK) (0.025:0.025:0.025)) + (SETUP (posedge D) (posedge CLK) (0.011:0.011:0.011)) + (SETUP (negedge D) (posedge CLK) (0.044:0.044:0.044)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") (INSTANCE _449_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.601::0.601) (0.404::0.404)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.450:0.450:0.450) (0.475:0.475:0.475)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) - (HOLD (posedge D) (posedge CLK) (-0.043::-0.049)) - (HOLD (negedge D) (posedge CLK) (-0.011::-0.021)) - (SETUP (posedge D) (posedge CLK) (0.064::0.070)) - (SETUP (negedge D) (posedge CLK) (0.066::0.077)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.488:0.488:0.488)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.245:-0.245:-0.245)) + (HOLD (posedge D) (posedge CLK) (-0.006:-0.006:-0.006)) + (HOLD (negedge D) (posedge CLK) (0.012:0.012:0.012)) + (SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027)) + (SETUP (negedge D) (posedge CLK) (0.057:0.057:0.057)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") (INSTANCE _450_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.492::0.492) (0.417::0.417)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.406:0.406:0.406) (0.441:0.441:0.441)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.570::0.570)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.285::-0.285)) - (HOLD (posedge D) (negedge CLK_N) (0.126::0.118)) - (HOLD (negedge D) (negedge CLK_N) (-0.034::-0.038)) - (SETUP (posedge D) (negedge CLK_N) (-0.083::-0.072)) - (SETUP (negedge D) (negedge CLK_N) (0.113::0.118)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.488:0.488:0.488)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.245:-0.245:-0.245)) + (HOLD (posedge D) (posedge CLK) (0.004:0.004:0.004)) + (HOLD (negedge D) (posedge CLK) (0.022:0.022:0.022)) + (SETUP (posedge D) (posedge CLK) (0.017:0.017:0.017)) + (SETUP (negedge D) (posedge CLK) (0.047:0.047:0.047)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") (INSTANCE _451_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.484::0.484) (0.509::0.509)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.396:0.396:0.396) (0.432:0.432:0.432)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188::-0.188)) - (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) - (HOLD (negedge D) (posedge CLK) (0.008::0.007)) - (SETUP (posedge D) (posedge CLK) (0.032::0.032)) - (SETUP (negedge D) (posedge CLK) (0.065::0.066)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.481:0.481:0.481)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229:-0.229:-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002)) + (HOLD (negedge D) (posedge CLK) (0.018:0.018:0.018)) + (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024)) + (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050)) ) ) (CELL @@ -3668,17 +3421,17 @@ (INSTANCE _452_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.647::0.647) (0.463::0.463)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.575:0.575:0.575) (0.428:0.428:0.428)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.181::0.181)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.162::-0.162)) - (HOLD (posedge D) (posedge CLK) (-0.009::-0.009)) - (HOLD (negedge D) (posedge CLK) (0.033::0.032)) - (SETUP (posedge D) (posedge CLK) (0.026::0.026)) - (SETUP (negedge D) (posedge CLK) (0.021::0.021)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.197:0.197:0.197)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.178:-0.178:-0.178)) + (HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008)) + (HOLD (negedge D) (posedge CLK) (0.045:0.045:0.045)) + (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025)) + (SETUP (negedge D) (posedge CLK) (0.009:0.009:0.009)) ) ) (CELL @@ -3686,251 +3439,251 @@ (INSTANCE _453_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.443::0.443) (0.463::0.463)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.402:0.402:0.402) (0.437:0.437:0.437)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211::-0.211)) - (HOLD (posedge D) (posedge CLK) (-0.006::-0.007)) - (HOLD (negedge D) (posedge CLK) (0.004::0.003)) - (SETUP (posedge D) (posedge CLK) (0.029::0.029)) - (SETUP (negedge D) (posedge CLK) (0.064::0.065)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.481:0.481:0.481)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.229:-0.229:-0.229)) + (HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009)) + (HOLD (negedge D) (posedge CLK) (0.012:0.012:0.012)) + (SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031)) + (SETUP (negedge D) (posedge CLK) (0.056:0.056:0.056)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") (INSTANCE _454_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.627::0.627) (0.461::0.461)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.571:0.571:0.571) (0.617:0.617:0.617)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.203::0.203)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.184::-0.184)) - (HOLD (posedge D) (posedge CLK) (-0.004::-0.007)) - (HOLD (negedge D) (posedge CLK) (0.041::0.039)) - (SETUP (posedge D) (posedge CLK) (0.020::0.023)) - (SETUP (negedge D) (posedge CLK) (0.014::0.016)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.481:0.481:0.481)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) + (HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001)) + (HOLD (negedge D) (posedge CLK) (0.020:0.020:0.020)) + (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024)) + (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") (INSTANCE _455_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.565::0.565) (0.408::0.408)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.738:0.738:0.738) (0.576:0.576:0.576)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.161::0.161)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.142::-0.142)) - (HOLD (posedge D) (posedge CLK) (-0.018::-0.021)) - (HOLD (negedge D) (posedge CLK) (0.019::0.018)) - (SETUP (posedge D) (posedge CLK) (0.036::0.039)) - (SETUP (negedge D) (posedge CLK) (0.035::0.036)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.197:0.197:0.197)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.178:-0.178:-0.178)) + (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003)) + (HOLD (negedge D) (posedge CLK) (0.053:0.053:0.053)) + (SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020)) + (SETUP (negedge D) (posedge CLK) (0.005:0.005:0.005)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") (INSTANCE _456_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.560::0.560) (0.465::0.465)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.539:0.539:0.539) (0.594:0.594:0.594)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580::0.580)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300::-0.300)) - (HOLD (posedge D) (negedge CLK_N) (0.133::0.128)) - (HOLD (negedge D) (negedge CLK_N) (-0.029::-0.030)) - (SETUP (posedge D) (negedge CLK_N) (-0.088::-0.081)) - (SETUP (negedge D) (negedge CLK_N) (0.109::0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _457_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.599::0.599) (0.404::0.404)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) - (HOLD (posedge D) (posedge CLK) (-0.036::-0.038)) - (HOLD (negedge D) (posedge CLK) (-0.012::-0.012)) - (SETUP (posedge D) (posedge CLK) (0.056::0.058)) - (SETUP (negedge D) (posedge CLK) (0.067::0.067)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _458_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.543::0.543) (0.453::0.453)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580::0.580)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300::-0.300)) - (HOLD (posedge D) (negedge CLK_N) (0.141::0.139)) - (HOLD (negedge D) (negedge CLK_N) (-0.024::-0.025)) - (SETUP (posedge D) (negedge CLK_N) (-0.098::-0.096)) - (SETUP (negedge D) (negedge CLK_N) (0.105::0.105)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _459_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.604::0.604) (0.407::0.407)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) - (HOLD (posedge D) (posedge CLK) (-0.037::-0.040)) - (HOLD (negedge D) (posedge CLK) (-0.017::-0.019)) - (SETUP (posedge D) (posedge CLK) (0.057::0.060)) - (SETUP (negedge D) (posedge CLK) (0.072::0.074)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _460_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.497::0.497) (0.424::0.424)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580::0.580)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300::-0.300)) - (HOLD (posedge D) (negedge CLK_N) (0.139::0.139)) - (HOLD (negedge D) (negedge CLK_N) (-0.027::-0.028)) - (SETUP (posedge D) (negedge CLK_N) (-0.096::-0.096)) - (SETUP (negedge D) (negedge CLK_N) (0.107::0.108)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _461_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.565::0.565) (0.387::0.387)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) - (HOLD (posedge D) (posedge CLK) (-0.045::-0.051)) - (HOLD (negedge D) (posedge CLK) (-0.012::-0.021)) - (SETUP (posedge D) (posedge CLK) (0.065::0.072)) - (SETUP (negedge D) (posedge CLK) (0.067::0.078)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") - (INSTANCE _462_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK_N Q (0.483::0.483) (0.413::0.413)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580::0.580)) - (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300::-0.300)) - (HOLD (posedge D) (negedge CLK_N) (0.138::0.138)) - (HOLD (negedge D) (negedge CLK_N) (-0.029::-0.030)) - (SETUP (posedge D) (negedge CLK_N) (-0.095::-0.095)) - (SETUP (negedge D) (negedge CLK_N) (0.110::0.111)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") - (INSTANCE _463_) - (DELAY - (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.500::0.500) (0.523::0.523)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.475::0.475)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204::-0.204)) - (HOLD (posedge D) (posedge CLK) (-0.001::-0.001)) - (HOLD (negedge D) (posedge CLK) (0.017::0.016)) - (SETUP (posedge D) (posedge CLK) (0.026::0.026)) - (SETUP (negedge D) (posedge CLK) (0.056::0.056)) - ) - ) - (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _464_) - (DELAY - (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.673::0.673) (0.483::0.483)) - ) - ) - (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.194::0.194)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.175::-0.175)) - (HOLD (posedge D) (posedge CLK) (-0.003::-0.003)) - (HOLD (negedge D) (posedge CLK) (0.044::0.043)) - (SETUP (posedge D) (posedge CLK) (0.019::0.019)) - (SETUP (negedge D) (posedge CLK) (0.010::0.010)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.481:0.481:0.481)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196)) + (HOLD (posedge D) (posedge CLK) (-0.000:-0.000:-0.000)) + (HOLD (negedge D) (posedge CLK) (0.019:0.019:0.019)) + (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025)) + (SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") - (INSTANCE _465_) + (INSTANCE _457_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.424::0.424) (0.444::0.444)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.400:0.400:0.400) (0.436:0.436:0.436)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187::-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.015::-0.015)) - (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) - (SETUP (posedge D) (posedge CLK) (0.038::0.038)) - (SETUP (negedge D) (posedge CLK) (0.079::0.080)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.475:0.475:0.475)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223)) + (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002)) + (HOLD (negedge D) (posedge CLK) (0.017:0.017:0.017)) + (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024)) + (SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051)) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dfstp_1") - (INSTANCE _466_) + (INSTANCE _458_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.594::0.594) (0.425::0.425)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.572:0.572:0.572) (0.426:0.426:0.426)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.161::0.161)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.142::-0.142)) - (HOLD (posedge D) (posedge CLK) (-0.020::-0.022)) - (HOLD (negedge D) (posedge CLK) (0.013::0.010)) - (SETUP (posedge D) (posedge CLK) (0.038::0.040)) - (SETUP (negedge D) (posedge CLK) (0.042::0.045)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.193:0.193:0.193)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.174:-0.174:-0.174)) + (HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009)) + (HOLD (negedge D) (posedge CLK) (0.042:0.042:0.042)) + (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025)) + (SETUP (negedge D) (posedge CLK) (0.011:0.011:0.011)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _459_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.408:0.408:0.408) (0.442:0.442:0.442)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473:0.473:0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008)) + (HOLD (negedge D) (posedge CLK) (0.011:0.011:0.011)) + (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) + (SETUP (negedge D) (posedge CLK) (0.057:0.057:0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _460_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.635:0.635:0.635) (0.655:0.655:0.655)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473:0.473:0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) + (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003)) + (HOLD (negedge D) (posedge CLK) (0.014:0.014:0.014)) + (SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028)) + (SETUP (negedge D) (posedge CLK) (0.058:0.058:0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") + (INSTANCE _461_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.793:0.793:0.793) (0.608:0.608:0.608)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.193:0.193:0.193)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.173:-0.173:-0.173)) + (HOLD (posedge D) (posedge CLK) (-0.006:-0.006:-0.006)) + (HOLD (negedge D) (posedge CLK) (0.049:0.049:0.049)) + (SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023)) + (SETUP (negedge D) (posedge CLK) (0.009:0.009:0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _462_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.608:0.608:0.608) (0.641:0.641:0.641)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473:0.473:0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.193:-0.193:-0.193)) + (HOLD (posedge D) (posedge CLK) (-0.005:-0.005:-0.005)) + (HOLD (negedge D) (posedge CLK) (0.012:0.012:0.012)) + (SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030)) + (SETUP (negedge D) (posedge CLK) (0.061:0.061:0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _463_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.622:0.622:0.622) (0.460:0.460:0.460)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.208:0.208:0.208)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.189:-0.189:-0.189)) + (HOLD (posedge D) (posedge CLK) (0.001:-0.006:-0.013)) + (HOLD (negedge D) (posedge CLK) (0.055:0.053:0.051)) + (SETUP (posedge D) (posedge CLK) (0.015:0.022:0.029)) + (SETUP (negedge D) (posedge CLK) (-0.002:0.000:0.002)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _464_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.571:0.571:0.571) (0.478:0.478:0.478)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.598:0.598:0.598)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.314:-0.314:-0.314)) + (HOLD (posedge D) (negedge CLK_N) (0.150:0.148:0.145)) + (HOLD (negedge D) (negedge CLK_N) (-0.015:-0.016:-0.017)) + (SETUP (posedge D) (negedge CLK_N) (-0.107:-0.103:-0.100)) + (SETUP (negedge D) (negedge CLK_N) (0.097:0.098:0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _465_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.614:0.614:0.614) (0.413:0.413:0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.009)) + (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.055)) + (SETUP (negedge D) (posedge CLK) (0.063:0.063:0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _466_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.548:0.548:0.548) (0.462:0.462:0.462)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.597:0.597:0.597)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.315:-0.315:-0.315)) + (HOLD (posedge D) (negedge CLK_N) (0.156:0.155:0.154)) + (HOLD (negedge D) (negedge CLK_N) (-0.011:-0.012:-0.013)) + (SETUP (posedge D) (negedge CLK_N) (-0.114:-0.113:-0.112)) + (SETUP (negedge D) (negedge CLK_N) (0.093:0.094:0.095)) ) ) (CELL @@ -3938,53 +3691,53 @@ (INSTANCE _467_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.548::0.548) (0.589::0.589)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.613:0.613:0.613) (0.648:0.648:0.648)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) - (HOLD (posedge D) (posedge CLK) (-0.015::-0.016)) - (HOLD (negedge D) (posedge CLK) (-0.005::-0.008)) - (SETUP (posedge D) (posedge CLK) (0.042::0.042)) - (SETUP (negedge D) (posedge CLK) (0.077::0.079)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.492:0.492:0.492)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209)) + (HOLD (posedge D) (posedge CLK) (0.008:0.008:0.008)) + (HOLD (negedge D) (posedge CLK) (0.034:0.033:0.032)) + (SETUP (posedge D) (posedge CLK) (0.016:0.016:0.016)) + (SETUP (negedge D) (posedge CLK) (0.038:0.039:0.040)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (CELLTYPE "sky130_fd_sc_hd__dfstp_2") (INSTANCE _468_) (DELAY (ABSOLUTE - (IOPATH SET_B Q (0.000::0.000)) - (IOPATH CLK Q (0.646::0.646) (0.451::0.451)) + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.655:0.655:0.655) (0.462:0.462:0.462)) ) ) (TIMINGCHECK - (REMOVAL (posedge SET_B) (posedge CLK) (0.161::0.161)) - (RECOVERY (posedge SET_B) (posedge CLK) (-0.141::-0.141)) - (HOLD (posedge D) (posedge CLK) (-0.019::-0.019)) - (HOLD (negedge D) (posedge CLK) (0.021::0.021)) - (SETUP (posedge D) (posedge CLK) (0.037::0.037)) - (SETUP (negedge D) (posedge CLK) (0.033::0.033)) + (REMOVAL (posedge SET_B) (posedge CLK) (0.181:0.181:0.181)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.159:-0.159:-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008)) + (HOLD (negedge D) (posedge CLK) (0.040:0.040:0.040)) + (SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028)) + (SETUP (negedge D) (posedge CLK) (0.021:0.021:0.021)) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") (INSTANCE _469_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.443::0.443) (0.455::0.455)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.463:0.463:0.463) (0.494:0.494:0.494)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187::-0.187)) - (HOLD (posedge D) (posedge CLK) (-0.016::-0.016)) - (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) - (SETUP (posedge D) (posedge CLK) (0.039::0.039)) - (SETUP (negedge D) (posedge CLK) (0.076::0.076)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459:0.459:0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188)) + (HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.007)) + (HOLD (negedge D) (posedge CLK) (0.010:0.010:0.010)) + (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033)) + (SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062)) ) ) (CELL @@ -3992,17 +3745,449 @@ (INSTANCE _470_) (DELAY (ABSOLUTE - (IOPATH RESET_B Q () (0.000::0.000)) - (IOPATH CLK Q (0.418::0.418) (0.439::0.439)) + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.444:0.444:0.444) (0.463:0.463:0.463)) ) ) (TIMINGCHECK - (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) - (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186::-0.186)) - (HOLD (posedge D) (posedge CLK) (-0.027::-0.030)) - (HOLD (negedge D) (posedge CLK) (-0.012::-0.024)) - (SETUP (posedge D) (posedge CLK) (0.051::0.055)) - (SETUP (negedge D) (posedge CLK) (0.080::0.093)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460:0.460:0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211:-0.211:-0.211)) + (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.021)) + (HOLD (negedge D) (posedge CLK) (0.003:-0.003:-0.009)) + (SETUP (posedge D) (posedge CLK) (0.041:0.042:0.044)) + (SETUP (negedge D) (posedge CLK) (0.065:0.072:0.078)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _471_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.556:0.556:0.556) (0.385:0.385:0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.038:-0.039:-0.040)) + (HOLD (negedge D) (posedge CLK) (-0.018:-0.019:-0.020)) + (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.061)) + (SETUP (negedge D) (posedge CLK) (0.074:0.075:0.076)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _472_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.519:0.519:0.519) (0.432:0.432:0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.569:0.569:0.569)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.284:-0.284:-0.284)) + (HOLD (posedge D) (negedge CLK_N) (0.128:0.128:0.128)) + (HOLD (negedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) + (SETUP (posedge D) (negedge CLK_N) (-0.085:-0.085:-0.085)) + (SETUP (negedge D) (negedge CLK_N) (0.111:0.111:0.111)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _473_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.564:0.564:0.564) (0.386:0.386:0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.125:0.125:0.125)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105:-0.105:-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009)) + (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) + (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _474_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.483:0.483:0.483) (0.411:0.411:0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.568:0.568:0.568)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.285:-0.285:-0.285)) + (HOLD (posedge D) (negedge CLK_N) (0.128:0.128:0.128)) + (HOLD (negedge D) (negedge CLK_N) (-0.032:-0.032:-0.032)) + (SETUP (posedge D) (negedge CLK_N) (-0.085:-0.085:-0.085)) + (SETUP (negedge D) (negedge CLK_N) (0.111:0.111:0.111)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _475_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.502:0.502:0.502) (0.527:0.527:0.527)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.491:0.491:0.491)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220)) + (HOLD (posedge D) (posedge CLK) (0.008:0.008:0.008)) + (HOLD (negedge D) (posedge CLK) (0.031:0.031:0.030)) + (SETUP (posedge D) (posedge CLK) (0.016:0.016:0.016)) + (SETUP (negedge D) (posedge CLK) (0.041:0.041:0.042)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _476_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.640:0.640:0.640) (0.458:0.458:0.458)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.179:0.179:0.179)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.160:-0.160:-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.010)) + (HOLD (negedge D) (posedge CLK) (0.033:0.032:0.032)) + (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026)) + (SETUP (negedge D) (posedge CLK) (0.021:0.022:0.022)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _477_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.442:0.442:0.442) (0.462:0.462:0.462)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460:0.460:0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.211:-0.211:-0.211)) + (HOLD (posedge D) (posedge CLK) (-0.006:-0.006:-0.006)) + (HOLD (negedge D) (posedge CLK) (0.004:0.004:0.003)) + (SETUP (posedge D) (posedge CLK) (0.028:0.028:0.029)) + (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.065)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _478_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.604:0.604:0.604) (0.439:0.439:0.439)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.179:0.179:0.179)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.160:-0.160:-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.012:-0.013:-0.014)) + (HOLD (negedge D) (posedge CLK) (0.027:0.026:0.025)) + (SETUP (posedge D) (posedge CLK) (0.028:0.030:0.031)) + (SETUP (negedge D) (posedge CLK) (0.027:0.028:0.030)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _479_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.609:0.609:0.609) (0.431:0.431:0.431)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.163:0.163:0.163)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.144:-0.144:-0.144)) + (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.020)) + (HOLD (negedge D) (posedge CLK) (0.020:0.019:0.019)) + (SETUP (posedge D) (posedge CLK) (0.035:0.037:0.038)) + (SETUP (negedge D) (posedge CLK) (0.034:0.035:0.035)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _480_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.554:0.554:0.554) (0.461:0.461:0.461)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580:0.580:0.580)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300:-0.300:-0.300)) + (HOLD (posedge D) (negedge CLK_N) (0.134:0.131:0.129)) + (HOLD (negedge D) (negedge CLK_N) (-0.029:-0.029:-0.030)) + (SETUP (posedge D) (negedge CLK_N) (-0.090:-0.086:-0.083)) + (SETUP (negedge D) (negedge CLK_N) (0.110:0.110:0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _481_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.606:0.606:0.606) (0.408:0.408:0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.010)) + (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.055)) + (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _482_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.534:0.534:0.534) (0.447:0.447:0.447)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580:0.580:0.580)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300:-0.300:-0.300)) + (HOLD (posedge D) (negedge CLK_N) (0.142:0.141:0.140)) + (HOLD (negedge D) (negedge CLK_N) (-0.023:-0.024:-0.024)) + (SETUP (posedge D) (negedge CLK_N) (-0.099:-0.098:-0.097)) + (SETUP (negedge D) (negedge CLK_N) (0.104:0.104:0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _483_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.584:0.584:0.584) (0.400:0.400:0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.035:-0.037:-0.038)) + (HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.017)) + (SETUP (posedge D) (posedge CLK) (0.055:0.057:0.058)) + (SETUP (negedge D) (posedge CLK) (0.070:0.071:0.072)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _484_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.545:0.545:0.545) (0.454:0.454:0.454)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580:0.580:0.580)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300:-0.300:-0.300)) + (HOLD (posedge D) (negedge CLK_N) (0.141:0.141:0.141)) + (HOLD (negedge D) (negedge CLK_N) (-0.022:-0.022:-0.022)) + (SETUP (posedge D) (negedge CLK_N) (-0.098:-0.098:-0.098)) + (SETUP (negedge D) (negedge CLK_N) (0.102:0.102:0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _485_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.566:0.566:0.566) (0.388:0.388:0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127:0.127:0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107:-0.107:-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008)) + (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056)) + (SETUP (negedge D) (posedge CLK) (0.063:0.063:0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _486_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK_N Q (0.496:0.496:0.496) (0.424:0.424:0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.580:0.580:0.580)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300:-0.300:-0.300)) + (HOLD (posedge D) (negedge CLK_N) (0.141:0.141:0.141)) + (HOLD (negedge D) (negedge CLK_N) (-0.022:-0.022:-0.022)) + (SETUP (posedge D) (negedge CLK_N) (-0.098:-0.098:-0.098)) + (SETUP (negedge D) (negedge CLK_N) (0.102:0.102:0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _487_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.471:0.471:0.471) (0.494:0.494:0.494)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443:0.443:0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.168:-0.168:-0.168)) + (HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.008)) + (SETUP (posedge D) (posedge CLK) (0.041:0.042:0.042)) + (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.081)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _488_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.678:0.678:0.678) (0.486:0.486:0.486)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.194:0.194:0.194)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.175:-0.175:-0.175)) + (HOLD (posedge D) (posedge CLK) (-0.005:-0.005:-0.005)) + (HOLD (negedge D) (posedge CLK) (0.042:0.042:0.042)) + (SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021)) + (SETUP (negedge D) (posedge CLK) (0.011:0.012:0.012)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _489_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.488:0.488:0.488) (0.497:0.497:0.497)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.474:0.474:0.474)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227)) + (HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001)) + (HOLD (negedge D) (posedge CLK) (0.016:0.016:0.015)) + (SETUP (posedge D) (posedge CLK) (0.020:0.021:0.021)) + (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.053)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _490_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.588:0.588:0.588) (0.422:0.422:0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.163:0.163:0.163)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.144:-0.144:-0.144)) + (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.022)) + (HOLD (negedge D) (posedge CLK) (0.014:0.012:0.010)) + (SETUP (posedge D) (posedge CLK) (0.037:0.039:0.040)) + (SETUP (negedge D) (posedge CLK) (0.041:0.043:0.045)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _491_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.564:0.564:0.564) (0.602:0.602:0.602)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155:-0.155:-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.018)) + (HOLD (negedge D) (posedge CLK) (-0.007:-0.008:-0.009)) + (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044)) + (SETUP (negedge D) (posedge CLK) (0.079:0.080:0.081)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _492_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000:0.000:0.000)) + (IOPATH CLK Q (0.658:0.658:0.658) (0.459:0.459:0.459)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.163:0.163:0.163)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.143:-0.143:-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018)) + (HOLD (negedge D) (posedge CLK) (0.022:0.022:0.022)) + (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036)) + (SETUP (negedge D) (posedge CLK) (0.032:0.032:0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _493_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.460:0.460:0.460) (0.466:0.466:0.466)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446:0.446:0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189)) + (HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007)) + (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039)) + (SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _494_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000:0.000:0.000)) + (IOPATH CLK Q (0.415:0.415:0.415) (0.437:0.437:0.437)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444:0.444:0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191)) + (HOLD (posedge D) (posedge CLK) (-0.031:-0.033:-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.013:-0.021:-0.028)) + (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.059)) + (SETUP (negedge D) (posedge CLK) (0.082:0.090:0.098)) ) ) (CELL @@ -4010,7 +4195,7 @@ (INSTANCE clkbuf_0_ext_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.155::0.155) (0.156::0.156)) + (IOPATH A X (0.150:0.150:0.150) (0.152:0.152:0.152)) ) ) ) @@ -4019,7 +4204,7 @@ (INSTANCE clkbuf_0_pll_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.158::0.158) (0.158::0.158)) + (IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153)) ) ) ) @@ -4028,7 +4213,7 @@ (INSTANCE clkbuf_0_pll_clk90) (DELAY (ABSOLUTE - (IOPATH A X (0.154::0.154) (0.155::0.155)) + (IOPATH A X (0.157:0.157:0.157) (0.158:0.158:0.158)) ) ) ) @@ -4037,7 +4222,7 @@ (INSTANCE clkbuf_1_0_0_ext_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.087::0.087) (0.090::0.090)) + (IOPATH A X (0.085:0.085:0.085) (0.088:0.088:0.088)) ) ) ) @@ -4046,7 +4231,7 @@ (INSTANCE clkbuf_1_0_0_pll_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.240::0.240) (0.202::0.202)) + (IOPATH A X (0.236:0.236:0.236) (0.200:0.200:0.200)) ) ) ) @@ -4055,7 +4240,7 @@ (INSTANCE clkbuf_1_0_0_pll_clk90) (DELAY (ABSOLUTE - (IOPATH A X (0.271::0.271) (0.222::0.222)) + (IOPATH A X (0.272:0.272:0.272) (0.223:0.223:0.223)) ) ) ) @@ -4064,7 +4249,7 @@ (INSTANCE clkbuf_1_1_0_ext_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.091::0.091) (0.094::0.094)) + (IOPATH A X (0.091:0.091:0.091) (0.094:0.094:0.094)) ) ) ) @@ -4073,7 +4258,7 @@ (INSTANCE clkbuf_1_1_0_pll_clk) (DELAY (ABSOLUTE - (IOPATH A X (0.296::0.296) (0.241::0.241)) + (IOPATH A X (0.308:0.308:0.308) (0.250:0.250:0.250)) ) ) ) @@ -4082,7 +4267,7 @@ (INSTANCE clkbuf_1_1_0_pll_clk90) (DELAY (ABSOLUTE - (IOPATH A X (0.192::0.192) (0.169::0.169)) + (IOPATH A X (0.196:0.196:0.196) (0.172:0.172:0.172)) ) ) ) @@ -4091,7 +4276,7 @@ (INSTANCE hold1) (DELAY (ABSOLUTE - (IOPATH A X (0.254::0.254) (0.241::0.241)) + (IOPATH A X (0.263:0.263:0.263) (0.248:0.248:0.248)) ) ) ) @@ -4100,7 +4285,7 @@ (INSTANCE hold2) (DELAY (ABSOLUTE - (IOPATH A X (0.254::0.254) (0.242::0.242)) + (IOPATH A X (0.255:0.255:0.255) (0.242:0.242:0.242)) ) ) ) @@ -4109,16 +4294,16 @@ (INSTANCE hold3) (DELAY (ABSOLUTE - (IOPATH A X (0.250::0.250) (0.238::0.238)) + (IOPATH A X (0.250:0.250:0.250) (0.238:0.238:0.238)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") (INSTANCE input1) (DELAY (ABSOLUTE - (IOPATH A X (0.147::0.147) (0.110::0.110)) + (IOPATH A X (0.159:0.159:0.159) (0.158:0.158:0.158)) ) ) ) @@ -4127,7 +4312,7 @@ (INSTANCE input2) (DELAY (ABSOLUTE - (IOPATH A X (0.079::0.079) (0.076::0.076)) + (IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076)) ) ) ) @@ -4136,7 +4321,7 @@ (INSTANCE input3) (DELAY (ABSOLUTE - (IOPATH A X (0.311::0.311) (0.206::0.206)) + (IOPATH A X (0.328:0.328:0.328) (0.222:0.222:0.222)) ) ) ) @@ -4145,7 +4330,7 @@ (INSTANCE input4) (DELAY (ABSOLUTE - (IOPATH A X (0.078::0.078) (0.076::0.076)) + (IOPATH A X (0.080:0.080:0.080) (0.077:0.077:0.077)) ) ) ) @@ -4154,7 +4339,7 @@ (INSTANCE input5) (DELAY (ABSOLUTE - (IOPATH A X (0.090::0.090) (0.082::0.082)) + (IOPATH A X (0.093:0.093:0.093) (0.084:0.084:0.084)) ) ) ) @@ -4163,7 +4348,7 @@ (INSTANCE input6) (DELAY (ABSOLUTE - (IOPATH A X (0.098::0.098) (0.088::0.088)) + (IOPATH A X (0.102:0.102:0.102) (0.090:0.090:0.090)) ) ) ) @@ -4172,7 +4357,7 @@ (INSTANCE input7) (DELAY (ABSOLUTE - (IOPATH A X (0.097::0.097) (0.087::0.087)) + (IOPATH A X (0.092:0.092:0.092) (0.085:0.085:0.085)) ) ) ) @@ -4181,7 +4366,7 @@ (INSTANCE input8) (DELAY (ABSOLUTE - (IOPATH A X (0.091::0.091) (0.083::0.083)) + (IOPATH A X (0.101:0.101:0.101) (0.089:0.089:0.089)) ) ) ) @@ -4190,7 +4375,7 @@ (INSTANCE input9) (DELAY (ABSOLUTE - (IOPATH A X (0.087::0.087) (0.082::0.082)) + (IOPATH A X (0.114:0.114:0.114) (0.097:0.097:0.097)) ) ) ) @@ -4199,7 +4384,7 @@ (INSTANCE output10) (DELAY (ABSOLUTE - (IOPATH A X (0.375::0.375) (0.280::0.281)) + (IOPATH A X (0.374:0.374:0.374) (0.279:0.279:0.279)) ) ) ) @@ -4208,7 +4393,7 @@ (INSTANCE output11) (DELAY (ABSOLUTE - (IOPATH A X (0.228::0.228) (0.175::0.177)) + (IOPATH A X (0.221:0.222:0.222) (0.173:0.174:0.174)) ) ) ) @@ -4217,34 +4402,124 @@ (INSTANCE output12) (DELAY (ABSOLUTE - (IOPATH A X (0.333::0.335) (0.230::0.230)) + (IOPATH A X (0.329:0.330:0.331) (0.227:0.227:0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE rebuffer10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172:0.172:0.172) (0.151:0.151:0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE rebuffer11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209:0.209:0.209) (0.170:0.170:0.170)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") - (INSTANCE rebuffer5) + (INSTANCE rebuffer12) (DELAY (ABSOLUTE - (IOPATH A X (0.225::0.225) (0.230::0.238)) + (IOPATH A X (0.264:0.264:0.264) (0.273:0.273:0.273)) ) ) ) (CELL - (CELLTYPE "sky130_fd_sc_hd__buf_2") + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer13) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237:0.237:0.237) (0.269:0.269:0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer14) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193:0.193:0.193) (0.222:0.222:0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer16) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239:0.240:0.240) (0.255:0.257:0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE rebuffer5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162:0.162:0.162) (0.137:0.137:0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") (INSTANCE rebuffer6) (DELAY (ABSOLUTE - (IOPATH A X (0.109::0.110) (0.130::0.131)) + (IOPATH A X (0.250:0.250:0.250) (0.262:0.262:0.262)) ) ) ) (CELL (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE rebuffer7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154:0.154:0.154) (0.141:0.141:0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE rebuffer9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186:0.186:0.186) (0.156:0.156:0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE split15) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191:0.191:0.191) (0.176:0.176:0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") (INSTANCE split4) (DELAY (ABSOLUTE - (IOPATH A X (0.201::0.201) (0.175::0.175)) + (IOPATH A X (0.226:0.226:0.226) (0.205:0.205:0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE split8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211:0.211:0.211) (0.189:0.189:0.189)) ) ) ) diff --git a/signoff/caravel_clocking/OPENLANE_VERSION b/signoff/caravel_clocking/OPENLANE_VERSION index 21429da9..5f444177 100644 --- a/signoff/caravel_clocking/OPENLANE_VERSION +++ b/signoff/caravel_clocking/OPENLANE_VERSION @@ -1 +1 @@ -openlane 2021.11.23_01.42.34-10-g445acc6 +openlane 2021.11.23_01.42.34-11-g0c24fcf diff --git a/signoff/caravel_clocking/final_summary_report.csv b/signoff/caravel_clocking/final_summary_report.csv index 889935ad..7e43f981 100644 --- a/signoff/caravel_clocking/final_summary_report.csv +++ b/signoff/caravel_clocking/final_summary_report.csv @@ -1,2 +1,2 @@ ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY -0,/home/ma/ef/caravel_openframe/openlane/caravel_clocking,caravel_clocking,caravel_clocking,flow completed,0h2m8s0ms,0h1m50s0ms,89000.0,0.006,44500.0,70.54,647.01,267,0,0,0,0,0,0,0,0,0,0,-1,5503,2015,0.0,0.0,-1,-0.11,-1,0.0,0.0,-1,-0.34,-1,3392030.0,0.0,32.92,17.74,4.07,0.0,0.0,202,252,67,117,0,0,0,200,0,3,4,15,20,14,10,35,73,74,5,40,165,0,205,90.9090909090909,11.0,10.0,DELAY 0,5,50,1,15.5,16.9,0.715,0,sky130_fd_sc_hd,0,4 +0,/home/ma/ef/caravel_openframe/openlane/caravel_clocking,caravel_clocking,caravel_clocking,flow completed,0h1m28s0ms,0h1m19s0ms,93000.0,0.006,46500.0,73.79,689.33,279,0,0,0,0,0,0,0,0,0,0,-1,6041,2106,0.0,0.0,-1,-0.11,-1,0.0,0.0,-1,-0.37,-1,3401586.0,0.0,36.83,19.27,0.36,0.0,0.0,212,262,67,117,0,0,0,210,0,3,4,17,12,16,12,41,79,86,5,40,165,0,205,90.9090909090909,11.0,10.0,DELAY 0,5,50,1,15.5,16.9,0.74,0,sky130_fd_sc_hd,0,4 diff --git a/spef/caravel_clocking.spef b/spef/caravel_clocking.spef index fc572463..94bef2e8 100644 --- a/spef/caravel_clocking.spef +++ b/spef/caravel_clocking.spef @@ -77,37 +77,37 @@ *63 _045_ *64 _046_ *65 _047_ -*66 _057_ -*67 _058_ -*68 _059_ -*69 _060_ -*70 _061_ -*71 _062_ -*72 _063_ -*73 _064_ -*74 _065_ -*75 _066_ -*76 _067_ -*77 _068_ -*78 _069_ -*79 _070_ -*80 _071_ -*81 _072_ -*82 _073_ -*83 _074_ -*84 _075_ -*85 _076_ -*86 _077_ -*87 _078_ -*88 _079_ -*89 _080_ -*90 _081_ -*91 _082_ -*92 _083_ -*93 _084_ -*94 _085_ -*95 _086_ -*96 _087_ +*66 _048_ +*67 _049_ +*68 _050_ +*69 _051_ +*70 _052_ +*71 _053_ +*72 _054_ +*73 _055_ +*74 _056_ +*75 _057_ +*76 _058_ +*77 _059_ +*78 _060_ +*79 _061_ +*80 _062_ +*81 _063_ +*82 _064_ +*83 _065_ +*84 _066_ +*85 _067_ +*86 _068_ +*87 _069_ +*88 _070_ +*89 _071_ +*90 _072_ +*91 _073_ +*92 _074_ +*93 _075_ +*94 _076_ +*95 _077_ +*96 _078_ *97 _088_ *98 _089_ *99 _090_ @@ -223,970 +223,996 @@ *209 _200_ *210 _201_ *211 _202_ -*212 clknet_0_ext_clk -*213 clknet_0_pll_clk -*214 clknet_0_pll_clk90 -*215 clknet_1_0_0_ext_clk -*216 clknet_1_0_0_pll_clk -*217 clknet_1_0_0_pll_clk90 -*218 clknet_1_1_0_ext_clk -*219 clknet_1_1_0_pll_clk -*220 clknet_1_1_0_pll_clk90 -*221 divider\.even_0\.N\[0\] -*222 divider\.even_0\.N\[1\] -*223 divider\.even_0\.N\[2\] -*224 divider\.even_0\.counter\[0\] -*225 divider\.even_0\.counter\[1\] -*226 divider\.even_0\.counter\[2\] -*227 divider\.even_0\.out_counter -*228 divider\.odd_0\.counter2\[0\] -*229 divider\.odd_0\.counter2\[1\] -*230 divider\.odd_0\.counter2\[2\] -*231 divider\.odd_0\.counter\[0\] -*232 divider\.odd_0\.counter\[1\] -*233 divider\.odd_0\.counter\[2\] -*234 divider\.odd_0\.initial_begin\[0\] -*235 divider\.odd_0\.initial_begin\[1\] -*236 divider\.odd_0\.initial_begin\[2\] -*237 divider\.odd_0\.old_N\[0\] -*238 divider\.odd_0\.old_N\[1\] -*239 divider\.odd_0\.old_N\[2\] -*240 divider\.odd_0\.out_counter -*241 divider\.odd_0\.out_counter2 -*242 divider\.odd_0\.rst_pulse -*243 divider\.out -*244 divider\.syncNp\[0\] -*245 divider\.syncNp\[1\] -*246 divider\.syncNp\[2\] -*247 divider2\.even_0\.N\[0\] -*248 divider2\.even_0\.N\[1\] -*249 divider2\.even_0\.N\[2\] -*250 divider2\.even_0\.counter\[0\] -*251 divider2\.even_0\.counter\[1\] -*252 divider2\.even_0\.counter\[2\] -*253 divider2\.even_0\.out_counter -*254 divider2\.odd_0\.counter2\[0\] -*255 divider2\.odd_0\.counter2\[1\] -*256 divider2\.odd_0\.counter2\[2\] -*257 divider2\.odd_0\.counter\[0\] -*258 divider2\.odd_0\.counter\[1\] -*259 divider2\.odd_0\.counter\[2\] -*260 divider2\.odd_0\.initial_begin\[0\] -*261 divider2\.odd_0\.initial_begin\[1\] -*262 divider2\.odd_0\.initial_begin\[2\] -*263 divider2\.odd_0\.old_N\[0\] -*264 divider2\.odd_0\.old_N\[1\] -*265 divider2\.odd_0\.old_N\[2\] -*266 divider2\.odd_0\.out_counter -*267 divider2\.odd_0\.out_counter2 -*268 divider2\.odd_0\.rst_pulse -*269 divider2\.out -*270 divider2\.syncNp\[0\] -*271 divider2\.syncNp\[1\] -*272 divider2\.syncNp\[2\] -*273 ext_clk_syncd -*274 ext_clk_syncd_pre -*275 net1 -*276 net10 -*277 net11 -*278 net12 -*279 net13 -*280 net14 -*281 net15 -*282 net16 -*283 net17 -*284 net18 -*285 net19 -*286 net2 -*287 net20 -*288 net21 -*289 net22 -*290 net23 -*291 net24 -*292 net25 -*293 net26 -*294 net27 -*295 net28 -*296 net3 -*297 net4 -*298 net5 -*299 net6 -*300 net7 -*301 net8 -*302 net9 -*303 pll_clk_sel -*304 reset_delay\[0\] -*305 reset_delay\[1\] -*306 reset_delay\[2\] -*307 use_pll_first -*308 use_pll_second -*309 ANTENNA__274__B1 -*310 ANTENNA__278__B1 -*311 ANTENNA__310__B1 -*312 ANTENNA__313__A -*313 ANTENNA__315__S -*314 ANTENNA__317__S -*315 ANTENNA__338__A_N -*316 ANTENNA__343__S -*317 ANTENNA__350__A_N -*318 ANTENNA__385__A1 -*319 ANTENNA__386__A1 -*320 ANTENNA__404__A1 -*321 ANTENNA__405__A1 -*322 ANTENNA__414__D -*323 ANTENNA__421__SET_B -*324 ANTENNA__422__SET_B -*325 ANTENNA__423__SET_B -*326 ANTENNA__424__RESET_B -*327 ANTENNA__425__RESET_B -*328 ANTENNA__426__RESET_B -*329 ANTENNA__427__RESET_B -*330 ANTENNA__428__SET_B -*331 ANTENNA__429__RESET_B -*332 ANTENNA__430__RESET_B -*333 ANTENNA__431__SET_B -*334 ANTENNA__432__RESET_B -*335 ANTENNA__433__RESET_B -*336 ANTENNA__434__SET_B -*337 ANTENNA__435__RESET_B -*338 ANTENNA__436__RESET_B -*339 ANTENNA__437__SET_B -*340 ANTENNA__438__RESET_B -*341 ANTENNA__439__SET_B -*342 ANTENNA__440__RESET_B -*343 ANTENNA__441__SET_B -*344 ANTENNA__442__RESET_B -*345 ANTENNA__443__RESET_B -*346 ANTENNA__444__SET_B -*347 ANTENNA__445__RESET_B -*348 ANTENNA__446__RESET_B -*349 ANTENNA__447__SET_B -*350 ANTENNA__448__RESET_B -*351 ANTENNA__449__SET_B -*352 ANTENNA__450__RESET_B -*353 ANTENNA__451__RESET_B -*354 ANTENNA__452__SET_B -*355 ANTENNA__453__RESET_B -*356 ANTENNA__454__SET_B -*357 ANTENNA__455__SET_B -*358 ANTENNA__456__RESET_B -*359 ANTENNA__457__SET_B -*360 ANTENNA__458__RESET_B -*361 ANTENNA__459__SET_B -*362 ANTENNA__460__RESET_B -*363 ANTENNA__461__SET_B -*364 ANTENNA__462__RESET_B -*365 ANTENNA__463__RESET_B -*366 ANTENNA__464__SET_B -*367 ANTENNA__465__RESET_B -*368 ANTENNA__466__SET_B -*369 ANTENNA__467__RESET_B -*370 ANTENNA__468__SET_B -*371 ANTENNA__469__RESET_B -*372 ANTENNA__470__RESET_B -*373 ANTENNA_clkbuf_0_ext_clk_A -*374 ANTENNA_clkbuf_0_pll_clk90_A -*375 ANTENNA_clkbuf_0_pll_clk_A -*376 ANTENNA_input1_A -*377 ANTENNA_input2_A -*378 ANTENNA_input3_A -*379 ANTENNA_input4_A -*380 ANTENNA_input5_A -*381 ANTENNA_input6_A -*382 ANTENNA_input7_A -*383 ANTENNA_input8_A -*384 ANTENNA_input9_A -*385 FILLER_0_103 -*386 FILLER_0_105 -*387 FILLER_0_11 -*388 FILLER_0_118 -*389 FILLER_0_135 -*390 FILLER_0_14 -*391 FILLER_0_150 -*392 FILLER_0_157 -*393 FILLER_0_180 -*394 FILLER_0_190 -*395 FILLER_0_194 -*396 FILLER_0_196 -*397 FILLER_0_27 -*398 FILLER_0_3 -*399 FILLER_0_40 -*400 FILLER_0_53 -*401 FILLER_0_57 -*402 FILLER_0_69 -*403 FILLER_0_77 -*404 FILLER_0_79 -*405 FILLER_0_92 -*406 FILLER_0_98 -*407 FILLER_10_11 -*408 FILLER_10_125 -*409 FILLER_10_137 -*410 FILLER_10_14 -*411 FILLER_10_144 -*412 FILLER_10_152 -*413 FILLER_10_161 -*414 FILLER_10_170 -*415 FILLER_10_200 -*416 FILLER_10_3 -*417 FILLER_10_32 -*418 FILLER_10_92 -*419 FILLER_11_125 -*420 FILLER_11_151 -*421 FILLER_11_174 -*422 FILLER_11_178 -*423 FILLER_11_201 -*424 FILLER_11_25 -*425 FILLER_11_27 -*426 FILLER_11_46 -*427 FILLER_11_66 -*428 FILLER_11_79 -*429 FILLER_11_83 -*430 FILLER_12_11 -*431 FILLER_12_116 -*432 FILLER_12_134 -*433 FILLER_12_139 -*434 FILLER_12_14 -*435 FILLER_12_170 -*436 FILLER_12_196 -*437 FILLER_12_22 -*438 FILLER_12_25 -*439 FILLER_12_3 -*440 FILLER_12_40 -*441 FILLER_12_60 -*442 FILLER_12_66 -*443 FILLER_13_101 -*444 FILLER_13_105 -*445 FILLER_13_131 -*446 FILLER_13_143 -*447 FILLER_13_178 -*448 FILLER_13_183 -*449 FILLER_13_195 -*450 FILLER_13_201 -*451 FILLER_13_31 -*452 FILLER_13_39 -*453 FILLER_13_43 -*454 FILLER_13_53 -*455 FILLER_13_68 -*456 FILLER_13_76 -*457 FILLER_13_79 -*458 FILLER_13_89 -*459 FILLER_14_11 -*460 FILLER_14_115 -*461 FILLER_14_118 -*462 FILLER_14_122 -*463 FILLER_14_14 -*464 FILLER_14_144 -*465 FILLER_14_148 -*466 FILLER_14_166 -*467 FILLER_14_18 -*468 FILLER_14_196 -*469 FILLER_14_22 -*470 FILLER_14_3 -*471 FILLER_14_37 -*472 FILLER_14_40 -*473 FILLER_14_52 -*474 FILLER_14_56 -*475 FILLER_14_61 -*476 FILLER_14_74 -*477 FILLER_14_78 -*478 FILLER_14_84 -*479 FILLER_15_105 -*480 FILLER_15_134 -*481 FILLER_15_148 -*482 FILLER_15_155 -*483 FILLER_15_157 -*484 FILLER_15_183 -*485 FILLER_15_195 -*486 FILLER_15_31 -*487 FILLER_15_50 -*488 FILLER_15_79 -*489 FILLER_15_83 -*490 FILLER_15_88 -*491 FILLER_16_11 -*492 FILLER_16_114 -*493 FILLER_16_139 -*494 FILLER_16_170 -*495 FILLER_16_196 -*496 FILLER_16_3 -*497 FILLER_16_35 -*498 FILLER_16_40 -*499 FILLER_16_53 -*500 FILLER_16_63 -*501 FILLER_16_66 -*502 FILLER_16_90 -*503 FILLER_16_92 -*504 FILLER_17_102 -*505 FILLER_17_105 -*506 FILLER_17_131 -*507 FILLER_17_157 -*508 FILLER_17_191 -*509 FILLER_17_199 -*510 FILLER_17_40 -*511 FILLER_17_50 -*512 FILLER_17_53 -*513 FILLER_17_60 -*514 FILLER_17_72 -*515 FILLER_18_11 -*516 FILLER_18_142 -*517 FILLER_18_144 -*518 FILLER_18_166 -*519 FILLER_18_196 -*520 FILLER_18_3 -*521 FILLER_18_35 -*522 FILLER_18_56 -*523 FILLER_18_64 -*524 FILLER_18_87 -*525 FILLER_19_101 -*526 FILLER_19_11 -*527 FILLER_19_116 -*528 FILLER_19_127 -*529 FILLER_19_14 -*530 FILLER_19_140 -*531 FILLER_19_155 -*532 FILLER_19_160 -*533 FILLER_19_167 -*534 FILLER_19_178 -*535 FILLER_19_188 -*536 FILLER_19_192 -*537 FILLER_19_20 -*538 FILLER_19_27 -*539 FILLER_19_3 -*540 FILLER_19_37 -*541 FILLER_19_47 -*542 FILLER_19_51 -*543 FILLER_19_53 -*544 FILLER_19_66 -*545 FILLER_19_86 -*546 FILLER_1_114 -*547 FILLER_1_122 -*548 FILLER_1_129 -*549 FILLER_1_15 -*550 FILLER_1_157 -*551 FILLER_1_183 -*552 FILLER_1_194 -*553 FILLER_1_23 -*554 FILLER_1_3 -*555 FILLER_1_85 -*556 FILLER_1_97 -*557 FILLER_2_11 -*558 FILLER_2_113 -*559 FILLER_2_138 -*560 FILLER_2_14 -*561 FILLER_2_142 -*562 FILLER_2_144 -*563 FILLER_2_148 -*564 FILLER_2_156 -*565 FILLER_2_170 -*566 FILLER_2_18 -*567 FILLER_2_196 -*568 FILLER_2_3 -*569 FILLER_2_48 -*570 FILLER_2_66 -*571 FILLER_2_90 -*572 FILLER_3_102 -*573 FILLER_3_111 -*574 FILLER_3_117 -*575 FILLER_3_125 -*576 FILLER_3_131 -*577 FILLER_3_157 -*578 FILLER_3_192 -*579 FILLER_3_200 -*580 FILLER_3_25 -*581 FILLER_3_41 -*582 FILLER_3_53 -*583 FILLER_3_62 -*584 FILLER_3_84 -*585 FILLER_3_92 -*586 FILLER_4_11 -*587 FILLER_4_127 -*588 FILLER_4_139 -*589 FILLER_4_14 -*590 FILLER_4_144 -*591 FILLER_4_156 -*592 FILLER_4_196 -*593 FILLER_4_3 -*594 FILLER_4_37 -*595 FILLER_4_40 -*596 FILLER_4_7 -*597 FILLER_4_70 -*598 FILLER_4_80 -*599 FILLER_4_88 -*600 FILLER_5_103 -*601 FILLER_5_112 -*602 FILLER_5_119 -*603 FILLER_5_181 -*604 FILLER_5_183 -*605 FILLER_5_195 -*606 FILLER_5_201 -*607 FILLER_5_25 -*608 FILLER_5_27 -*609 FILLER_5_44 -*610 FILLER_5_53 -*611 FILLER_5_94 -*612 FILLER_5_99 -*613 FILLER_6_107 -*614 FILLER_6_115 -*615 FILLER_6_12 -*616 FILLER_6_127 -*617 FILLER_6_14 -*618 FILLER_6_166 -*619 FILLER_6_170 -*620 FILLER_6_200 -*621 FILLER_6_28 -*622 FILLER_6_3 -*623 FILLER_6_32 -*624 FILLER_6_38 -*625 FILLER_6_40 -*626 FILLER_6_52 -*627 FILLER_6_60 -*628 FILLER_6_66 -*629 FILLER_6_77 -*630 FILLER_6_80 -*631 FILLER_6_88 -*632 FILLER_7_114 -*633 FILLER_7_120 -*634 FILLER_7_124 -*635 FILLER_7_131 -*636 FILLER_7_137 -*637 FILLER_7_148 -*638 FILLER_7_183 -*639 FILLER_7_195 -*640 FILLER_7_46 -*641 FILLER_7_74 -*642 FILLER_7_88 -*643 FILLER_8_103 -*644 FILLER_8_11 -*645 FILLER_8_115 -*646 FILLER_8_14 -*647 FILLER_8_168 -*648 FILLER_8_196 -*649 FILLER_8_26 -*650 FILLER_8_3 -*651 FILLER_8_30 -*652 FILLER_8_38 -*653 FILLER_8_61 -*654 FILLER_8_81 -*655 FILLER_8_89 -*656 FILLER_8_92 -*657 FILLER_9_102 -*658 FILLER_9_107 -*659 FILLER_9_118 -*660 FILLER_9_126 -*661 FILLER_9_151 -*662 FILLER_9_155 -*663 FILLER_9_157 -*664 FILLER_9_183 -*665 FILLER_9_195 -*666 FILLER_9_29 -*667 FILLER_9_3 -*668 FILLER_9_33 -*669 FILLER_9_37 -*670 FILLER_9_40 -*671 FILLER_9_44 -*672 FILLER_9_53 -*673 FILLER_9_61 -*674 FILLER_9_66 -*675 FILLER_9_74 -*676 FILLER_9_79 -*677 PHY_0 -*678 PHY_1 -*679 PHY_10 -*680 PHY_11 -*681 PHY_12 -*682 PHY_13 -*683 PHY_14 -*684 PHY_15 -*685 PHY_16 -*686 PHY_17 -*687 PHY_18 -*688 PHY_19 -*689 PHY_2 -*690 PHY_20 -*691 PHY_21 -*692 PHY_22 -*693 PHY_23 -*694 PHY_24 -*695 PHY_25 -*696 PHY_26 -*697 PHY_27 -*698 PHY_28 -*699 PHY_29 -*700 PHY_3 -*701 PHY_30 -*702 PHY_31 -*703 PHY_32 -*704 PHY_33 -*705 PHY_34 -*706 PHY_35 -*707 PHY_36 -*708 PHY_37 -*709 PHY_38 -*710 PHY_39 -*711 PHY_4 -*712 PHY_5 -*713 PHY_6 -*714 PHY_7 -*715 PHY_8 -*716 PHY_9 -*717 TAP_100 -*718 TAP_101 -*719 TAP_102 -*720 TAP_103 -*721 TAP_104 -*722 TAP_105 -*723 TAP_106 -*724 TAP_107 -*725 TAP_108 -*726 TAP_109 -*727 TAP_110 -*728 TAP_111 -*729 TAP_112 -*730 TAP_113 -*731 TAP_114 -*732 TAP_115 -*733 TAP_116 -*734 TAP_117 -*735 TAP_118 -*736 TAP_119 -*737 TAP_120 -*738 TAP_121 -*739 TAP_122 -*740 TAP_123 -*741 TAP_124 -*742 TAP_125 -*743 TAP_126 -*744 TAP_127 -*745 TAP_128 -*746 TAP_129 -*747 TAP_130 -*748 TAP_131 -*749 TAP_132 -*750 TAP_133 -*751 TAP_134 -*752 TAP_135 -*753 TAP_136 -*754 TAP_137 -*755 TAP_138 -*756 TAP_139 -*757 TAP_140 -*758 TAP_141 -*759 TAP_142 -*760 TAP_143 -*761 TAP_144 -*762 TAP_145 -*763 TAP_146 -*764 TAP_147 -*765 TAP_148 -*766 TAP_149 -*767 TAP_150 -*768 TAP_151 -*769 TAP_152 -*770 TAP_153 -*771 TAP_154 -*772 TAP_155 -*773 TAP_156 -*774 TAP_157 -*775 TAP_158 -*776 TAP_159 -*777 TAP_160 -*778 TAP_161 -*779 TAP_162 -*780 TAP_163 -*781 TAP_164 -*782 TAP_165 -*783 TAP_166 -*784 TAP_167 -*785 TAP_168 -*786 TAP_169 -*787 TAP_170 -*788 TAP_171 -*789 TAP_172 -*790 TAP_173 -*791 TAP_174 -*792 TAP_175 -*793 TAP_176 -*794 TAP_177 -*795 TAP_178 -*796 TAP_179 -*797 TAP_180 -*798 TAP_181 -*799 TAP_182 -*800 TAP_183 -*801 TAP_184 -*802 TAP_185 -*803 TAP_186 -*804 TAP_187 -*805 TAP_188 -*806 TAP_189 -*807 TAP_190 -*808 TAP_191 -*809 TAP_192 -*810 TAP_193 -*811 TAP_194 -*812 TAP_195 -*813 TAP_196 -*814 TAP_197 -*815 TAP_198 -*816 TAP_199 -*817 TAP_200 -*818 TAP_201 -*819 TAP_202 -*820 TAP_203 -*821 TAP_204 -*822 TAP_40 -*823 TAP_41 -*824 TAP_42 -*825 TAP_43 -*826 TAP_44 -*827 TAP_45 -*828 TAP_46 -*829 TAP_47 -*830 TAP_48 -*831 TAP_49 -*832 TAP_50 -*833 TAP_51 -*834 TAP_52 -*835 TAP_53 -*836 TAP_54 -*837 TAP_55 -*838 TAP_56 -*839 TAP_57 -*840 TAP_58 -*841 TAP_59 -*842 TAP_60 -*843 TAP_61 -*844 TAP_62 -*845 TAP_63 -*846 TAP_64 -*847 TAP_65 -*848 TAP_66 -*849 TAP_67 -*850 TAP_68 -*851 TAP_69 -*852 TAP_70 -*853 TAP_71 -*854 TAP_72 -*855 TAP_73 -*856 TAP_74 -*857 TAP_75 -*858 TAP_76 -*859 TAP_77 -*860 TAP_78 -*861 TAP_79 -*862 TAP_80 -*863 TAP_81 -*864 TAP_82 -*865 TAP_83 -*866 TAP_84 -*867 TAP_85 -*868 TAP_86 -*869 TAP_87 -*870 TAP_88 -*871 TAP_89 -*872 TAP_90 -*873 TAP_91 -*874 TAP_92 -*875 TAP_93 -*876 TAP_94 -*877 TAP_95 -*878 TAP_96 -*879 TAP_97 -*880 TAP_98 -*881 TAP_99 -*882 _204_ -*883 _205_ -*884 _206_ -*885 _207_ -*886 _208_ -*887 _209_ -*888 _210_ -*889 _211_ -*890 _212_ -*891 _213_ -*892 _214_ -*893 _215_ -*894 _216_ -*895 _217_ -*896 _218_ -*897 _219_ -*898 _220_ -*899 _221_ -*900 _222_ -*901 _223_ -*902 _224_ -*903 _225_ -*904 _226_ -*905 _227_ -*906 _228_ -*907 _229_ -*908 _230_ -*909 _231_ -*910 _232_ -*911 _233_ -*912 _234_ -*913 _235_ -*914 _236_ -*915 _237_ -*916 _238_ -*917 _239__1 -*918 _240_ -*919 _241_ -*920 _242_ -*921 _243_ -*922 _244__2 -*923 _245_ -*924 _246_ -*925 _247_ -*926 _248_ -*927 _249_ -*928 _250_ -*929 _251_ -*930 _252_ -*931 _253_ -*932 _254_ -*933 _255_ -*934 _256_ -*935 _257_ -*936 _258__3 -*937 _259_ -*938 _260_ -*939 _261_ -*940 _262_ -*941 _263_ -*942 _264_ -*943 _265_ -*944 _266_ -*945 _267_ -*946 _268_ -*947 _269_ -*948 _270_ -*949 _271_ -*950 _272_ -*951 _273_ -*952 _274_ -*953 _275_ -*954 _276_ -*955 _277_ -*956 _278_ -*957 _279_ -*958 _280_ -*959 _281_ -*960 _282_ -*961 _283_ -*962 _284_ -*963 _285_ -*964 _286_ -*965 _287_ -*966 _288_ -*967 _289_ -*968 _290_ -*969 _291_ -*970 _292_ -*971 _293_ -*972 _294_ -*973 _295_ -*974 _296_ -*975 _297_ -*976 _298__4 -*977 _299_ -*978 _300_ -*979 _301_ -*980 _302__5 -*981 _303_ -*982 _304_ -*983 _305_ -*984 _306_ -*985 _307_ -*986 _308_ -*987 _309_ -*988 _310_ -*989 _311_ -*990 _312_ -*991 _313_ -*992 _314_ -*993 _315_ -*994 _316_ -*995 _317_ -*996 _318_ -*997 _319_ -*998 _320_ -*999 _321_ -*1000 _322_ -*1001 _323_ -*1002 _324_ -*1003 _325_ -*1004 _326_ -*1005 _327_ -*1006 _328_ -*1007 _329_ -*1008 _330__6 -*1009 _331_ -*1010 _332_ -*1011 _333_ -*1012 _334_ -*1013 _335_ -*1014 _336_ -*1015 _337_ -*1016 _338_ -*1017 _339_ -*1018 _340__9 -*1019 _341__8 -*1020 _342__7 -*1021 _343_ -*1022 _344_ -*1023 _345_ -*1024 _346_ -*1025 _347_ -*1026 _348_ -*1027 _349_ -*1028 _350_ -*1029 _351_ -*1030 _352_ -*1031 _353_ -*1032 _354_ -*1033 _355_ -*1034 _356_ -*1035 _357_ -*1036 _358_ -*1037 _359_ -*1038 _360_ -*1039 _361_ -*1040 _362_ -*1041 _363_ -*1042 _364_ -*1043 _365_ -*1044 _366_ -*1045 _367_ -*1046 _368_ -*1047 _369_ -*1048 _370_ -*1049 _371_ -*1050 _372_ -*1051 _373_ -*1052 _374_ -*1053 _375_ -*1054 _376_ -*1055 _377_ -*1056 _378__13 -*1057 _379_ -*1058 _380_ -*1059 _381_ -*1060 _382_ -*1061 _383_ -*1062 _384_ -*1063 _385_ -*1064 _386_ -*1065 _387_ -*1066 _388_ -*1067 _389_ -*1068 _390_ -*1069 _391_ -*1070 _392_ -*1071 _393_ -*1072 _394_ -*1073 _395_ -*1074 _396_ -*1075 _397_ -*1076 _398_ -*1077 _399_ -*1078 _400_ -*1079 _401_ -*1080 _402_ -*1081 _403_ -*1082 _404_ -*1083 _405_ -*1084 _406_ -*1085 _407_ -*1086 _408_ -*1087 _409_ -*1088 _410_ -*1089 _411_ -*1090 _412_ -*1091 _413_ -*1092 _414_ -*1093 _415_ -*1094 _416_ -*1095 _417_ -*1096 _418_ -*1097 _419_ -*1098 _420_ -*1099 _421_ -*1100 _422_ -*1101 _423_ -*1102 _424_ -*1103 _425_ -*1104 _426_ -*1105 _427_ -*1106 _428_ -*1107 _429_ -*1108 _430_ -*1109 _431_ -*1110 _432_ -*1111 _433_ -*1112 _434_ -*1113 _435_ -*1114 _436_ -*1115 _437_ -*1116 _438_ -*1117 _439_ -*1118 _440_ -*1119 _441_ -*1120 _442_ -*1121 _443_ -*1122 _444_ -*1123 _445_ -*1124 _446_ -*1125 _447_ -*1126 _448_ -*1127 _449_ -*1128 _450_ -*1129 _451_ -*1130 _452_ -*1131 _453_ -*1132 _454_ -*1133 _455_ -*1134 _456_ -*1135 _457_ -*1136 _458_ -*1137 _459_ -*1138 _460_ -*1139 _461_ -*1140 _462_ -*1141 _463_ -*1142 _464_ -*1143 _465_ -*1144 _466_ -*1145 _467_ -*1146 _468_ -*1147 _469_ -*1148 _470_ -*1149 clkbuf_0_ext_clk -*1150 clkbuf_0_pll_clk -*1151 clkbuf_0_pll_clk90 -*1152 clkbuf_1_0_0_ext_clk -*1153 clkbuf_1_0_0_pll_clk -*1154 clkbuf_1_0_0_pll_clk90 -*1155 clkbuf_1_1_0_ext_clk -*1156 clkbuf_1_1_0_pll_clk -*1157 clkbuf_1_1_0_pll_clk90 -*1158 hold1 -*1159 hold2 -*1160 hold3 -*1161 input1 -*1162 input2 -*1163 input3 -*1164 input4 -*1165 input5 -*1166 input6 -*1167 input7 -*1168 input8 -*1169 input9 -*1170 output10 -*1171 output11 -*1172 output12 -*1173 rebuffer5 -*1174 rebuffer6 -*1175 split4 +*212 _203_ +*213 _204_ +*214 _205_ +*215 _206_ +*216 _207_ +*217 _208_ +*218 _209_ +*219 _210_ +*220 _211_ +*221 _212_ +*222 _213_ +*223 _214_ +*224 clknet_0_ext_clk +*225 clknet_0_pll_clk +*226 clknet_0_pll_clk90 +*227 clknet_1_0_0_ext_clk +*228 clknet_1_0_0_pll_clk +*229 clknet_1_0_0_pll_clk90 +*230 clknet_1_1_0_ext_clk +*231 clknet_1_1_0_pll_clk +*232 clknet_1_1_0_pll_clk90 +*233 divider\.even_0\.N\[0\] +*234 divider\.even_0\.N\[1\] +*235 divider\.even_0\.N\[2\] +*236 divider\.even_0\.counter\[0\] +*237 divider\.even_0\.counter\[1\] +*238 divider\.even_0\.counter\[2\] +*239 divider\.even_0\.out_counter +*240 divider\.odd_0\.counter2\[0\] +*241 divider\.odd_0\.counter2\[1\] +*242 divider\.odd_0\.counter2\[2\] +*243 divider\.odd_0\.counter\[0\] +*244 divider\.odd_0\.counter\[1\] +*245 divider\.odd_0\.counter\[2\] +*246 divider\.odd_0\.initial_begin\[0\] +*247 divider\.odd_0\.initial_begin\[1\] +*248 divider\.odd_0\.initial_begin\[2\] +*249 divider\.odd_0\.old_N\[0\] +*250 divider\.odd_0\.old_N\[1\] +*251 divider\.odd_0\.old_N\[2\] +*252 divider\.odd_0\.out_counter +*253 divider\.odd_0\.out_counter2 +*254 divider\.odd_0\.rst_pulse +*255 divider\.out +*256 divider\.syncNp\[0\] +*257 divider\.syncNp\[1\] +*258 divider\.syncNp\[2\] +*259 divider2\.even_0\.N\[0\] +*260 divider2\.even_0\.N\[1\] +*261 divider2\.even_0\.N\[2\] +*262 divider2\.even_0\.counter\[0\] +*263 divider2\.even_0\.counter\[1\] +*264 divider2\.even_0\.counter\[2\] +*265 divider2\.even_0\.out_counter +*266 divider2\.odd_0\.counter2\[0\] +*267 divider2\.odd_0\.counter2\[1\] +*268 divider2\.odd_0\.counter2\[2\] +*269 divider2\.odd_0\.counter\[0\] +*270 divider2\.odd_0\.counter\[1\] +*271 divider2\.odd_0\.counter\[2\] +*272 divider2\.odd_0\.initial_begin\[0\] +*273 divider2\.odd_0\.initial_begin\[1\] +*274 divider2\.odd_0\.initial_begin\[2\] +*275 divider2\.odd_0\.old_N\[0\] +*276 divider2\.odd_0\.old_N\[1\] +*277 divider2\.odd_0\.old_N\[2\] +*278 divider2\.odd_0\.out_counter +*279 divider2\.odd_0\.out_counter2 +*280 divider2\.odd_0\.rst_pulse +*281 divider2\.out +*282 divider2\.syncNp\[0\] +*283 divider2\.syncNp\[1\] +*284 divider2\.syncNp\[2\] +*285 ext_clk_syncd +*286 ext_clk_syncd_pre +*287 net1 +*288 net10 +*289 net11 +*290 net12 +*291 net13 +*292 net14 +*293 net15 +*294 net16 +*295 net17 +*296 net18 +*297 net19 +*298 net2 +*299 net20 +*300 net21 +*301 net22 +*302 net23 +*303 net24 +*304 net25 +*305 net26 +*306 net27 +*307 net28 +*308 net29 +*309 net3 +*310 net30 +*311 net31 +*312 net32 +*313 net33 +*314 net34 +*315 net35 +*316 net36 +*317 net37 +*318 net38 +*319 net4 +*320 net5 +*321 net6 +*322 net7 +*323 net8 +*324 net9 +*325 pll_clk_sel +*326 reset_delay\[0\] +*327 reset_delay\[1\] +*328 reset_delay\[2\] +*329 use_pll_first +*330 use_pll_second +*331 ANTENNA__283__A2 +*332 ANTENNA__322__A +*333 ANTENNA__323__B_N +*334 ANTENNA__347__S +*335 ANTENNA__349__B +*336 ANTENNA__421__A1 +*337 ANTENNA__422__A1 +*338 ANTENNA__425__A1 +*339 ANTENNA__439__D +*340 ANTENNA__445__SET_B +*341 ANTENNA__446__SET_B +*342 ANTENNA__447__SET_B +*343 ANTENNA__448__RESET_B +*344 ANTENNA__449__RESET_B +*345 ANTENNA__450__RESET_B +*346 ANTENNA__451__RESET_B +*347 ANTENNA__452__SET_B +*348 ANTENNA__453__RESET_B +*349 ANTENNA__454__RESET_B +*350 ANTENNA__455__SET_B +*351 ANTENNA__456__RESET_B +*352 ANTENNA__457__RESET_B +*353 ANTENNA__458__SET_B +*354 ANTENNA__459__RESET_B +*355 ANTENNA__460__RESET_B +*356 ANTENNA__461__SET_B +*357 ANTENNA__462__RESET_B +*358 ANTENNA__463__SET_B +*359 ANTENNA__464__RESET_B +*360 ANTENNA__465__SET_B +*361 ANTENNA__466__RESET_B +*362 ANTENNA__467__RESET_B +*363 ANTENNA__468__SET_B +*364 ANTENNA__469__RESET_B +*365 ANTENNA__470__RESET_B +*366 ANTENNA__471__SET_B +*367 ANTENNA__472__RESET_B +*368 ANTENNA__473__SET_B +*369 ANTENNA__474__RESET_B +*370 ANTENNA__475__RESET_B +*371 ANTENNA__476__SET_B +*372 ANTENNA__477__RESET_B +*373 ANTENNA__478__SET_B +*374 ANTENNA__479__SET_B +*375 ANTENNA__480__RESET_B +*376 ANTENNA__481__SET_B +*377 ANTENNA__482__RESET_B +*378 ANTENNA__483__SET_B +*379 ANTENNA__484__RESET_B +*380 ANTENNA__485__SET_B +*381 ANTENNA__486__RESET_B +*382 ANTENNA__487__RESET_B +*383 ANTENNA__488__SET_B +*384 ANTENNA__489__RESET_B +*385 ANTENNA__490__SET_B +*386 ANTENNA__491__RESET_B +*387 ANTENNA__492__SET_B +*388 ANTENNA__493__RESET_B +*389 ANTENNA__494__RESET_B +*390 ANTENNA_clkbuf_0_ext_clk_A +*391 ANTENNA_clkbuf_0_pll_clk90_A +*392 ANTENNA_clkbuf_0_pll_clk_A +*393 ANTENNA_input1_A +*394 ANTENNA_input2_A +*395 ANTENNA_input3_A +*396 ANTENNA_input4_A +*397 ANTENNA_input5_A +*398 ANTENNA_input6_A +*399 ANTENNA_input7_A +*400 ANTENNA_input8_A +*401 ANTENNA_input9_A +*402 FILLER_0_101 +*403 FILLER_0_105 +*404 FILLER_0_11 +*405 FILLER_0_113 +*406 FILLER_0_122 +*407 FILLER_0_131 +*408 FILLER_0_142 +*409 FILLER_0_144 +*410 FILLER_0_157 +*411 FILLER_0_165 +*412 FILLER_0_17 +*413 FILLER_0_170 +*414 FILLER_0_189 +*415 FILLER_0_196 +*416 FILLER_0_25 +*417 FILLER_0_29 +*418 FILLER_0_3 +*419 FILLER_0_40 +*420 FILLER_0_61 +*421 FILLER_0_66 +*422 FILLER_0_83 +*423 FILLER_10_116 +*424 FILLER_10_118 +*425 FILLER_10_141 +*426 FILLER_10_151 +*427 FILLER_10_166 +*428 FILLER_10_170 +*429 FILLER_10_196 +*430 FILLER_10_3 +*431 FILLER_10_37 +*432 FILLER_10_40 +*433 FILLER_10_7 +*434 FILLER_10_73 +*435 FILLER_10_92 +*436 FILLER_11_101 +*437 FILLER_11_105 +*438 FILLER_11_117 +*439 FILLER_11_125 +*440 FILLER_11_131 +*441 FILLER_11_143 +*442 FILLER_11_155 +*443 FILLER_11_157 +*444 FILLER_11_193 +*445 FILLER_11_201 +*446 FILLER_11_25 +*447 FILLER_11_30 +*448 FILLER_11_42 +*449 FILLER_11_49 +*450 FILLER_11_53 +*451 FILLER_11_75 +*452 FILLER_11_79 +*453 FILLER_12_11 +*454 FILLER_12_116 +*455 FILLER_12_139 +*456 FILLER_12_14 +*457 FILLER_12_144 +*458 FILLER_12_152 +*459 FILLER_12_159 +*460 FILLER_12_196 +*461 FILLER_12_3 +*462 FILLER_12_38 +*463 FILLER_12_40 +*464 FILLER_12_59 +*465 FILLER_12_7 +*466 FILLER_12_92 +*467 FILLER_13_101 +*468 FILLER_13_105 +*469 FILLER_13_126 +*470 FILLER_13_138 +*471 FILLER_13_146 +*472 FILLER_13_15 +*473 FILLER_13_180 +*474 FILLER_13_19 +*475 FILLER_13_190 +*476 FILLER_13_3 +*477 FILLER_13_34 +*478 FILLER_13_49 +*479 FILLER_13_61 +*480 FILLER_13_68 +*481 FILLER_14_11 +*482 FILLER_14_116 +*483 FILLER_14_132 +*484 FILLER_14_142 +*485 FILLER_14_146 +*486 FILLER_14_170 +*487 FILLER_14_196 +*488 FILLER_14_3 +*489 FILLER_14_36 +*490 FILLER_14_40 +*491 FILLER_14_52 +*492 FILLER_14_89 +*493 FILLER_14_92 +*494 FILLER_15_113 +*495 FILLER_15_146 +*496 FILLER_15_160 +*497 FILLER_15_192 +*498 FILLER_15_196 +*499 FILLER_15_53 +*500 FILLER_15_59 +*501 FILLER_15_70 +*502 FILLER_15_79 +*503 FILLER_16_104 +*504 FILLER_16_127 +*505 FILLER_16_142 +*506 FILLER_16_165 +*507 FILLER_16_196 +*508 FILLER_16_3 +*509 FILLER_16_34 +*510 FILLER_16_38 +*511 FILLER_16_40 +*512 FILLER_16_50 +*513 FILLER_16_62 +*514 FILLER_16_66 +*515 FILLER_16_78 +*516 FILLER_16_88 +*517 FILLER_16_9 +*518 FILLER_17_102 +*519 FILLER_17_105 +*520 FILLER_17_135 +*521 FILLER_17_157 +*522 FILLER_17_181 +*523 FILLER_17_191 +*524 FILLER_17_199 +*525 FILLER_17_47 +*526 FILLER_17_51 +*527 FILLER_17_75 +*528 FILLER_17_79 +*529 FILLER_18_138 +*530 FILLER_18_165 +*531 FILLER_18_170 +*532 FILLER_18_196 +*533 FILLER_18_3 +*534 FILLER_18_38 +*535 FILLER_18_45 +*536 FILLER_18_57 +*537 FILLER_18_87 +*538 FILLER_18_9 +*539 FILLER_18_94 +*540 FILLER_19_101 +*541 FILLER_19_105 +*542 FILLER_19_11 +*543 FILLER_19_112 +*544 FILLER_19_116 +*545 FILLER_19_120 +*546 FILLER_19_128 +*547 FILLER_19_14 +*548 FILLER_19_140 +*549 FILLER_19_144 +*550 FILLER_19_154 +*551 FILLER_19_160 +*552 FILLER_19_166 +*553 FILLER_19_178 +*554 FILLER_19_188 +*555 FILLER_19_192 +*556 FILLER_19_20 +*557 FILLER_19_24 +*558 FILLER_19_27 +*559 FILLER_19_3 +*560 FILLER_19_47 +*561 FILLER_19_51 +*562 FILLER_19_53 +*563 FILLER_19_66 +*564 FILLER_19_72 +*565 FILLER_19_82 +*566 FILLER_1_127 +*567 FILLER_1_148 +*568 FILLER_1_155 +*569 FILLER_1_25 +*570 FILLER_1_3 +*571 FILLER_1_45 +*572 FILLER_1_49 +*573 FILLER_1_77 +*574 FILLER_2_10 +*575 FILLER_2_115 +*576 FILLER_2_125 +*577 FILLER_2_131 +*578 FILLER_2_141 +*579 FILLER_2_147 +*580 FILLER_2_151 +*581 FILLER_2_170 +*582 FILLER_2_196 +*583 FILLER_2_3 +*584 FILLER_2_38 +*585 FILLER_2_42 +*586 FILLER_2_50 +*587 FILLER_2_60 +*588 FILLER_2_82 +*589 FILLER_3_12 +*590 FILLER_3_131 +*591 FILLER_3_137 +*592 FILLER_3_147 +*593 FILLER_3_166 +*594 FILLER_3_172 +*595 FILLER_3_199 +*596 FILLER_3_20 +*597 FILLER_3_3 +*598 FILLER_3_77 +*599 FILLER_3_95 +*600 FILLER_3_99 +*601 FILLER_4_100 +*602 FILLER_4_107 +*603 FILLER_4_11 +*604 FILLER_4_115 +*605 FILLER_4_118 +*606 FILLER_4_131 +*607 FILLER_4_14 +*608 FILLER_4_3 +*609 FILLER_4_34 +*610 FILLER_4_47 +*611 FILLER_4_57 +*612 FILLER_4_7 +*613 FILLER_4_83 +*614 FILLER_4_92 +*615 FILLER_5_103 +*616 FILLER_5_108 +*617 FILLER_5_120 +*618 FILLER_5_197 +*619 FILLER_5_201 +*620 FILLER_5_25 +*621 FILLER_5_51 +*622 FILLER_5_76 +*623 FILLER_5_83 +*624 FILLER_5_99 +*625 FILLER_6_11 +*626 FILLER_6_113 +*627 FILLER_6_125 +*628 FILLER_6_131 +*629 FILLER_6_137 +*630 FILLER_6_168 +*631 FILLER_6_17 +*632 FILLER_6_196 +*633 FILLER_6_25 +*634 FILLER_6_28 +*635 FILLER_6_3 +*636 FILLER_6_37 +*637 FILLER_6_56 +*638 FILLER_6_64 +*639 FILLER_6_66 +*640 FILLER_6_78 +*641 FILLER_6_84 +*642 FILLER_7_105 +*643 FILLER_7_125 +*644 FILLER_7_129 +*645 FILLER_7_131 +*646 FILLER_7_155 +*647 FILLER_7_187 +*648 FILLER_7_200 +*649 FILLER_7_27 +*650 FILLER_7_3 +*651 FILLER_7_50 +*652 FILLER_7_53 +*653 FILLER_7_67 +*654 FILLER_7_75 +*655 FILLER_7_82 +*656 FILLER_7_90 +*657 FILLER_7_98 +*658 FILLER_8_12 +*659 FILLER_8_127 +*660 FILLER_8_131 +*661 FILLER_8_170 +*662 FILLER_8_3 +*663 FILLER_8_37 +*664 FILLER_8_55 +*665 FILLER_8_90 +*666 FILLER_8_92 +*667 FILLER_9_103 +*668 FILLER_9_14 +*669 FILLER_9_151 +*670 FILLER_9_18 +*671 FILLER_9_190 +*672 FILLER_9_3 +*673 FILLER_9_43 +*674 FILLER_9_62 +*675 FILLER_9_68 +*676 FILLER_9_72 +*677 FILLER_9_77 +*678 FILLER_9_79 +*679 FILLER_9_87 +*680 FILLER_9_97 +*681 PHY_0 +*682 PHY_1 +*683 PHY_10 +*684 PHY_11 +*685 PHY_12 +*686 PHY_13 +*687 PHY_14 +*688 PHY_15 +*689 PHY_16 +*690 PHY_17 +*691 PHY_18 +*692 PHY_19 +*693 PHY_2 +*694 PHY_20 +*695 PHY_21 +*696 PHY_22 +*697 PHY_23 +*698 PHY_24 +*699 PHY_25 +*700 PHY_26 +*701 PHY_27 +*702 PHY_28 +*703 PHY_29 +*704 PHY_3 +*705 PHY_30 +*706 PHY_31 +*707 PHY_32 +*708 PHY_33 +*709 PHY_34 +*710 PHY_35 +*711 PHY_36 +*712 PHY_37 +*713 PHY_38 +*714 PHY_39 +*715 PHY_4 +*716 PHY_5 +*717 PHY_6 +*718 PHY_7 +*719 PHY_8 +*720 PHY_9 +*721 TAP_100 +*722 TAP_101 +*723 TAP_102 +*724 TAP_103 +*725 TAP_104 +*726 TAP_105 +*727 TAP_106 +*728 TAP_107 +*729 TAP_108 +*730 TAP_109 +*731 TAP_110 +*732 TAP_111 +*733 TAP_112 +*734 TAP_113 +*735 TAP_114 +*736 TAP_115 +*737 TAP_116 +*738 TAP_117 +*739 TAP_118 +*740 TAP_119 +*741 TAP_120 +*742 TAP_121 +*743 TAP_122 +*744 TAP_123 +*745 TAP_124 +*746 TAP_125 +*747 TAP_126 +*748 TAP_127 +*749 TAP_128 +*750 TAP_129 +*751 TAP_130 +*752 TAP_131 +*753 TAP_132 +*754 TAP_133 +*755 TAP_134 +*756 TAP_135 +*757 TAP_136 +*758 TAP_137 +*759 TAP_138 +*760 TAP_139 +*761 TAP_140 +*762 TAP_141 +*763 TAP_142 +*764 TAP_143 +*765 TAP_144 +*766 TAP_145 +*767 TAP_146 +*768 TAP_147 +*769 TAP_148 +*770 TAP_149 +*771 TAP_150 +*772 TAP_151 +*773 TAP_152 +*774 TAP_153 +*775 TAP_154 +*776 TAP_155 +*777 TAP_156 +*778 TAP_157 +*779 TAP_158 +*780 TAP_159 +*781 TAP_160 +*782 TAP_161 +*783 TAP_162 +*784 TAP_163 +*785 TAP_164 +*786 TAP_165 +*787 TAP_166 +*788 TAP_167 +*789 TAP_168 +*790 TAP_169 +*791 TAP_170 +*792 TAP_171 +*793 TAP_172 +*794 TAP_173 +*795 TAP_174 +*796 TAP_175 +*797 TAP_176 +*798 TAP_177 +*799 TAP_178 +*800 TAP_179 +*801 TAP_180 +*802 TAP_181 +*803 TAP_182 +*804 TAP_183 +*805 TAP_184 +*806 TAP_185 +*807 TAP_186 +*808 TAP_187 +*809 TAP_188 +*810 TAP_189 +*811 TAP_190 +*812 TAP_191 +*813 TAP_192 +*814 TAP_193 +*815 TAP_194 +*816 TAP_195 +*817 TAP_196 +*818 TAP_197 +*819 TAP_198 +*820 TAP_199 +*821 TAP_200 +*822 TAP_201 +*823 TAP_202 +*824 TAP_203 +*825 TAP_204 +*826 TAP_40 +*827 TAP_41 +*828 TAP_42 +*829 TAP_43 +*830 TAP_44 +*831 TAP_45 +*832 TAP_46 +*833 TAP_47 +*834 TAP_48 +*835 TAP_49 +*836 TAP_50 +*837 TAP_51 +*838 TAP_52 +*839 TAP_53 +*840 TAP_54 +*841 TAP_55 +*842 TAP_56 +*843 TAP_57 +*844 TAP_58 +*845 TAP_59 +*846 TAP_60 +*847 TAP_61 +*848 TAP_62 +*849 TAP_63 +*850 TAP_64 +*851 TAP_65 +*852 TAP_66 +*853 TAP_67 +*854 TAP_68 +*855 TAP_69 +*856 TAP_70 +*857 TAP_71 +*858 TAP_72 +*859 TAP_73 +*860 TAP_74 +*861 TAP_75 +*862 TAP_76 +*863 TAP_77 +*864 TAP_78 +*865 TAP_79 +*866 TAP_80 +*867 TAP_81 +*868 TAP_82 +*869 TAP_83 +*870 TAP_84 +*871 TAP_85 +*872 TAP_86 +*873 TAP_87 +*874 TAP_88 +*875 TAP_89 +*876 TAP_90 +*877 TAP_91 +*878 TAP_92 +*879 TAP_93 +*880 TAP_94 +*881 TAP_95 +*882 TAP_96 +*883 TAP_97 +*884 TAP_98 +*885 TAP_99 +*886 _216_ +*887 _217_ +*888 _218_ +*889 _219_ +*890 _220_ +*891 _221_ +*892 _222_ +*893 _223_ +*894 _224_ +*895 _225_ +*896 _226_ +*897 _227_ +*898 _228_ +*899 _229_ +*900 _230_ +*901 _231_ +*902 _232_ +*903 _233_ +*904 _234_ +*905 _235_ +*906 _236_ +*907 _237_ +*908 _238_ +*909 _239_ +*910 _240_ +*911 _241_ +*912 _242_ +*913 _243_ +*914 _244_ +*915 _245_ +*916 _246_ +*917 _247__1 +*918 _248_ +*919 _249_ +*920 _250_ +*921 _251_ +*922 _252__2 +*923 _253_ +*924 _254_ +*925 _255_ +*926 _256_ +*927 _257_ +*928 _258_ +*929 _259_ +*930 _260_ +*931 _261_ +*932 _262_ +*933 _263_ +*934 _264_ +*935 _265_ +*936 _266_ +*937 _267__3 +*938 _268_ +*939 _269_ +*940 _270_ +*941 _271_ +*942 _272_ +*943 _273_ +*944 _274_ +*945 _275_ +*946 _276_ +*947 _277_ +*948 _278_ +*949 _279_ +*950 _280_ +*951 _281_ +*952 _282_ +*953 _283_ +*954 _284_ +*955 _285_ +*956 _286_ +*957 _287_ +*958 _288_ +*959 _289_ +*960 _290_ +*961 _291_ +*962 _292_ +*963 _293_ +*964 _294_ +*965 _295_ +*966 _296_ +*967 _297_ +*968 _298_ +*969 _299_ +*970 _300__4 +*971 _301_ +*972 _302_ +*973 _303_ +*974 _304_ +*975 _305__5 +*976 _306_ +*977 _307_ +*978 _308_ +*979 _309_ +*980 _310_ +*981 _311_ +*982 _312_ +*983 _313_ +*984 _314_ +*985 _315_ +*986 _316_ +*987 _317_ +*988 _318_ +*989 _319_ +*990 _320_ +*991 _321_ +*992 _322_ +*993 _323_ +*994 _324_ +*995 _325_ +*996 _326_ +*997 _327_ +*998 _328_ +*999 _329_ +*1000 _330_ +*1001 _331_ +*1002 _332_ +*1003 _333__6 +*1004 _334_ +*1005 _335_ +*1006 _336_ +*1007 _337_ +*1008 _338_ +*1009 _339_ +*1010 _340_ +*1011 _341_ +*1012 _342_ +*1013 _343_ +*1014 _344__9 +*1015 _345__8 +*1016 _346__7 +*1017 _347_ +*1018 _348_ +*1019 _349_ +*1020 _350_ +*1021 _351_ +*1022 _352_ +*1023 _353_ +*1024 _354_ +*1025 _355_ +*1026 _356_ +*1027 _357_ +*1028 _358_ +*1029 _359_ +*1030 _360_ +*1031 _361_ +*1032 _362_ +*1033 _363_ +*1034 _364_ +*1035 _365_ +*1036 _366_ +*1037 _367_ +*1038 _368_ +*1039 _369_ +*1040 _370_ +*1041 _371_ +*1042 _372_ +*1043 _373_ +*1044 _374_ +*1045 _375_ +*1046 _376_ +*1047 _377_ +*1048 _378_ +*1049 _379_ +*1050 _380_ +*1051 _381_ +*1052 _382_ +*1053 _383_ +*1054 _384_ +*1055 _385_ +*1056 _386_ +*1057 _387_ +*1058 _388_ +*1059 _389_ +*1060 _390_ +*1061 _391_ +*1062 _392_ +*1063 _393_ +*1064 _394_ +*1065 _395_ +*1066 _396__13 +*1067 _397_ +*1068 _398_ +*1069 _399_ +*1070 _400_ +*1071 _401_ +*1072 _402_ +*1073 _403_ +*1074 _404_ +*1075 _405_ +*1076 _406_ +*1077 _407_ +*1078 _408_ +*1079 _409_ +*1080 _410_ +*1081 _411_ +*1082 _412_ +*1083 _413_ +*1084 _414_ +*1085 _415_ +*1086 _416_ +*1087 _417_ +*1088 _418_ +*1089 _419_ +*1090 _420_ +*1091 _421_ +*1092 _422_ +*1093 _423_ +*1094 _424_ +*1095 _425_ +*1096 _426_ +*1097 _427_ +*1098 _428_ +*1099 _429_ +*1100 _430_ +*1101 _431_ +*1102 _432_ +*1103 _433_ +*1104 _434_ +*1105 _435_ +*1106 _436_ +*1107 _437_ +*1108 _438_ +*1109 _439_ +*1110 _440_ +*1111 _441_ +*1112 _442_ +*1113 _443_ +*1114 _444_ +*1115 _445_ +*1116 _446_ +*1117 _447_ +*1118 _448_ +*1119 _449_ +*1120 _450_ +*1121 _451_ +*1122 _452_ +*1123 _453_ +*1124 _454_ +*1125 _455_ +*1126 _456_ +*1127 _457_ +*1128 _458_ +*1129 _459_ +*1130 _460_ +*1131 _461_ +*1132 _462_ +*1133 _463_ +*1134 _464_ +*1135 _465_ +*1136 _466_ +*1137 _467_ +*1138 _468_ +*1139 _469_ +*1140 _470_ +*1141 _471_ +*1142 _472_ +*1143 _473_ +*1144 _474_ +*1145 _475_ +*1146 _476_ +*1147 _477_ +*1148 _478_ +*1149 _479_ +*1150 _480_ +*1151 _481_ +*1152 _482_ +*1153 _483_ +*1154 _484_ +*1155 _485_ +*1156 _486_ +*1157 _487_ +*1158 _488_ +*1159 _489_ +*1160 _490_ +*1161 _491_ +*1162 _492_ +*1163 _493_ +*1164 _494_ +*1165 clkbuf_0_ext_clk +*1166 clkbuf_0_pll_clk +*1167 clkbuf_0_pll_clk90 +*1168 clkbuf_1_0_0_ext_clk +*1169 clkbuf_1_0_0_pll_clk +*1170 clkbuf_1_0_0_pll_clk90 +*1171 clkbuf_1_1_0_ext_clk +*1172 clkbuf_1_1_0_pll_clk +*1173 clkbuf_1_1_0_pll_clk90 +*1174 hold1 +*1175 hold2 +*1176 hold3 +*1177 input1 +*1178 input2 +*1179 input3 +*1180 input4 +*1181 input5 +*1182 input6 +*1183 input7 +*1184 input8 +*1185 input9 +*1186 output10 +*1187 output11 +*1188 output12 +*1189 rebuffer10 +*1190 rebuffer11 +*1191 rebuffer12 +*1192 rebuffer13 +*1193 rebuffer14 +*1194 rebuffer16 +*1195 rebuffer5 +*1196 rebuffer6 +*1197 rebuffer7 +*1198 rebuffer9 +*1199 split15 +*1200 split4 +*1201 split8 *PORTS core_clk O @@ -1205,9277 +1231,10108 @@ sel[1] I sel[2] I user_clk O -*D_NET *3 0.000414444 +*D_NET *3 0.000410762 *CONN *P core_clk O -*I *1170:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1186:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 core_clk 0.000195155 -2 *1170:X 0.000195155 -3 core_clk *296:384 2.41338e-05 +1 core_clk 0.000205381 +2 *1186:X 0.000205381 *RES -1 *1170:X core_clk 17.8118 +1 *1186:X core_clk 17.8118 *END -*D_NET *4 0.00970762 +*D_NET *4 0.00817965 *CONN *P ext_clk I -*I *1149:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1165:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 ext_clk 0.000652163 -2 *1149:A 0.000507259 -3 *373:DIODE 0 -4 *4:11 0.00288435 -5 *4:7 0.00302926 -6 *1149:A *1104:RESET_B 3.52807e-05 -7 *1149:A *1104:CLK 0.000117983 -8 *1149:A *1152:A 6.94887e-05 -9 *1149:A *114:67 8.32204e-06 -10 *1149:A *219:34 8.31548e-05 -11 *4:7 *937:B 9.82083e-05 -12 *4:7 *1043:B 0.000227188 -13 *4:7 *1045:A 0.000185026 -14 *4:7 *1061:A1 0.000111356 -15 *4:7 *1061:S 7.77711e-06 -16 *4:7 *1073:A0 0.000115998 -17 *4:7 *1073:A1 8.72115e-06 -18 *4:7 *1074:A0 7.26606e-05 -19 *4:7 *248:92 0 -20 *4:7 *255:18 5.80109e-06 -21 *4:7 *256:16 0.000139684 -22 *4:7 *296:922 0 -23 *4:11 *893:A2 6.08467e-05 -24 *4:11 *896:A1 0.000119972 -25 *4:11 *1095:D 0 -26 *4:11 *1145:D 1.15273e-05 -27 *4:11 *1154:A 0.000119497 -28 *4:11 *114:67 0.000239209 -29 *4:11 *219:34 0.000168944 -30 *4:11 *247:56 0.000173071 -31 *4:11 *248:65 0.000278641 -32 *4:11 *248:69 1.5613e-05 -33 *4:11 *249:77 0.000160617 +1 ext_clk 0.000830856 +2 *1165:A 8.04104e-05 +3 *390:DIODE 0 +4 *4:11 0.00265291 +5 *4:7 0.00340335 +6 *1165:A *145:54 7.79978e-05 +7 *1165:A *146:66 0.000113968 +8 *1165:A *271:30 3.31733e-05 +9 *4:7 *897:A2 0 +10 *4:7 *933:A 0 +11 *4:7 *1054:B 0 +12 *4:7 *1101:A0 0 +13 *4:7 *52:28 7.14629e-05 +14 *4:7 *159:10 6.15948e-05 +15 *4:11 *383:DIODE 1.28022e-05 +16 *4:11 *898:A 0.00017933 +17 *4:11 *901:A 0.000138783 +18 *4:11 *911:C 1.88914e-05 +19 *4:11 *1076:A0 2.19341e-05 +20 *4:11 *1078:S 0 +21 *4:11 *1158:D 0.000213674 +22 *4:11 *1158:CLK 2.78496e-05 +23 *4:11 *1174:A 1.64983e-05 +24 *4:11 *140:7 6.08467e-05 +25 *4:11 *270:21 2.85303e-06 +26 *4:11 *271:30 0.000160467 *RES 1 ext_clk *4:7 23.8947 2 *4:7 *4:11 46.0058 -3 *4:11 *373:DIODE 13.7491 -4 *4:11 *1149:A 24.9919 +3 *4:11 *390:DIODE 13.7491 +4 *4:11 *1165:A 16.4439 *END -*D_NET *5 0.000628952 +*D_NET *5 0.000614444 *CONN *P ext_clk_sel I -*I *1161:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1177:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 ext_clk_sel 0.000232601 -2 *1161:A 0 -3 *376:DIODE 6.6126e-05 -4 *5:10 0.000298727 -5 *376:DIODE *1052:A 3.14978e-05 -6 *5:10 *1033:A 0 +1 ext_clk_sel 0.000206753 +2 *1177:A 1.23676e-05 +3 *393:DIODE 8.81011e-05 +4 *5:7 0.000307222 +5 *393:DIODE *240:9 0 +6 *1177:A *240:9 0 *RES -1 ext_clk_sel *5:10 9.41425 -2 *5:10 *376:DIODE 11.0817 -3 *5:10 *1161:A 9.24915 +1 ext_clk_sel *5:7 4.12039 +2 *5:7 *393:DIODE 15.7888 +3 *5:7 *1177:A 14.1278 *END -*D_NET *6 0.00133551 +*D_NET *6 0.00124179 *CONN *P ext_reset I -*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1162:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1178:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 ext_reset 0.000358962 -2 *377:DIODE 0.00016272 -3 *1162:A 0 -4 *6:11 0.000521682 -5 *377:DIODE *1053:A 6.94431e-05 -6 *377:DIODE *1171:A 0.00016386 -7 *6:11 *8:7 5.88434e-05 +1 ext_reset 0.00035395 +2 *1178:A 0 +3 *394:DIODE 0.00016568 +4 *6:11 0.00051963 +5 *394:DIODE *1063:A 6.94431e-05 +6 *394:DIODE *1187:A 6.97525e-05 +7 *6:11 *8:7 6.33375e-05 *RES 1 ext_reset *6:11 13.9241 -2 *6:11 *1162:A 9.24915 -3 *6:11 *377:DIODE 13.8789 +2 *6:11 *394:DIODE 13.8789 +3 *6:11 *1178:A 9.24915 *END -*D_NET *7 0.0106994 +*D_NET *7 0.00835294 *CONN *P pll_clk I -*I *1150:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1166:A I *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 pll_clk 0.00149194 -2 *1150:A 0.000187102 -3 *375:DIODE 5.76526e-05 -4 *7:18 0.00231997 -5 *7:15 0.00356716 -6 *375:DIODE *213:10 0 -7 *375:DIODE *219:14 1.03594e-05 -8 *375:DIODE *219:34 2.41266e-05 -9 *1150:A *898:A 7.73683e-05 -10 *1150:A *905:C 3.06627e-05 -11 *1150:A *115:49 0.000161234 -12 *1150:A *213:10 0 -13 *1150:A *219:34 8.60716e-05 -14 *1150:A *258:13 6.53173e-05 -15 *1150:A *259:8 0.00013262 -16 *7:15 *1088:A1 2.83365e-05 -17 *7:15 *1100:D 0.000301269 -18 *7:15 *1114:D 0 -19 *7:15 *1115:D 0 -20 *7:15 *1159:A 2.82255e-05 -21 *7:15 *243:66 0 -22 *7:15 *296:502 4.7392e-05 -23 *7:15 *296:504 7.11402e-05 -24 *7:15 *296:509 4.07982e-05 -25 *7:15 *296:514 0 -26 *7:15 *296:516 0 -27 *7:18 *1143:RESET_B 0.00107064 -28 *7:18 *220:40 0.000670736 -29 *7:18 *220:52 0.000205985 -30 *7:18 *257:33 2.32766e-05 +1 pll_clk 0.00151471 +2 *392:DIODE 0 +3 *1166:A 0.000101139 +4 *7:16 0.00182734 +5 *7:15 0.00324091 +6 *7:15 *1020:B 0 +7 *7:15 *1079:A0 1.63652e-05 +8 *7:15 *1079:A1 0 +9 *7:15 *1116:D 0.000132229 +10 *7:15 *1160:SET_B 6.74667e-05 +11 *7:15 *1160:CLK 0 +12 *7:15 *1175:A 1.74019e-05 +13 *7:15 *259:6 0 +14 *7:15 *259:44 0 +15 *7:15 *281:10 0 +16 *7:15 *309:800 0 +17 *7:15 *309:804 0 +18 *7:16 *1163:CLK 7.40813e-05 +19 *7:16 *232:32 0.00112263 +20 *7:16 *263:27 0.000223747 +21 *7:16 *309:313 3.44712e-06 +22 *7:16 *309:318 1.14753e-05 *RES -1 pll_clk *7:15 43.2894 -2 *7:15 *7:18 38.4996 -3 *7:18 *375:DIODE 15.1659 -4 *7:18 *1150:A 20.7358 +1 pll_clk *7:15 41.2132 +2 *7:15 *7:16 29.0082 +3 *7:16 *1166:A 11.9257 +4 *7:16 *392:DIODE 9.24915 *END -*D_NET *8 0.0096965 +*D_NET *8 0.0100684 *CONN *P pll_clk90 I -*I *1151:A I *D sky130_fd_sc_hd__clkbuf_16 -*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1167:A I *D sky130_fd_sc_hd__clkbuf_16 *CAP -1 pll_clk90 0.000968684 -2 *1151:A 9.43315e-05 -3 *374:DIODE 3.58463e-05 -4 *8:12 0.00113539 -5 *8:10 0.00262763 -6 *8:7 0.0025911 -7 *374:DIODE *898:A 2.16355e-05 -8 *1151:A *898:B 2.76794e-05 -9 *1151:A *1067:S 0.000158637 -10 *1151:A *115:49 5.03545e-06 -11 *1151:A *257:36 5.1674e-06 -12 *8:7 *1065:A0 0 -13 *8:7 *12:11 8.90248e-05 -14 *8:7 *13:11 0.000110265 -15 *8:7 *299:13 6.53429e-05 -16 *8:10 *1133:D 0.000224072 -17 *8:12 *898:A 5.04829e-06 -18 *8:12 *903:B1_N 2.30636e-05 -19 *8:12 *946:A1 9.94284e-06 -20 *8:12 *946:A2 2.64497e-05 -21 *8:12 *946:B1 0.000138632 -22 *8:12 *947:A 0.000162401 -23 *8:12 *1032:B1 0.000387937 -24 *8:12 *1157:A 7.67734e-06 -25 *8:12 *111:11 0.000290087 -26 *8:12 *115:61 0.000212898 -27 *8:12 *139:18 0.000213676 -28 *6:11 *8:7 5.88434e-05 +1 pll_clk90 0.00072442 +2 *391:DIODE 0 +3 *1167:A 0.000253489 +4 *8:10 0.00266893 +5 *8:7 0.00313986 +6 *1167:A *1075:A0 6.78596e-05 +7 *1167:A *1076:A0 0.000169728 +8 *1167:A *260:29 0.000368568 +9 *1167:A *260:40 6.50727e-05 +10 *8:7 *1132:CLK 0 +11 *8:7 *12:11 9.38662e-05 +12 *8:7 *13:11 0.000110265 +13 *8:7 *321:8 6.53429e-05 +14 *8:10 *385:DIODE 0.000171273 +15 *8:10 *895:A1 0.000523576 +16 *8:10 *1027:A2_N 0.000153949 +17 *8:10 *1131:D 0.000182064 +18 *8:10 *260:13 0.00107374 +19 *8:10 *260:29 3.93119e-05 +20 *8:10 *260:175 0.000114584 +21 *8:10 *281:49 1.92098e-05 +22 *6:11 *8:7 6.33375e-05 *RES -1 pll_clk90 *8:7 29.293 -2 *8:7 *8:10 24.0409 -3 *8:10 *8:12 26.7898 -4 *8:12 *374:DIODE 9.97254 -5 *8:12 *1151:A 21.3269 +1 pll_clk90 *8:7 23.8947 +2 *8:7 *8:10 41.3541 +3 *8:10 *1167:A 17.737 +4 *8:10 *391:DIODE 9.24915 *END -*D_NET *9 0.00130008 +*D_NET *9 0.00139105 *CONN *P resetb I -*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1163:A I *D sky130_fd_sc_hd__buf_12 +*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1179:A I *D sky130_fd_sc_hd__buf_12 *CAP -1 resetb 0.000500731 -2 *378:DIODE 0 -3 *1163:A 8.78203e-05 -4 *9:5 0.000588551 -5 *1163:A *1136:RESET_B 0 -6 *1163:A *296:6 0 -7 *9:5 *1135:CLK 0.000122978 -8 *9:5 *1136:RESET_B 0 +1 resetb 0.000486385 +2 *395:DIODE 0 +3 *1179:A 6.95301e-05 +4 *9:5 0.000555915 +5 *1179:A *936:A 0 +6 *1179:A *938:A 6.50727e-05 +7 *1179:A *938:B 6.92705e-05 +8 *9:5 *936:A 0 +9 *9:5 *939:A 8.13394e-05 +10 *9:5 *939:C 6.35347e-05 +11 *9:5 *940:A 0 *RES 1 resetb *9:5 12.7507 -2 *9:5 *1163:A 15.8893 -3 *9:5 *378:DIODE 13.7491 +2 *9:5 *1179:A 15.8893 +3 *9:5 *395:DIODE 13.7491 *END -*D_NET *10 0.00163647 +*D_NET *10 0.0013232 *CONN *P resetb_sync O -*I *1171:X O *D sky130_fd_sc_hd__buf_2 +*I *1187:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 resetb_sync 0.000541656 -2 *1171:X 0.000541656 -3 resetb_sync *276:27 0.000511867 -4 resetb_sync *276:31 4.12914e-05 +1 resetb_sync 0.000530311 +2 *1187:X 0.000530311 +3 resetb_sync *255:28 0.000162834 +4 resetb_sync *288:21 6.78831e-05 +5 resetb_sync *288:29 3.18656e-05 *RES -1 *1171:X resetb_sync 23.9124 +1 *1187:X resetb_sync 23.3578 *END -*D_NET *11 0.000696326 +*D_NET *11 0.000637917 *CONN *P sel2[0] I -*I *1164:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1180:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 sel2[0] 0.000236166 -2 *1164:A 0 -3 *379:DIODE 7.67971e-05 -4 *11:10 0.000312963 -5 *379:DIODE *1065:S 6.27718e-05 -6 *11:10 *1065:S 7.6276e-06 +1 sel2[0] 0.0002352 +2 *1180:A 0 +3 *396:DIODE 8.37589e-05 +4 *11:10 0.000318959 *RES 1 sel2[0] *11:10 8.999 -2 *11:10 *379:DIODE 11.0817 -3 *11:10 *1164:A 9.24915 +2 *11:10 *396:DIODE 11.0817 +3 *11:10 *1180:A 9.24915 *END -*D_NET *12 0.000893372 +*D_NET *12 0.000819029 *CONN *P sel2[1] I -*I *1165:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1181:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 sel2[1] 0.000279846 -2 *1165:A 0 -3 *380:DIODE 8.76059e-05 -4 *12:11 0.000367452 -5 *380:DIODE *1112:D 6.94431e-05 -6 *8:7 *12:11 8.90248e-05 +1 sel2[1] 0.000275387 +2 *1181:A 0 +3 *397:DIODE 7.21531e-05 +4 *12:11 0.00034754 +5 *397:DIODE *1128:D 3.00829e-05 +6 *8:7 *12:11 9.38662e-05 *RES 1 sel2[1] *12:11 10.8917 -2 *12:11 *380:DIODE 11.6605 -3 *12:11 *1165:A 9.24915 +2 *12:11 *397:DIODE 11.0817 +3 *12:11 *1181:A 9.24915 *END *D_NET *13 0.000880133 *CONN *P sel2[2] I -*I *1166:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1182:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP 1 sel2[2] 0.000298504 -2 *1166:A 0 -3 *381:DIODE 8.64303e-05 +2 *1182:A 0 +3 *398:DIODE 8.64303e-05 4 *13:11 0.000384934 5 *8:7 *13:11 0.000110265 *RES 1 sel2[2] *13:11 11.3069 -2 *13:11 *381:DIODE 11.0817 -3 *13:11 *1166:A 9.24915 +2 *13:11 *398:DIODE 11.0817 +3 *13:11 *1182:A 9.24915 *END -*D_NET *14 0.000744733 +*D_NET *14 0.00144208 *CONN *P sel[0] I -*I *1167:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1183:A I *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 sel[0] 0.000272618 -2 *1167:A 0 -3 *382:DIODE 6.51134e-05 -4 *14:10 0.000337731 -5 *382:DIODE *300:19 6.92705e-05 -6 *14:10 *303:8 0 +1 sel[0] 0.000498941 +2 *399:DIODE 0.000121561 +3 *1183:A 0 +4 *14:8 0.000620502 +5 *399:DIODE *994:B 9.75684e-05 +6 *399:DIODE *234:17 0 +7 *399:DIODE *305:12 9.98296e-05 +8 *14:8 *994:B 3.67528e-06 +9 *14:8 *994:C 0 +10 *14:8 *1062:A 0 +11 *14:8 *234:17 0 *RES -1 sel[0] *14:10 9.8295 -2 *14:10 *382:DIODE 11.0817 -3 *14:10 *1167:A 9.24915 +1 sel[0] *14:8 5.86493 +2 *14:8 *1183:A 13.7491 +3 *14:8 *399:DIODE 17.135 *END -*D_NET *15 0.000847595 +*D_NET *15 0.000762539 *CONN *P sel[1] I -*I *1168:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1184:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 sel[1] 0.000319607 -2 *1168:A 0 -3 *383:DIODE 7.185e-05 -4 *15:10 0.000391457 -5 *383:DIODE *1106:D 6.46815e-05 -6 *15:10 *303:8 0 +1 sel[1] 0.00027249 +2 *1184:A 0 +3 *400:DIODE 8.24262e-05 +4 *15:10 0.000354916 +5 *400:DIODE *1122:D 1.43698e-05 +6 *15:10 *1062:A 3.83371e-05 *RES -1 sel[1] *15:10 11.0753 -2 *15:10 *383:DIODE 11.0817 -3 *15:10 *1168:A 9.24915 +1 sel[1] *15:10 10.2448 +2 *15:10 *400:DIODE 11.0817 +3 *15:10 *1184:A 9.24915 *END -*D_NET *16 0.000672771 +*D_NET *16 0.000874127 *CONN *P sel[2] I -*I *1169:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1185:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 *CAP -1 sel[2] 0.000234973 -2 *1169:A 0 -3 *384:DIODE 6.9072e-05 -4 *16:10 0.000304045 -5 *384:DIODE *1107:D 6.46815e-05 +1 sel[2] 0.000329378 +2 *1185:A 0 +3 *401:DIODE 5.73017e-05 +4 *16:10 0.00038668 +5 *401:DIODE *1127:D 6.92705e-05 +6 *401:DIODE *324:13 3.14978e-05 +7 *16:10 *1127:CLK 0 +8 *16:10 *324:13 0 *RES -1 sel[2] *16:10 8.999 -2 *16:10 *384:DIODE 11.0817 -3 *16:10 *1169:A 9.24915 +1 sel[2] *16:10 11.4905 +2 *16:10 *401:DIODE 11.0817 +3 *16:10 *1185:A 9.24915 *END -*D_NET *17 0.000828249 +*D_NET *17 0.000380793 *CONN *P user_clk O -*I *1172:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1188:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 user_clk 0.000282606 -2 *1172:X 0.000282606 -3 user_clk *1170:A 0.000263038 +1 user_clk 0.000158056 +2 *1188:X 0.000158056 +3 user_clk *309:739 6.46815e-05 *RES -1 *1172:X user_clk 19.4756 +1 *1188:X user_clk 17.2572 *END -*D_NET *18 0.0086644 +*D_NET *18 0.00646079 *CONN -*I *896:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *906:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *908:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *1068:A0 I *D sky130_fd_sc_hd__mux2_1 *I *910:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *895:Y O *D sky130_fd_sc_hd__nand2_2 +*I *912:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *1067:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *900:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *914:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *899:Y O *D sky130_fd_sc_hd__nand2_2 *CAP -1 *896:B1 0.00020484 -2 *906:A2 0.000808625 -3 *908:A2 5.76923e-05 -4 *1068:A0 0.000253677 -5 *910:A2 0 -6 *895:Y 5.43193e-05 -7 *18:43 0.00130106 -8 *18:31 0.000513015 -9 *18:20 0.000518049 -10 *18:7 0.00015096 -11 *896:B1 *369:DIODE 8.65271e-05 -12 *896:B1 *1098:CLK 0 -13 *896:B1 *1154:A 2.73793e-05 -14 *896:B1 *214:8 2.4433e-05 -15 *896:B1 *248:46 0 -16 *896:B1 *268:25 0.000125972 -17 *906:A2 *369:DIODE 0.000245977 -18 *906:A2 *906:A1 0.00016553 -19 *906:A2 *906:B1_N 1.15389e-05 -20 *906:A2 *1048:A 0.000186609 -21 *906:A2 *1067:A1 0.000157441 -22 *906:A2 *1143:D 4.97617e-05 -23 *906:A2 *213:10 0.000532383 -24 *906:A2 *220:26 0.00016553 -25 *906:A2 *258:13 0.000751992 -26 *906:A2 *268:25 2.75449e-05 -27 *908:A2 *907:C 0.000110297 -28 *908:A2 *908:A1 6.08467e-05 -29 *1068:A0 *908:B1_N 3.18748e-06 -30 *1068:A0 *1068:S 6.19496e-05 -31 *1068:A0 *247:76 8.95297e-05 -32 *1068:A0 *268:93 0.000171677 -33 *18:7 *895:B 0 -34 *18:7 *109:42 0.000110458 -35 *18:7 *247:68 0.000161956 -36 *18:20 *910:A1 1.50924e-05 -37 *18:20 *910:B1_N 0.000122858 -38 *18:20 *109:42 7.74679e-05 -39 *18:20 *247:68 1.60442e-05 -40 *18:31 *907:C 7.31592e-05 -41 *18:31 *908:B1_N 5.03013e-06 -42 *18:43 *907:C 1.37939e-05 -43 *18:43 *908:A1 0.000448783 -44 *18:43 *1047:A 1.88014e-05 -45 *18:43 *249:38 0.000358496 -46 *18:43 *268:25 0.000263272 -47 *18:43 *268:43 6.08467e-05 +1 *910:A2 4.83015e-05 +2 *912:A2 2.17984e-05 +3 *1067:A0 0.000457373 +4 *900:B1 0 +5 *914:A2 0 +6 *899:Y 0.000203763 +7 *18:42 0.000546358 +8 *18:24 0.000722287 +9 *18:19 0.000877465 +10 *18:9 0.000340056 +11 *910:A2 *912:A1 0.000205985 +12 *910:A2 *1170:A 0.00033061 +13 *912:A2 *912:A1 0.000165521 +14 *912:A2 *1170:A 0.000165521 +15 *1067:A0 *900:A2 1.63255e-05 +16 *1067:A0 *1097:A0 0.000263116 +17 *1067:A0 *1161:D 0.000107496 +18 *1067:A0 *280:25 0.0002205 +19 *1067:A0 *280:33 0.000137651 +20 *1067:A0 *280:39 6.08467e-05 +21 *18:9 *899:B 1.41976e-05 +22 *18:9 *906:A 0.000184753 +23 *18:9 *914:A1 6.51459e-05 +24 *18:9 *914:B1_N 6.36477e-05 +25 *18:19 *906:A 0.00025527 +26 *18:19 *914:A1 5.46833e-05 +27 *18:19 *146:7 2.57365e-05 +28 *18:19 *309:630 0.000206696 +29 *18:24 *1114:CLK 3.54581e-05 +30 *18:24 *280:25 0.000295063 +31 *18:42 *912:A1 0 +32 *18:42 *1103:A0 6.55651e-05 +33 *18:42 *1114:D 0 +34 *18:42 *1114:CLK 0 +35 *18:42 *1158:D 0 +36 *18:42 *269:19 0 +37 *18:42 *270:21 0.000269551 +38 *18:42 *280:25 3.40476e-05 *RES -1 *895:Y *18:7 11.6605 -2 *18:7 *910:A2 9.24915 -3 *18:7 *18:20 12.5494 -4 *18:20 *1068:A0 24.8233 -5 *18:20 *18:31 4.05102 -6 *18:31 *908:A2 11.5158 -7 *18:31 *18:43 14.6517 -8 *18:43 *906:A2 37.7544 -9 *18:43 *896:B1 19.7337 +1 *899:Y *18:9 15.5668 +2 *18:9 *914:A2 9.24915 +3 *18:9 *18:19 5.35313 +4 *18:19 *18:24 16.2303 +5 *18:24 *900:B1 9.24915 +6 *18:24 *1067:A0 22.1979 +7 *18:19 *18:42 21.2483 +8 *18:42 *912:A2 11.0817 +9 *18:42 *910:A2 12.7456 *END -*D_NET *19 0.0107405 +*D_NET *19 0.0135672 *CONN -*I *1075:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *998:B1 I *D sky130_fd_sc_hd__o21a_1 *I *963:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *1003:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *967:A2 I *D sky130_fd_sc_hd__a21bo_1 *I *965:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *1068:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *967:A2 I *D sky130_fd_sc_hd__a21bo_1 *I *961:Y O *D sky130_fd_sc_hd__nand2_2 *CAP -1 *1075:A0 0 +1 *998:B1 0.000253046 2 *963:A2 0 -3 *1003:B1 0.00159777 -4 *967:A2 0 -5 *965:A2 0.000468366 -6 *961:Y 3.76438e-05 -7 *19:45 0.00246862 -8 *19:17 0.000615052 -9 *19:10 0.00110961 -10 *19:5 0.000129713 -11 *965:A2 *964:A 6.23779e-05 -12 *965:A2 *1080:A1 0.000114659 -13 *965:A2 *1089:A0 6.3657e-05 -14 *965:A2 *1130:D 0.000141654 -15 *965:A2 *216:13 2.04806e-05 -16 *1003:B1 *949:A 0.000455159 -17 *1003:B1 *962:A 3.44412e-06 -18 *1003:B1 *963:A1 1.91246e-05 -19 *1003:B1 *1002:A1 0.000114594 -20 *1003:B1 *1002:A2 6.3657e-05 -21 *1003:B1 *1003:A2 0.000167434 -22 *1003:B1 *1029:A1 1.48597e-05 -23 *1003:B1 *147:7 6.66272e-05 -24 *1003:B1 *152:35 8.03035e-05 -25 *1003:B1 *219:71 1.5714e-05 -26 *1003:B1 *221:71 0.000528665 -27 *1003:B1 *221:85 4.70264e-05 -28 *1003:B1 *233:10 0 -29 *19:5 *1075:S 0.000275256 -30 *19:5 *154:11 0.000115615 -31 *19:10 *970:A1 1.07248e-05 -32 *19:10 *221:131 0.000315611 -33 *19:10 *242:44 0.000322551 -34 *19:17 *967:A1 0.000168313 -35 *19:17 *152:13 0.000378954 -36 *19:17 *242:41 1.50924e-05 -37 *19:45 *321:DIODE 6.93171e-05 -38 *19:45 *949:B 3.31813e-05 -39 *19:45 *962:A 3.13805e-06 -40 *19:45 *152:10 2.15326e-05 -41 *19:45 *231:24 0.000462984 -42 *19:45 *242:37 0.000104639 -43 *19:45 *242:41 3.74146e-05 +3 *965:A2 0.000330898 +4 *1068:A0 6.96778e-05 +5 *967:A2 5.08727e-05 +6 *961:Y 0 +7 *19:52 0.000805682 +8 *19:40 0.000820222 +9 *19:21 0.0011988 +10 *19:4 0.000985858 +11 *965:A2 *965:A1 0.000228593 +12 *965:A2 *983:B1 3.1218e-05 +13 *965:A2 *1146:D 0.000152185 +14 *965:A2 *1146:CLK 0 +15 *967:A2 *967:B1_N 3.60933e-06 +16 *967:A2 *233:68 4.77858e-05 +17 *967:A2 *244:33 0 +18 *998:B1 *980:C 0.000119821 +19 *998:B1 *984:A1 0.000583113 +20 *998:B1 *984:A2 6.08467e-05 +21 *998:B1 *48:25 0.00015661 +22 *998:B1 *48:43 0.000308614 +23 *998:B1 *233:44 0.0014936 +24 *998:B1 *235:15 1.37189e-05 +25 *1068:A0 *1068:S 6.92705e-05 +26 *1068:A0 *254:77 0.000171273 +27 *1068:A0 *254:81 0.000114523 +28 *19:21 *984:A2 7.41833e-06 +29 *19:21 *176:38 0 +30 *19:21 *192:14 0.000873926 +31 *19:21 *192:21 0.000303381 +32 *19:21 *192:31 0.000179067 +33 *19:21 *192:43 0.00016553 +34 *19:21 *233:44 0.000160617 +35 *19:21 *234:33 1.91391e-05 +36 *19:21 *254:41 7.68279e-05 +37 *19:21 *254:49 0.000362198 +38 *19:21 *254:59 0.000572574 +39 *19:40 *951:B 0 +40 *19:40 *963:A1 0.000117376 +41 *19:40 *963:B1_N 6.92705e-05 +42 *19:40 *966:B 1.36815e-05 +43 *19:40 *967:B1_N 1.3286e-05 +44 *19:40 *1093:A1 6.50727e-05 +45 *19:40 *1093:S 0.000368581 +46 *19:40 *49:16 0 +47 *19:40 *176:16 3.94335e-05 +48 *19:40 *179:16 0 +49 *19:40 *233:68 0.000121252 +50 *19:40 *234:45 0.000910472 +51 *19:40 *235:50 0.000179042 +52 *19:40 *305:84 0.000118485 +53 *19:52 *983:A2 0 +54 *19:52 *983:B1 1.70077e-05 +55 *19:52 *1041:A 5.17071e-05 +56 *19:52 *1041:B 6.92705e-05 +57 *19:52 *1042:B 0.000122083 +58 *19:52 *1094:S 0 +59 *19:52 *233:82 0 +60 *19:52 *234:45 0.00016553 +61 *19:52 *235:50 7.16893e-05 +62 *19:52 *254:73 0.000215254 +63 *19:52 *254:77 4.81834e-05 *RES -1 *961:Y *19:5 12.191 -2 *19:5 *19:10 14.5693 -3 *19:10 *19:17 5.93185 -4 *19:17 *965:A2 30.0134 -5 *19:17 *967:A2 9.24915 -6 *19:10 *19:45 24.9113 -7 *19:45 *1003:B1 32.7892 -8 *19:45 *963:A2 9.24915 -9 *19:5 *1075:A0 9.24915 +1 *961:Y *19:4 9.24915 +2 *19:4 *19:21 45.4145 +3 *19:21 *967:A2 14.7506 +4 *19:21 *19:40 23.2404 +5 *19:40 *19:52 20.9217 +6 *19:52 *1068:A0 12.191 +7 *19:52 *965:A2 27.7542 +8 *19:40 *963:A2 9.24915 +9 *19:4 *998:B1 27.2376 *END -*D_NET *20 0.00179215 +*D_NET *20 0.00515224 *CONN -*I *919:B I *D sky130_fd_sc_hd__and2b_1 -*I *911:C_N I *D sky130_fd_sc_hd__nor3b_1 -*I *914:A I *D sky130_fd_sc_hd__inv_2 -*I *1068:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *919:B 0.000199434 -2 *911:C_N 5.14545e-05 -3 *914:A 0 -4 *1068:X 0.000226688 -5 *20:15 0.000413621 -6 *20:7 0.000389421 -7 *911:C_N *911:A 5.75336e-05 -8 *911:C_N *915:A2 9.94284e-06 -9 *911:C_N *916:B1 0.000161234 -10 *919:B *920:B1 7.71526e-05 -11 *919:B *268:56 1.91246e-05 -12 *919:B *268:73 1.66626e-05 -13 *20:15 *915:A2 1.19705e-05 -14 *20:15 *916:B1 0.000110458 -15 *20:15 *921:A1 2.41916e-05 -16 *20:15 *123:5 2.32594e-05 -*RES -1 *1068:X *20:7 14.8434 -2 *20:7 *914:A 9.24915 -3 *20:7 *20:15 4.62973 -4 *20:15 *911:C_N 11.6605 -5 *20:15 *919:B 23.0612 -*END - -*D_NET *21 0.00125 -*CONN -*I *1174:A I *D sky130_fd_sc_hd__buf_2 -*I *971:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1075:X O *D sky130_fd_sc_hd__mux2_4 -*CAP -1 *1174:A 0.000166368 -2 *971:C 0.000167448 -3 *1075:X 0 -4 *21:4 0.000333816 -5 *971:C *154:11 0.000313538 -6 *971:C *161:8 7.22498e-05 -7 *971:C *216:46 2.41483e-05 -8 *1174:A *223:65 0.00014663 -9 *1174:A *295:11 2.57986e-05 -*RES -1 *1075:X *21:4 9.24915 -2 *21:4 *971:C 15.4221 -3 *21:4 *1174:A 22.7442 -*END - -*D_NET *22 0.00157581 -*CONN -*I *995:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1078:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *995:A0 0.000452147 -2 *1078:X 0.000452147 -3 *995:A0 *995:A1 0.000160942 -4 *995:A0 *1040:A 0.000196642 -5 *995:A0 *221:178 2.16355e-05 -6 *995:A0 *221:181 3.20407e-05 -7 *995:A0 *224:7 0.000157107 -8 *995:A0 *224:19 4.66492e-05 -9 *995:A0 *296:43 5.65046e-05 -*RES -1 *1078:X *995:A0 37.1536 -*END - -*D_NET *23 0.00132726 -*CONN -*I *993:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1081:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *993:A0 0.00042223 -2 *1081:X 0.00042223 -3 *993:A0 *991:A 5.56461e-05 -4 *993:A0 *991:C 8.3576e-05 -5 *993:A0 *992:B 6.50586e-05 -6 *993:A0 *1015:A 3.31882e-05 -7 *993:A0 *1015:B 2.41483e-05 -8 *993:A0 *1081:S 6.64392e-05 -9 *993:A0 *188:6 0.000102525 -10 *993:A0 *221:149 0 -11 *993:A0 *225:15 5.22164e-05 -12 *993:A0 *296:56 0 -*RES -1 *1081:X *993:A0 39.0933 -*END - -*D_NET *24 0.000652778 -*CONN -*I *1013:B I *D sky130_fd_sc_hd__nand2_1 -*I *1064:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1013:B 0.000206744 -2 *1064:X 0.000206744 -3 *1013:B *1014:B1 6.78596e-05 -4 *1013:B *219:87 6.39342e-05 -5 *1013:B *243:15 0.000107496 -*RES -1 *1064:X *1013:B 31.7469 -*END - -*D_NET *25 0.00118162 -*CONN -*I *1009:B I *D sky130_fd_sc_hd__nand2_1 -*I *1060:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1009:B 0.000414518 -2 *1060:X 0.000414518 -3 *1009:B *1009:A 0 -4 *1009:B *1060:S 0.000347644 -5 *1009:B *1119:CLK 4.94e-06 -*RES -1 *1060:X *1009:B 36.6231 -*END - -*D_NET *26 0.000559868 -*CONN -*I *1005:B I *D sky130_fd_sc_hd__nand2_1 -*I *1085:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1005:B 1.13238e-05 -2 *1085:X 7.0367e-05 -3 *26:8 6.20534e-05 -4 *26:7 0.000121097 -5 *1005:B *182:15 0.000119686 -6 *1005:B *242:17 5.56461e-05 -7 *26:7 *182:15 0.000119695 -*RES -1 *1085:X *26:7 19.7763 -2 *26:7 *26:8 57.9449 -3 *26:8 *1005:B 19.7763 -*END - -*D_NET *27 0.000494343 -*CONN -*I *967:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *1083:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *967:A1 5.02508e-05 -2 *1083:X 5.02508e-05 -3 *967:A1 *1129:D 0.000167441 -4 *967:A1 *152:13 2.30248e-05 -5 *967:A1 *223:65 3.5063e-05 -6 *19:17 *967:A1 0.000168313 -*RES -1 *1083:X *967:A1 21.4642 -*END - -*D_NET *28 0.00110761 -*CONN -*I *965:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *1080:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *965:A1 0.000358678 -2 *1080:X 0.000358678 -3 *965:A1 *965:B1_N 0.000275668 -4 *965:A1 *1089:A0 0.000114584 -*RES -1 *1080:X *965:A1 36.1782 -*END - -*D_NET *29 0.000251845 -*CONN -*I *963:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *1077:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *963:A1 8.91794e-05 -2 *1077:X 8.91794e-05 -3 *963:A1 *1131:D 1.13142e-05 -4 *963:A1 *221:85 1.09349e-05 -5 *963:A1 *233:10 2.37465e-05 -6 *963:A1 *293:57 8.36586e-06 -7 *1003:B1 *963:A1 1.91246e-05 -*RES -1 *1077:X *963:A1 29.7455 -*END - -*D_NET *30 0.000424206 -*CONN -*I *884:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1065:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *884:A0 0.000196052 -2 *1065:X 0.000196052 -3 *884:A0 *247:19 1.79839e-05 -4 *884:A0 *250:11 1.41181e-05 -*RES -1 *1065:X *884:A0 21.4401 -*END - -*D_NET *31 0.000920649 -*CONN -*I *882:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1088:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *882:A0 0.000266019 -2 *1088:X 0.000266019 -3 *882:A0 *882:S 0.000112361 -4 *882:A0 *1088:A0 0.000130315 -5 *882:A0 *249:25 0.000145936 -*RES -1 *1088:X *882:A0 25.901 -*END - -*D_NET *32 0.000594138 -*CONN -*I *941:B I *D sky130_fd_sc_hd__nand2_1 -*I *1062:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *941:B 0.000167859 -2 *1062:X 0.000167859 -3 *941:B *932:B 0.000110458 -4 *941:B *942:B1 7.50872e-05 -5 *941:B *58:10 9.19886e-06 -6 *941:B *114:8 0 -7 *941:B *131:8 1.47379e-05 -8 *941:B *262:15 4.89392e-05 -*RES -1 *1062:X *941:B 31.7469 -*END - -*D_NET *33 0.00192701 -*CONN -*I *937:B I *D sky130_fd_sc_hd__nand2_1 -*I *1087:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *937:B 0.000546161 -2 *1087:X 0.000546161 -3 *937:B *1061:S 9.085e-06 -4 *937:B *1073:A1 7.26748e-05 -5 *937:B *1074:A0 6.27718e-05 -6 *937:B *1074:A1 6.27718e-05 -7 *937:B *249:52 0.000263116 -8 *937:B *296:922 0.000266055 -9 *4:7 *937:B 9.82083e-05 -*RES -1 *1087:X *937:B 40.7841 -*END - -*D_NET *34 0.00112808 -*CONN -*I *933:B I *D sky130_fd_sc_hd__nand2_1 -*I *1074:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *933:B 0.000404485 -2 *1074:X 0.000404485 -3 *933:B *942:B1 6.08467e-05 -4 *933:B *1061:A0 0.000134167 -5 *933:B *1061:A1 2.79605e-05 -6 *933:B *1061:S 5.31465e-05 -7 *933:B *1134:D 4.29906e-05 -*RES -1 *1074:X *933:B 28.5294 -*END - -*D_NET *35 0.00150271 -*CONN -*I *910:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *1058:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *910:A1 0.000232136 -2 *1058:X 0.000232136 -3 *910:A1 *910:B1_N 0.000159917 -4 *910:A1 *1069:A1 3.30446e-05 -5 *910:A1 *1070:A0 6.00124e-05 -6 *910:A1 *247:68 0.000604863 -7 *910:A1 *248:52 0.000160047 -8 *910:A1 *248:65 5.46384e-06 -9 *18:20 *910:A1 1.50924e-05 -*RES -1 *1058:X *910:A1 36.9848 -*END - -*D_NET *36 0.00118774 -*CONN -*I *908:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *1070:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *908:A1 0.000150076 -2 *1070:X 0.000150076 -3 *908:A1 *907:C 0.000232767 -4 *908:A1 *1058:A1 4.7798e-05 -5 *908:A1 *1098:CLK 1.30094e-05 -6 *908:A1 *249:38 7.60183e-05 -7 *908:A1 *268:43 8.36586e-06 -8 *908:A2 *908:A1 6.08467e-05 -9 *18:43 *908:A1 0.000448783 -*RES -1 *1070:X *908:A1 34.9058 -*END - -*D_NET *37 0.00042378 -*CONN -*I *906:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *920:S I *D sky130_fd_sc_hd__mux2_1 +*I *915:S I *D sky130_fd_sc_hd__mux2_1 +*I *918:S I *D sky130_fd_sc_hd__mux2_1 *I *1067:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *906:A1 8.58234e-05 -2 *1067:X 8.58234e-05 -3 *906:A1 *1143:D 6.3657e-05 -4 *906:A1 *220:26 2.29454e-05 -5 *906:A2 *906:A1 0.00016553 -*RES -1 *1067:X *906:A1 21.4642 -*END - -*D_NET *38 0.000529927 -*CONN -*I *1089:S I *D sky130_fd_sc_hd__mux2_1 -*I *1026:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1089:S 0.000184215 -2 *1026:Y 0.000184215 -3 *1089:S *1028:B 1.87269e-05 -4 *1089:S *1094:D 9.75356e-05 -5 *1089:S *219:57 1.07248e-05 -6 *1089:S *223:21 2.65831e-05 -7 *1089:S *293:37 7.92757e-06 -8 *1089:S *296:302 0 -*RES -1 *1026:Y *1089:S 31.4388 -*END - -*D_NET *39 0.000519295 -*CONN -*I *1091:S I *D sky130_fd_sc_hd__mux2_1 -*I *1030:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1091:S 0.000200947 -2 *1030:Y 0.000200947 -3 *1091:S *948:B 0 -4 *1091:S *1030:B 7.16754e-05 -5 *1091:S *1032:A2_N 0 -6 *1091:S *249:12 4.5726e-05 -*RES -1 *1030:Y *1091:S 31.5781 -*END - -*D_NET *40 0.00165122 -*CONN -*I *1078:S I *D sky130_fd_sc_hd__mux2_1 -*I *1081:S I *D sky130_fd_sc_hd__mux2_1 -*I *1042:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1078:S 0.000338611 -2 *1081:S 0.000220846 -3 *1042:Y 0 -4 *40:4 0.000559457 -5 *1078:S *315:DIODE 6.17618e-05 -6 *1078:S *1042:B 2.99287e-05 -7 *1078:S *221:174 3.87612e-05 -8 *1081:S *1081:A1 5.91887e-05 -9 *1081:S *221:145 0.000161956 -10 *1081:S *223:65 0.000114271 -11 *993:A0 *1081:S 6.64392e-05 -*RES -1 *1042:Y *40:4 9.24915 -2 *40:4 *1081:S 24.9627 -3 *40:4 *1078:S 25.6779 -*END - -*D_NET *41 0.00151706 -*CONN -*I *1075:S I *D sky130_fd_sc_hd__mux2_4 -*I *1173:A I *D sky130_fd_sc_hd__dlygate4sd1_1 -*I *981:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1075:S 0.000290214 -2 *1173:A 1.98947e-05 -3 *981:Y 9.4199e-05 -4 *41:6 0.000404308 -5 *1075:S *981:A 5.30254e-05 -6 *1075:S *151:15 0.000141016 -7 *1075:S *154:11 4.60173e-05 -8 *1075:S *221:131 0 -9 *1173:A *956:A2 6.08467e-05 -10 *1173:A *151:7 2.16355e-05 -11 *41:6 *981:A 0.000110649 -12 *41:6 *221:131 0 -13 *19:5 *1075:S 0.000275256 -*RES -1 *981:Y *41:6 15.9964 -2 *41:6 *1173:A 14.4725 -3 *41:6 *1075:S 22.9811 -*END - -*D_NET *42 0.0112613 -*CONN -*I *1059:S I *D sky130_fd_sc_hd__mux2_1 -*I *1084:S I *D sky130_fd_sc_hd__mux2_1 -*I *1063:S I *D sky130_fd_sc_hd__mux2_1 -*I *984:C I *D sky130_fd_sc_hd__nand3_1 -*I *983:Y O *D sky130_fd_sc_hd__nor3b_4 -*CAP -1 *1059:S 0.000716039 -2 *1084:S 0.000139601 -3 *1063:S 0.000283948 -4 *984:C 0.00110711 -5 *983:Y 1.04127e-05 -6 *42:22 0.000589148 -7 *42:9 0.00137675 -8 *42:8 0.000830495 -9 *984:C *984:B 0 -10 *984:C *989:A1 0.0020467 -11 *984:C *1011:B 0.000192561 -12 *984:C *150:12 1.24633e-05 -13 *984:C *150:26 0.000312228 -14 *984:C *229:21 8.92471e-05 -15 *1059:S *1011:B 0.000213133 -16 *1059:S *1033:A 4.75721e-06 -17 *1059:S *1059:A0 4.75721e-06 -18 *1059:S *229:21 0.00208978 -19 *1063:S *986:B 0.000368656 -20 *1063:S *1063:A0 0.000271044 -21 *1063:S *1120:CLK_N 1.65872e-05 -22 *1063:S *50:5 1.92336e-05 -23 *1084:S *329:DIODE 6.50586e-05 -24 *1084:S *342:DIODE 5.32652e-05 -25 *42:8 *228:35 7.86825e-06 -26 *42:9 *1011:B 3.74499e-05 -27 *42:9 *229:21 0.000304868 -28 *42:22 *342:DIODE 3.55432e-05 -29 *42:22 *986:A 0 -30 *42:22 *228:35 0 -31 *42:22 *229:21 2.02035e-05 -32 *42:22 *229:25 4.23622e-05 -*RES -1 *983:Y *42:8 17.4965 -2 *42:8 *42:9 0.432336 -3 *42:9 *984:C 21.7097 -4 *42:9 *42:22 7.48467 -5 *42:22 *1063:S 22.7916 -6 *42:22 *1084:S 17.5503 -7 *42:8 *1059:S 20.3001 -*END - -*D_NET *43 0.00565314 -*CONN -*I *1076:S I *D sky130_fd_sc_hd__mux2_1 -*I *1079:S I *D sky130_fd_sc_hd__mux2_1 -*I *1082:S I *D sky130_fd_sc_hd__mux2_1 -*I *1023:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1076:S 0 -2 *1079:S 0.000317841 -3 *1082:S 0.000303855 -4 *1023:Y 0 -5 *43:18 0.000646867 -6 *43:4 0.000632882 -7 *1079:S *1038:A 1.15389e-05 -8 *1079:S *1038:B 0.000206718 -9 *1079:S *1039:B 5.04423e-06 -10 *1079:S *1077:S 0.000261781 -11 *1079:S *1079:A1 1.59756e-05 -12 *1079:S *222:50 0.00060296 -13 *1079:S *223:31 3.51678e-05 -14 *1079:S *223:35 0.000108715 -15 *1079:S *232:24 7.1796e-05 -16 *1079:S *293:57 0.00050849 -17 *1082:S *950:B 0.000138943 -18 *1082:S *1082:A0 5.29538e-05 -19 *1082:S *145:9 0.000471459 -20 *1082:S *145:23 9.1974e-05 -21 *1082:S *152:31 2.75669e-05 -22 *1082:S *242:37 0.00108063 -23 *43:18 *959:A 4.29019e-05 -24 *43:18 *1077:S 0 -25 *43:18 *150:51 1.70745e-05 -*RES -1 *1023:Y *43:4 9.24915 -2 *43:4 *1082:S 24.8504 -3 *43:4 *43:18 15.3998 -4 *43:18 *1079:S 24.4405 -5 *43:18 *1076:S 9.24915 -*END - -*D_NET *44 0.00269269 -*CONN -*I *1065:S I *D sky130_fd_sc_hd__mux2_1 -*I *1088:S I *D sky130_fd_sc_hd__mux2_1 -*I *1024:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1065:S 0.000764072 -2 *1088:S 0 -3 *1024:Y 0.000140208 -4 *44:5 0.00090428 -5 *1065:S *335:DIODE 2.07503e-05 -6 *1065:S *1065:A0 4.14089e-05 -7 *1065:S *1065:A1 5.03545e-06 -8 *1065:S *1111:D 0.000169879 -9 *1065:S *1111:RESET_B 0.000131111 -10 *1065:S *296:509 0.000113436 -11 *44:5 *1088:A0 0.00026012 -12 *44:5 *296:509 7.19887e-05 -13 *379:DIODE *1065:S 6.27718e-05 -14 *11:10 *1065:S 7.6276e-06 -*RES -1 *1024:Y *44:5 13.8548 -2 *44:5 *1088:S 9.24915 -3 *44:5 *1065:S 40.0735 -*END - -*D_NET *45 0.00332835 -*CONN -*I *926:A I *D sky130_fd_sc_hd__nand3_1 -*I *1068:S I *D sky130_fd_sc_hd__mux2_1 -*I *923:X O *D sky130_fd_sc_hd__o211a_1 -*CAP -1 *926:A 0.00052146 -2 *1068:S 0.000202371 -3 *923:X 0.00029889 -4 *45:7 0.00102272 -5 *926:A *893:B1 1.90335e-05 -6 *926:A *895:A 8.89094e-05 -7 *926:A *922:A 0 -8 *926:A *1086:A0 5.96936e-05 -9 *926:A *1087:A0 2.8322e-05 -10 *926:A *46:10 0 -11 *926:A *217:10 0 -12 *926:A *217:75 0 -13 *926:A *217:86 0 -14 *926:A *249:73 0.000182044 -15 *926:A *268:93 3.89332e-06 -16 *1068:S *895:A 5.28067e-05 -17 *1068:S *1141:D 0.00033797 -18 *1068:S *109:42 2.09356e-05 -19 *1068:S *217:10 0 -20 *1068:S *247:76 0.000112211 -21 *1068:S *268:48 9.03858e-06 -22 *1068:S *268:93 8.76278e-06 -23 *45:7 *923:A2 0.000164044 -24 *45:7 *109:27 9.94284e-06 -25 *45:7 *109:42 1.58551e-05 -26 *45:7 *247:68 0.000107496 -27 *1068:A0 *1068:S 6.19496e-05 -*RES -1 *923:X *45:7 18.3548 -2 *45:7 *1068:S 21.8099 -3 *45:7 *926:A 26.3777 -*END - -*D_NET *46 0.00314831 -*CONN -*I *926:C I *D sky130_fd_sc_hd__nand3_1 -*I *1086:S I *D sky130_fd_sc_hd__mux2_1 -*I *1073:S I *D sky130_fd_sc_hd__mux2_1 -*I *1061:S I *D sky130_fd_sc_hd__mux2_1 -*I *925:Y O *D sky130_fd_sc_hd__nor3b_2 -*CAP -1 *926:C 0.000120945 -2 *1086:S 0.000214505 -3 *1073:S 0 -4 *1061:S 0.00030177 -5 *925:Y 0.000123762 -6 *46:24 0.000249593 -7 *46:10 0.000458865 -8 *46:8 0.000366713 -9 *926:C *361:DIODE 0.000164258 -10 *1061:S *1061:A1 0.000261128 -11 *1061:S *1073:A0 3.67708e-05 -12 *1061:S *1073:A1 8.96342e-05 -13 *1061:S *1074:A1 0.000112367 -14 *1061:S *1074:S 3.00829e-05 -15 *1061:S *1086:A0 0 -16 *1061:S *1086:A1 0 -17 *1061:S *249:52 4.76794e-05 -18 *1086:S *1086:A0 6.50727e-05 -19 *1086:S *1086:A1 8.55471e-05 -20 *46:8 *926:B 0 -21 *46:8 *927:B 6.08467e-05 -22 *46:8 *1073:A0 0.000108748 -23 *46:10 *1073:A0 0.0001776 -24 *46:10 *1086:A0 0 -25 *46:24 *1073:A1 2.41274e-06 -26 *926:A *46:10 0 -27 *933:B *1061:S 5.31465e-05 -28 *937:B *1061:S 9.085e-06 -29 *4:7 *1061:S 7.77711e-06 -*RES -1 *925:Y *46:8 16.7198 -2 *46:8 *46:10 3.493 -3 *46:10 *1061:S 23.5357 -4 *46:10 *46:24 5.2234 -5 *46:24 *1073:S 9.24915 -6 *46:24 *1086:S 14.964 -7 *46:8 *926:C 17.8002 -*END - -*D_NET *47 0.00618091 -*CONN -*I *1057:S I *D sky130_fd_sc_hd__mux2_1 -*I *1069:S I *D sky130_fd_sc_hd__mux2_1 -*I *1066:S I *D sky130_fd_sc_hd__mux2_1 -*I *1025:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *1057:S 0.000102961 -2 *1069:S 0.000411422 -3 *1066:S 0 -4 *1025:Y 5.84319e-05 -5 *47:15 0.000828824 -6 *47:5 0.000372873 -7 *1057:S *1057:A0 5.35029e-05 -8 *1069:S *1057:A0 9.17173e-05 -9 *1069:S *115:17 5.15387e-05 -10 *1069:S *115:49 0.000871509 -11 *1069:S *258:13 0.000753637 -12 *1069:S *268:43 0.000437559 -13 *47:5 *249:25 6.97525e-05 -14 *47:15 *1047:B 0.000798125 -15 *47:15 *115:49 2.08274e-05 -16 *47:15 *115:61 5.62019e-05 -17 *47:15 *220:16 0.000107496 -18 *47:15 *249:25 1.4106e-05 -19 *47:15 *249:30 4.17972e-05 -20 *47:15 *249:33 0.000479647 -21 *47:15 *249:38 0.000558978 -*RES -1 *1025:Y *47:5 11.0817 -2 *47:5 *1066:S 9.24915 -3 *47:5 *47:15 19.6672 -4 *47:15 *1069:S 33.3792 -5 *47:15 *1057:S 16.4116 -*END - -*D_NET *48 0.000986776 -*CONN -*I *1028:B I *D sky130_fd_sc_hd__and2b_2 -*I *1089:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1028:B 0.000344568 -2 *1089:X 0.000344568 -3 *1028:B *1089:A0 5.52748e-05 -4 *1028:B *1094:D 0.000137404 -5 *1028:B *219:57 3.31733e-05 -6 *1028:B *223:31 5.30608e-05 -7 *1028:B *296:302 0 -8 *1089:S *1028:B 1.87269e-05 -*RES -1 *1089:X *1028:B 36.7005 -*END - -*D_NET *49 0.000665774 -*CONN -*I *1032:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *1091:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1032:A2_N 0.000244222 -2 *1091:X 0.000244222 -3 *1032:A2_N *947:C 9.80242e-07 -4 *1032:A2_N *948:B 1.61631e-05 -5 *1032:A2_N *1032:A1_N 0.000127683 -6 *1032:A2_N *140:18 3.99086e-06 -7 *1032:A2_N *247:38 2.85139e-05 -8 *1091:S *1032:A2_N 0 -*RES -1 *1091:X *1032:A2_N 32.548 -*END - -*D_NET *50 0.0012769 -*CONN -*I *1014:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1063:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1012:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1014:A1 0.000125093 -2 *1063:A0 0.000113208 -3 *1012:Y 4.49602e-05 -4 *50:5 0.000283261 -5 *1014:A1 *1064:A0 1.32509e-05 -6 *1014:A1 *1118:D 8.00933e-05 -7 *1014:A1 *219:87 0.000143047 -8 *1063:A0 *318:DIODE 1.07248e-05 -9 *1063:A0 *1064:A0 0.000115934 -10 *1063:A0 *1118:D 1.36556e-05 -11 *1063:A0 *221:16 4.33979e-05 -12 *1063:S *1063:A0 0.000271044 -13 *1063:S *50:5 1.92336e-05 -*RES -1 *1012:Y *50:5 9.97254 -2 *50:5 *1063:A0 22.7712 -3 *50:5 *1014:A1 22.1896 -*END - -*D_NET *51 0.000327828 -*CONN -*I *1059:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1033:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1059:A0 0.000161535 -2 *1033:Y 0.000161535 -3 *1059:A0 *1033:A 0 -4 *1059:A0 *1033:B 0 -5 *1059:A0 *1119:D 0 -6 *1059:S *1059:A0 4.75721e-06 -*RES -1 *1033:Y *1059:A0 30.8842 -*END - -*D_NET *52 0.00125142 -*CONN -*I *1084:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1035:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1084:A0 0.000419245 -2 *1035:X 0.000419245 -3 *1084:A0 *342:DIODE 0 -4 *1084:A0 *983:C_N 4.84017e-05 -5 *1084:A0 *986:A 0 -6 *1084:A0 *989:A1 0 -7 *1084:A0 *1007:A 0 -8 *1084:A0 *182:15 0.00033061 -9 *1084:A0 *242:14 3.39219e-05 -*RES -1 *1035:X *1084:A0 38.6402 -*END - -*D_NET *53 0.000513867 -*CONN -*I *1082:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1036:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1082:A0 3.32122e-05 -2 *1036:Y 3.32122e-05 -3 *1082:A0 *152:31 0.000268441 -4 *1082:A0 *242:37 0.000126048 -5 *1082:S *1082:A0 5.29538e-05 -*RES -1 *1036:Y *1082:A0 21.4401 -*END - -*D_NET *54 0.000505252 -*CONN -*I *1079:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1037:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1079:A0 0.000166989 -2 *1037:Y 0.000166989 -3 *1079:A0 *1037:A 0 -4 *1079:A0 *1037:B 0 -5 *1079:A0 *1089:A0 0.000171273 -*RES -1 *1037:Y *1079:A0 30.7476 -*END - -*D_NET *55 0.000666692 -*CONN -*I *1076:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1039:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1076:A0 0.000252984 -2 *1039:X 0.000252984 -3 *1076:A0 *1089:A0 0.000160724 -*RES -1 *1039:X *1076:A0 34.2118 -*END - -*D_NET *56 0.000400033 -*CONN -*I *1078:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1040:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1078:A1 6.51454e-05 -2 *1040:Y 6.51454e-05 -3 *1078:A1 *1040:A 0.00021519 -4 *1078:A1 *1078:A0 5.45523e-05 -*RES -1 *1040:Y *1078:A1 21.4642 -*END - -*D_NET *57 0.000532175 -*CONN -*I *1081:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1041:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1081:A1 0.000221452 -2 *1041:Y 0.000221452 -3 *1081:A1 *223:65 3.00829e-05 -4 *1081:S *1081:A1 5.91887e-05 -*RES -1 *1041:Y *1081:A1 21.9947 -*END - -*D_NET *58 0.00169128 -*CONN -*I *1061:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *942:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *940:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *1061:A0 0.00011595 -2 *942:A1 0 -3 *940:Y 0.000262419 -4 *58:10 0.000378369 -5 *58:10 *358:DIODE 4.3116e-06 -6 *58:10 *937:A 1.03594e-05 -7 *58:10 *940:A 0.000160617 -8 *58:10 *114:8 0.000166838 -9 *58:10 *133:7 6.08467e-05 -10 *58:10 *133:31 0.000388199 -11 *933:B *1061:A0 0.000134167 -12 *941:B *58:10 9.19886e-06 -*RES -1 *940:Y *58:10 27.0417 -2 *58:10 *942:A1 9.24915 -3 *58:10 *1061:A0 12.7456 -*END - -*D_NET *59 0.00147318 -*CONN -*I *1086:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1043:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1086:A0 0.000404807 -2 *1043:Y 0.000404807 -3 *1086:A0 *361:DIODE 0.000466242 -4 *1086:A0 *1086:A1 7.25549e-05 -5 *926:A *1086:A0 5.96936e-05 -6 *1061:S *1086:A0 0 -7 *1086:S *1086:A0 6.50727e-05 -8 *46:10 *1086:A0 0 -*RES -1 *1043:Y *1086:A0 36.0444 -*END - -*D_NET *60 0.00148224 -*CONN -*I *1073:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1045:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1073:A0 0.000352739 -2 *1045:X 0.000352739 -3 *1073:A0 *1043:B 0.000227188 -4 *1073:A0 *296:388 0.000110458 -5 *1061:S *1073:A0 3.67708e-05 -6 *4:7 *1073:A0 0.000115998 -7 *46:8 *1073:A0 0.000108748 -8 *46:10 *1073:A0 0.0001776 -*RES -1 *1045:X *1073:A0 38.0828 -*END - -*D_NET *61 0.00156007 -*CONN -*I *1057:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1046:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1057:A0 0.000606698 -2 *1046:Y 0.000606698 -3 *1057:A0 *369:DIODE 0 -4 *1057:A0 *213:10 1.47102e-05 -5 *1057:A0 *248:46 3.00174e-06 -6 *1057:A0 *257:19 7.34948e-06 -7 *1057:A0 *257:33 0.000176388 -8 *1057:A0 *259:8 0 -9 *1057:S *1057:A0 5.35029e-05 -10 *1069:S *1057:A0 9.17173e-05 -*RES -1 *1046:Y *1057:A0 40.8558 -*END - -*D_NET *62 0.00153921 -*CONN -*I *1069:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1047:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1069:A0 0.000420287 -2 *1047:Y 0.000420287 -3 *1069:A0 *1058:A0 0.000156955 -4 *1069:A0 *1098:CLK 6.93171e-05 -5 *1069:A0 *115:49 0.000403051 -6 *1069:A0 *268:43 6.93171e-05 -*RES -1 *1047:Y *1069:A0 35.3533 -*END - -*D_NET *63 0.000662131 -*CONN -*I *1066:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1049:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1066:A0 0.000319502 -2 *1049:X 0.000319502 -3 *1066:A0 *1049:B 5.04829e-06 -4 *1066:A0 *1143:RESET_B 1.8078e-05 -5 *1066:A0 *307:11 0 -*RES -1 *1049:X *1066:A0 33.5151 -*END - -*D_NET *64 0.00031863 -*CONN -*I *1065:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1050:Y O *D sky130_fd_sc_hd__clkinv_2 -*CAP -1 *1065:A1 0.000156797 -2 *1050:Y 0.000156797 -3 *1065:S *1065:A1 5.03545e-06 -*RES -1 *1050:Y *1065:A1 30.4689 -*END - -*D_NET *65 0.000406067 -*CONN -*I *1088:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1051:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1088:A1 0.000122928 -2 *1051:Y 0.000122928 -3 *1088:A1 *1051:A 0.000112361 -4 *1088:A1 *243:66 1.95129e-05 -5 *7:15 *1088:A1 2.83365e-05 -*RES -1 *1051:Y *1088:A1 30.193 -*END - -*D_NET *66 0.000463574 -*CONN -*I *1098:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1022:X O *D sky130_fd_sc_hd__buf_1 -*CAP -1 *1098:D 0.000147431 -2 *1022:X 0.000147431 -3 *1098:D *267:30 0.000168711 -*RES -1 *1022:X *1098:D 31.2171 -*END - -*D_NET *67 0.000594949 -*CONN -*I *1117:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1017:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1117:D 9.53752e-05 -2 *1017:Y 9.53752e-05 -3 *1117:D *1017:A 0.000175606 -4 *1117:D *1117:CLK 0.000228593 -*RES -1 *1017:Y *1117:D 23.538 -*END - -*D_NET *68 0.000967041 -*CONN -*I *1118:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1014:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1118:D 0.000269989 -2 *1014:Y 0.000269989 -3 *1118:D *318:DIODE 0 -4 *1118:D *1008:A 5.56461e-05 -5 *1118:D *1009:A 6.50586e-05 -6 *1118:D *1064:A0 9.24241e-05 -7 *1118:D *182:19 6.50727e-05 -8 *1118:D *219:87 2.65017e-05 -9 *1118:D *219:92 2.86114e-05 -10 *1014:A1 *1118:D 8.00933e-05 -11 *1063:A0 *1118:D 1.36556e-05 -*RES -1 *1014:Y *1118:D 35.6236 -*END - -*D_NET *69 0.00094932 -*CONN -*I *1119:D I *D sky130_fd_sc_hd__dfstp_2 -*I *1011:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1119:D 0.000326206 -2 *1011:Y 0.000326206 -3 *1119:D *1008:A 4.88328e-05 -4 *1119:D *1033:B 9.75356e-05 -5 *1119:D *1119:CLK 0.000114706 -6 *1119:D *296:648 8.92568e-06 -7 *1119:D *296:652 2.69064e-05 -8 *1059:A0 *1119:D 0 -*RES -1 *1011:Y *1119:D 36.4246 -*END - -*D_NET *70 0.000287093 -*CONN -*I *1120:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *1007:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1120:D 0.000109855 -2 *1007:Y 0.000109855 -3 *1120:D *1007:A 5.35227e-05 -4 *1120:D *230:15 1.38616e-05 -*RES -1 *1007:Y *1120:D 30.0537 -*END - -*D_NET *71 0.000247136 -*CONN -*I *1121:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1003:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1121:D 0.000113191 -2 *1003:X 0.000113191 -3 *1121:D *1003:A2 8.03393e-06 -4 *1121:D *219:77 1.27193e-05 -*RES -1 *1003:X *1121:D 30.0537 -*END - -*D_NET *72 0.000311567 -*CONN -*I *1122:D I *D sky130_fd_sc_hd__dfstp_2 -*I *996:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1122:D 0.000155784 -2 *996:X 0.000155784 -*RES -1 *996:X *1122:D 31.0235 -*END - -*D_NET *73 0.000374235 -*CONN -*I *1123:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *994:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1123:D 0.000168559 -2 *994:X 0.000168559 -3 *1123:D *216:59 3.71173e-05 -*RES -1 *994:X *1123:D 31.0235 -*END - -*D_NET *74 0.00152249 -*CONN -*I *1124:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *992:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1124:D 0.000226038 -2 *992:X 0.000226038 -3 *1124:D *1124:RESET_B 4.70402e-05 -4 *1124:D *216:50 0.00043038 -5 *1124:D *216:56 0.000311298 -6 *1124:D *226:7 0.0002817 -*RES -1 *992:X *1124:D 30.3138 -*END - -*D_NET *75 0.000962542 -*CONN -*I *1125:D I *D sky130_fd_sc_hd__dfstp_1 -*I *990:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1125:D 0.000304886 -2 *990:Y 0.000304886 -3 *1125:D *984:A 1.27831e-06 -4 *1125:D *988:A1 0.000149868 -5 *1125:D *990:C 9.19886e-06 -6 *1125:D *150:12 7.69735e-05 -7 *1125:D *222:55 0.000115451 -8 *1125:D *296:235 0 -*RES -1 *990:Y *1125:D 34.9002 -*END - -*D_NET *76 0.00116578 -*CONN -*I *1126:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *979:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1126:D 0.000362135 -2 *979:X 0.000362135 -3 *1126:D *1126:RESET_B 0.000319133 -4 *1126:D *234:5 0.000122378 -*RES -1 *979:X *1126:D 28.65 -*END - -*D_NET *77 0.00134238 -*CONN -*I *1127:D I *D sky130_fd_sc_hd__dfstp_1 -*I *978:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1127:D 0.000216518 -2 *978:Y 0.000216518 -3 *1127:D *978:B1 6.92705e-05 -4 *1127:D *1127:SET_B 0.00012316 -5 *1127:D *235:11 4.91225e-06 -6 *1127:D *296:73 0.000593823 -7 *1127:D *296:216 0.00011818 -*RES -1 *978:Y *1127:D 29.3975 -*END - -*D_NET *78 0.000382256 -*CONN -*I *1128:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *975:Y O *D sky130_fd_sc_hd__o2bb2ai_1 -*CAP -1 *1128:D 0.00011381 -2 *975:Y 0.00011381 -3 *1128:D *974:A 5.04734e-05 -4 *1128:D *975:A2_N 2.55493e-05 -5 *1128:D *975:B2 6.92705e-05 -6 *1128:D *160:6 9.34396e-06 -*RES -1 *975:Y *1128:D 30.4689 -*END - -*D_NET *79 0.00112868 -*CONN -*I *1129:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *967:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1129:D 0.000329329 -2 *967:X 0.000329329 -3 *1129:D *152:13 7.67734e-06 -4 *1129:D *216:24 0.000125876 -5 *1129:D *216:26 5.92192e-05 -6 *1129:D *221:145 6.27782e-05 -7 *1129:D *223:65 4.70281e-05 -8 *967:A1 *1129:D 0.000167441 -*RES -1 *967:X *1129:D 34.6271 -*END - -*D_NET *80 0.000910569 -*CONN -*I *1130:D I *D sky130_fd_sc_hd__dfstp_1 -*I *965:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1130:D 0.000170741 -2 *965:X 0.000170741 -3 *1130:D *1078:A0 0.000175801 -4 *1130:D *1130:SET_B 0 -5 *1130:D *1130:CLK 4.25818e-05 -6 *1130:D *216:13 0.000143482 -7 *1130:D *216:24 0 -8 *1130:D *293:61 6.5568e-05 -9 *965:A2 *1130:D 0.000141654 -*RES -1 *965:X *1130:D 35.321 -*END - -*D_NET *81 0.00125356 -*CONN -*I *1131:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *963:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1131:D 0.000463177 -2 *963:X 0.000463177 -3 *1131:D *1037:B 9.25565e-05 -4 *1131:D *1039:B 0 -5 *1131:D *1131:RESET_B 4.26175e-05 -6 *1131:D *1153:A 2.63292e-05 -7 *1131:D *221:85 1.03594e-05 -8 *1131:D *231:38 4.29019e-05 -9 *1131:D *233:8 0 -10 *1131:D *233:10 0 -11 *1131:D *293:57 2.52285e-05 -12 *1131:D *296:171 7.58991e-05 -13 *963:A1 *1131:D 1.13142e-05 -*RES -1 *963:X *1131:D 40.4406 -*END - -*D_NET *82 0.00262273 -*CONN -*I *1132:D I *D sky130_fd_sc_hd__dfstp_1 -*I *959:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1132:D 0.00071097 -2 *959:Y 0.00071097 -3 *1132:D *959:B 0.000145849 -4 *1132:D *962:C 0.000107496 -5 *1132:D *980:A 7.54728e-05 -6 *1132:D *1077:S 0.000166041 -7 *1132:D *1132:CLK 0.000229893 -8 *1132:D *219:14 0.00027538 -9 *1132:D *242:30 0.000200656 -*RES -1 *959:Y *1132:D 45.2366 -*END - -*D_NET *83 0.000958181 -*CONN -*I *1133:D I *D sky130_fd_sc_hd__dfstp_1 -*I *948:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1133:D 0.000214369 -2 *948:Y 0.000214369 -3 *1133:D *243:66 0.000154424 -4 *1133:D *296:504 9.37475e-05 -5 *1133:D *296:509 5.71992e-05 -6 *8:10 *1133:D 0.000224072 -*RES -1 *948:Y *1133:D 35.8756 -*END - -*D_NET *84 0.00127117 -*CONN -*I *1134:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *942:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1134:D 0.000525854 -2 *942:Y 0.000525854 -3 *1134:D *942:B1 2.16355e-05 -4 *1134:D *1134:RESET_B 4.54155e-05 -5 *1134:D *254:7 0.000109421 -6 *933:B *1134:D 4.29906e-05 -*RES -1 *942:Y *1134:D 31.4712 -*END - -*D_NET *85 0.00184013 -*CONN -*I *1135:D I *D sky130_fd_sc_hd__dfstp_1 -*I *939:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1135:D 0.000659886 -2 *939:Y 0.000659886 -3 *1135:D *930:A2 1.66771e-05 -4 *1135:D *934:B 1.53777e-06 -5 *1135:D *935:B 0 -6 *1135:D *938:A 2.44829e-05 -7 *1135:D *938:B 9.95542e-06 -8 *1135:D *938:C 1.37189e-05 -9 *1135:D *939:A 0.000117376 -10 *1135:D *939:B 0.000168313 -11 *1135:D *1135:SET_B 5.71849e-05 -12 *1135:D *114:8 3.19251e-05 -13 *1135:D *131:17 2.55379e-05 -14 *1135:D *254:26 5.26719e-05 -15 *1135:D *256:16 9.80242e-07 -*RES -1 *939:Y *1135:D 44.0694 -*END - -*D_NET *86 0.000922989 -*CONN -*I *1136:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *935:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1136:D 0.000426818 -2 *935:Y 0.000426818 -3 *1136:D *1136:RESET_B 4.70402e-05 -4 *1136:D *256:5 2.23124e-05 -*RES -1 *935:Y *1136:D 28.65 -*END - -*D_NET *87 0.000958497 -*CONN -*I *1137:D I *D sky130_fd_sc_hd__dfstp_1 -*I *931:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1137:D 0.000328098 -2 *931:Y 0.000328098 -3 *1137:D *361:DIODE 3.62662e-06 -4 *1137:D *922:A 4.87439e-05 -5 *1137:D *931:C 5.98304e-05 -6 *1137:D *114:67 8.68835e-06 -7 *1137:D *127:11 0.000181411 -*RES -1 *931:Y *1137:D 33.7966 -*END - -*D_NET *88 0.00195139 -*CONN -*I *1138:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *921:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *1138:D 0.000706076 -2 *921:X 0.000706076 -3 *1138:D *217:23 0.000393722 -4 *1138:D *217:28 0.000142074 -5 *1138:D *217:35 3.44412e-06 -*RES -1 *921:X *1138:D 41.0064 -*END - -*D_NET *89 0.00202356 -*CONN -*I *1139:D I *D sky130_fd_sc_hd__dfstp_1 -*I *920:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1139:D 0.000612039 -2 *920:Y 0.000612039 -3 *1139:D *365:DIODE 3.00829e-05 -4 *1139:D *918:A 9.91783e-05 -5 *1139:D *920:A1 0.000142139 -6 *1139:D *1139:SET_B 6.34771e-05 -7 *1139:D *261:5 0.000185736 -8 *1139:D *296:860 0.000278871 -*RES -1 *920:Y *1139:D 47.5223 -*END - -*D_NET *90 0.00148235 -*CONN -*I *1140:D I *D sky130_fd_sc_hd__dfrtn_1 -*I *916:X O *D sky130_fd_sc_hd__a22o_1 -*CAP -1 *1140:D 0.000678393 -2 *916:X 0.000678393 -3 *1140:D *364:DIODE 1.99195e-05 -4 *1140:D *131:8 3.25052e-05 -5 *1140:D *217:35 6.96986e-05 -6 *1140:D *217:42 3.44412e-06 -*RES -1 *916:X *1140:D 43.8007 -*END - -*D_NET *91 0.0021883 -*CONN -*I *1141:D I *D sky130_fd_sc_hd__dfrtp_2 -*I *910:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1141:D 0.000629998 -2 *910:X 0.000629998 -3 *1141:D *907:A 0.000176652 -4 *1141:D *909:C 0 -5 *1141:D *109:42 9.62085e-05 -6 *1141:D *217:11 0.000317475 -7 *1068:S *1141:D 0.00033797 -*RES -1 *910:X *1141:D 43.0161 -*END - -*D_NET *92 0.000786421 -*CONN -*I *1142:D I *D sky130_fd_sc_hd__dfstp_1 -*I *908:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1142:D 0.000308941 -2 *908:X 0.000308941 -3 *1142:D *1069:A1 3.17869e-05 -4 *1142:D *1070:A0 3.49937e-05 -5 *1142:D *1098:CLK 0 -6 *1142:D *115:10 4.73113e-06 -7 *1142:D *257:19 9.70267e-05 -*RES -1 *908:X *1142:D 35.4548 -*END - -*D_NET *93 0.000712812 -*CONN -*I *1143:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *906:X O *D sky130_fd_sc_hd__a21bo_1 -*CAP -1 *1143:D 0.000238663 -2 *906:X 0.000238663 -3 *1143:D *219:34 0 -4 *1143:D *257:36 0.000122068 -5 *906:A1 *1143:D 6.3657e-05 -6 *906:A2 *1143:D 4.97617e-05 -*RES -1 *906:X *1143:D 32.1327 -*END - -*D_NET *94 0.00052739 -*CONN -*I *1144:D I *D sky130_fd_sc_hd__dfstp_1 -*I *904:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1144:D 0.00014319 -2 *904:Y 0.00014319 -3 *1144:D *904:A 0.000116502 -4 *1144:D *1172:A 0.000124508 -*RES -1 *904:Y *1144:D 31.0235 -*END - -*D_NET *95 0.000512722 -*CONN -*I *1145:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *896:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1145:D 0.000218068 -2 *896:X 0.000218068 -3 *1145:D *1154:A 6.50586e-05 -4 *4:11 *1145:D 1.15273e-05 -*RES -1 *896:X *1145:D 22.0188 -*END - -*D_NET *96 0.000409438 -*CONN -*I *1146:D I *D sky130_fd_sc_hd__dfstp_1 -*I *885:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1146:D 0.000106794 -2 *885:X 0.000106794 -3 *1146:D *1133:CLK 0.000130777 -4 *1146:D *247:19 6.50727e-05 -5 *1146:D *250:10 0 -*RES -1 *885:X *1146:D 30.4689 -*END - -*D_NET *97 0.000394075 -*CONN -*I *1147:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *883:X O *D sky130_fd_sc_hd__clkbuf_1 -*CAP -1 *1147:D 0.000167654 -2 *883:X 0.000167654 -3 *1147:D *249:25 5.8767e-05 -*RES -1 *883:X *1147:D 30.6083 -*END - -*D_NET *98 0.000670323 -*CONN -*I *1148:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1055:X O *D sky130_fd_sc_hd__xor2_1 -*CAP -1 *1148:D 0.000242136 -2 *1055:X 0.000242136 -3 *1148:D *943:B 0 -4 *1148:D *223:13 2.67986e-05 -5 *1148:D *243:56 8.88202e-05 -6 *1148:D *243:66 7.04331e-05 -7 *1148:D *252:8 0 -*RES -1 *1055:X *1148:D 34.3133 -*END - -*D_NET *99 0.000580098 -*CONN -*I *883:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *882:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *883:A 0.00013262 -2 *882:X 0.00013262 -3 *883:A *249:25 0.000314858 -*RES -1 *882:X *883:A 22.9833 -*END - -*D_NET *100 0.000257762 -*CONN -*I *885:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *884:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *885:A 7.17456e-05 -2 *884:X 7.17456e-05 -3 *885:A *250:11 0.000114271 -*RES -1 *884:X *885:A 20.7649 -*END - -*D_NET *101 0.00132728 -*CONN -*I *888:A I *D sky130_fd_sc_hd__nand3_1 -*I *886:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *888:A 0.000367876 -2 *886:X 0.000367876 -3 *888:A *887:B_N 6.46815e-05 -4 *888:A *892:B1 0.000142277 -5 *888:A *1145:RESET_B 0.000131364 -6 *888:A *114:67 0.000253206 -7 *888:A *220:79 0 -*RES -1 *886:X *888:A 38.1181 -*END - -*D_NET *102 0.00107579 -*CONN -*I *888:B I *D sky130_fd_sc_hd__nand3_1 -*I *887:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *888:B 0.000175501 -2 *887:X 0.000175501 -3 *888:B *896:A1 0.00034801 -4 *888:B *1095:D 0 -5 *888:B *114:67 3.37843e-05 -6 *888:B *220:84 0.000342993 -*RES -1 *887:X *888:B 33.9359 -*END - -*D_NET *103 0.00311875 -*CONN -*I *896:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *888:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *896:A1 0.000861323 -2 *888:Y 0.000861323 -3 *896:A1 *1095:D 0.000117212 -4 *896:A1 *1096:D 0.000204605 -5 *896:A1 *1098:CLK 0 -6 *896:A1 *1145:CLK 0.000194491 -7 *896:A1 *1154:A 0.000117359 -8 *896:A1 *220:84 0.000140309 -9 *896:A1 *264:8 0.000154145 -10 *888:B *896:A1 0.00034801 -11 *4:11 *896:A1 0.000119972 -*RES -1 *888:Y *896:A1 48.433 -*END - -*D_NET *104 0.000246629 -*CONN -*I *892:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *889:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *892:A1 9.57617e-05 -2 *889:Y 9.57617e-05 -3 *892:A1 *889:B 2.13488e-05 -4 *892:A1 *892:A2 2.57986e-05 -5 *892:A1 *892:B1 2.91008e-06 -6 *892:A1 *249:48 5.04829e-06 -*RES -1 *889:Y *892:A1 20.9096 -*END - -*D_NET *105 0.00042335 -*CONN -*I *892:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *890:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *892:A2 0.000138848 -2 *890:X 0.000138848 -3 *892:A2 *896:A2 2.15394e-05 -4 *892:A2 *1098:CLK 9.83156e-05 -5 *892:A1 *892:A2 2.57986e-05 -*RES -1 *890:X *892:A2 30.6083 -*END - -*D_NET *106 0.00480992 -*CONN -*I *892:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *900:C I *D sky130_fd_sc_hd__nand3b_1 -*I *891:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *892:B1 0.000714318 -2 *900:C 0.000396807 -3 *891:X 9.50159e-05 -4 *106:8 0.00120614 -5 *892:B1 *887:B_N 9.00181e-05 -6 *892:B1 *891:B1 0.000107729 -7 *892:B1 *904:B 1.58551e-05 -8 *892:B1 *904:C 0.000181492 -9 *892:B1 *1096:D 2.08133e-05 -10 *892:B1 *1172:A 6.36477e-05 -11 *892:B1 *114:67 4.69227e-05 -12 *892:B1 *248:38 0.000798219 -13 *892:B1 *248:42 0.000359621 -14 *892:B1 *248:125 0.000107496 -15 *892:B1 *267:30 3.5217e-05 -16 *900:C *900:A_N 0.00020239 -17 *900:C *1031:A 5.46928e-05 -18 *900:C *247:48 2.88829e-05 -19 *900:C *247:56 5.20546e-06 -20 *106:8 *891:B1 7.14746e-05 -21 *106:8 *111:11 6.27718e-05 -22 *888:A *892:B1 0.000142277 -23 *892:A1 *892:B1 2.91008e-06 -*RES -1 *891:X *106:8 15.8893 -2 *106:8 *900:C 20.4526 -3 *106:8 *892:B1 38.1483 -*END - -*D_NET *107 0.000182306 -*CONN -*I *896:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *892:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *896:A2 8.03831e-05 -2 *892:Y 8.03831e-05 -3 *892:A2 *896:A2 2.15394e-05 -*RES -1 *892:Y *896:A2 29.3303 -*END - -*D_NET *108 0.00118895 -*CONN -*I *902:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *895:A I *D sky130_fd_sc_hd__nand2_2 -*I *893:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *902:A 0.000173112 -2 *895:A 5.91846e-05 -3 *893:Y 3.07124e-05 -4 *108:5 0.000263009 -5 *895:A *893:B1 0.000118056 -6 *895:A *247:76 3.20264e-05 -7 *902:A *217:7 6.3657e-05 -8 *902:A *248:65 0.00013491 -9 *902:A *248:69 0.000111722 -10 *108:5 *248:69 6.08467e-05 -11 *926:A *895:A 8.89094e-05 -12 *1068:S *895:A 5.28067e-05 -*RES -1 *893:Y *108:5 9.97254 -2 *108:5 *895:A 20.9116 -3 *108:5 *902:A 14.4094 -*END - -*D_NET *109 0.0038572 -*CONN -*I *907:B I *D sky130_fd_sc_hd__nand3_1 -*I *909:B I *D sky130_fd_sc_hd__nand3_1 -*I *895:B I *D sky130_fd_sc_hd__nand2_2 -*I *901:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *932:B I *D sky130_fd_sc_hd__nand2_1 -*I *894:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *907:B 0 -2 *909:B 9.57507e-05 -3 *895:B 2.20051e-05 -4 *901:A 0 -5 *932:B 0.000150487 -6 *894:Y 0 -7 *109:42 0.000355524 -8 *109:27 0.000787128 -9 *109:9 0.000246195 -10 *109:4 0.000601058 -11 *895:B *923:A2 8.70622e-06 -12 *909:B *907:A 0.000141428 -13 *909:B *910:B1_N 5.62448e-05 -14 *909:B *115:17 2.30636e-05 -15 *932:B *941:A 0.000145869 -16 *932:B *942:B1 0.000111352 -17 *932:B *131:8 5.88662e-05 -18 *932:B *262:15 3.15828e-05 -19 *109:9 *114:8 6.46815e-05 -20 *109:9 *262:15 7.04376e-05 -21 *109:27 *894:A 6.36477e-05 -22 *109:27 *923:A1 0.000265176 -23 *109:27 *923:A2 4.38138e-05 -24 *109:27 *262:15 5.04829e-06 -25 *109:42 *910:B1_N 2.81367e-05 -26 *109:42 *247:68 3.96696e-05 -27 *941:B *932:B 0.000110458 -28 *1068:S *109:42 2.09356e-05 -29 *1141:D *109:42 9.62085e-05 -30 *18:7 *895:B 0 -31 *18:7 *109:42 0.000110458 -32 *18:20 *109:42 7.74679e-05 -33 *45:7 *109:27 9.94284e-06 -34 *45:7 *109:42 1.58551e-05 -*RES -1 *894:Y *109:4 9.24915 -2 *109:4 *109:9 3.54464 -3 *109:9 *932:B 24.0169 -4 *109:9 *901:A 9.24915 -5 *109:4 *109:27 12.9247 -6 *109:27 *895:B 9.82786 -7 *109:27 *109:42 12.3932 -8 *109:42 *909:B 17.2985 -9 *109:42 *907:B 13.7491 -*END - -*D_NET *110 0.00141213 -*CONN -*I *898:A I *D sky130_fd_sc_hd__nand2_1 -*I *897:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *898:A 0.000311953 -2 *897:Y 0.000311953 -3 *898:A *905:C 3.93047e-05 -4 *898:A *1157:A 0.000205687 -5 *898:A *219:34 0.000400955 -6 *898:A *268:19 3.82228e-05 -7 *374:DIODE *898:A 2.16355e-05 -8 *1150:A *898:A 7.73683e-05 -9 *8:12 *898:A 5.04829e-06 -*RES -1 *897:Y *898:A 37.531 -*END - -*D_NET *111 0.00273758 -*CONN -*I *900:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *1025:A I *D sky130_fd_sc_hd__clkinv_4 -*I *903:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *898:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *900:A_N 9.41439e-05 -2 *1025:A 9.51883e-05 -3 *903:A2 0 -4 *898:Y 0.000307466 -5 *111:19 0.000229196 -6 *111:11 0.000347329 -7 *900:A_N *904:C 9.96342e-05 -8 *900:A_N *1031:A 0.000111722 -9 *900:A_N *247:48 2.41483e-05 -10 *900:A_N *296:344 0.000143125 -11 *900:A_N *307:11 1.31832e-05 -12 *1025:A *296:344 0.000213795 -13 *1025:A *307:11 8.67638e-05 -14 *111:11 *891:B1 0.000113244 -15 *111:11 *898:B 6.27718e-05 -16 *111:11 *903:A1 4.76161e-06 -17 *111:11 *1032:B1 4.38769e-05 -18 *111:11 *1157:A 3.96508e-05 -19 *111:11 *115:61 4.18788e-05 -20 *111:19 *1032:B1 0.000110458 -21 *900:C *900:A_N 0.00020239 -22 *8:12 *111:11 0.000290087 -23 *106:8 *111:11 6.27718e-05 -*RES -1 *898:Y *111:11 18.9185 -2 *111:11 *903:A2 9.24915 -3 *111:11 *111:19 5.778 -4 *111:19 *1025:A 17.6574 -5 *111:19 *900:A_N 18.823 -*END - -*D_NET *112 0.00117193 -*CONN -*I *900:B I *D sky130_fd_sc_hd__nand3b_1 -*I *903:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *899:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *900:B 0 -2 *903:B1_N 0.000170116 -3 *899:Y 0.000141859 -4 *112:8 0.000311975 -5 *903:B1_N *1032:B1 0.00033283 -6 *112:8 *1032:B1 0.000131243 -7 *112:8 *1032:B2 0 -8 *112:8 *1072:S 6.08467e-05 -9 *8:12 *903:B1_N 2.30636e-05 -*RES -1 *899:Y *112:8 17.135 -2 *112:8 *903:B1_N 19.2141 -3 *112:8 *900:B 13.7491 -*END - -*D_NET *113 0.00277671 -*CONN -*I *904:A I *D sky130_fd_sc_hd__nand3_1 -*I *900:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *904:A 0.000550273 -2 *900:Y 0.000550273 -3 *904:A *899:A 0.000161956 -4 *904:A *904:C 0.00014755 -5 *904:A *1032:A1_N 0 -6 *904:A *1032:B1 6.88795e-05 -7 *904:A *1032:B2 0.000415827 -8 *904:A *1072:A1 0.00070349 -9 *904:A *1072:S 4.79743e-05 -10 *904:A *247:48 1.39873e-05 -11 *1144:D *904:A 0.000116502 -*RES -1 *900:Y *904:A 45.3574 -*END - -*D_NET *114 0.012947 -*CONN -*I *904:B I *D sky130_fd_sc_hd__nand3_1 -*I *905:B I *D sky130_fd_sc_hd__nand3_1 -*I *931:C I *D sky130_fd_sc_hd__nand3_1 -*I *938:B I *D sky130_fd_sc_hd__nand3_1 -*I *934:B I *D sky130_fd_sc_hd__nand3_1 -*I *901:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *904:B 0.000104049 -2 *905:B 0.00046339 -3 *931:C 4.58584e-05 -4 *938:B 1.4505e-05 -5 *934:B 9.9114e-05 -6 *901:X 0.000462231 -7 *114:67 0.00238484 -8 *114:38 0.00277134 -9 *114:19 0.00099212 -10 *114:8 0.000630887 -11 *904:B *904:C 4.87439e-05 -12 *905:B *898:B 0.000141123 -13 *905:B *1067:A0 0.000110306 -14 *905:B *1145:RESET_B 0 -15 *905:B *115:49 6.27782e-05 -16 *905:B *115:61 9.43286e-05 -17 *905:B *220:16 0.000258561 -18 *905:B *220:79 0.000347209 -19 *905:B *249:30 1.36815e-05 -20 *905:B *268:8 0 -21 *931:C *361:DIODE 9.10629e-06 -22 *934:B *938:A 6.27782e-05 -23 *934:B *256:5 6.27782e-05 -24 *938:B *939:A 6.98337e-06 -25 *938:B *256:16 6.64392e-05 -26 *114:8 *358:DIODE 0 -27 *114:8 *937:A 6.80843e-05 -28 *114:8 *939:A 0.00012568 -29 *114:8 *942:B1 0 -30 *114:8 *1062:A0 0.000155504 -31 *114:8 *1062:S 0 -32 *114:8 *131:17 0 -33 *114:8 *296:922 0 -34 *114:19 *939:A 2.86215e-05 -35 *114:38 *922:A 1.66626e-05 -36 *114:38 *930:A2 0.00151382 -37 *114:38 *939:A 9.85683e-06 -38 *114:67 *361:DIODE 9.71182e-06 -39 *114:67 *922:A 3.96345e-05 -40 *114:67 *1098:CLK 9.58376e-05 -41 *114:67 *127:11 0.000103102 -42 *114:67 *267:30 0.000586549 -43 *888:A *114:67 0.000253206 -44 *888:B *114:67 3.37843e-05 -45 *892:B1 *904:B 1.58551e-05 -46 *892:B1 *114:67 4.69227e-05 -47 *941:B *114:8 0 -48 *1135:D *934:B 1.53777e-06 -49 *1135:D *938:B 9.95542e-06 -50 *1135:D *114:8 3.19251e-05 -51 *1137:D *931:C 5.98304e-05 -52 *1137:D *114:67 8.68835e-06 -53 *1149:A *114:67 8.32204e-06 -54 *4:11 *114:67 0.000239209 -55 *58:10 *114:8 0.000166838 -56 *109:9 *114:8 6.46815e-05 -*RES -1 *901:X *114:8 27.9316 -2 *114:8 *934:B 15.7672 -3 *114:8 *114:19 1.29461 -4 *114:19 *938:B 14.4725 -5 *114:19 *114:38 15.9792 -6 *114:38 *931:C 10.9069 -7 *114:38 *114:67 44.7597 -8 *114:67 *905:B 32.9301 -9 *114:67 *904:B 11.6364 -*END - -*D_NET *115 0.010725 -*CONN -*I *1032:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *903:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *905:A I *D sky130_fd_sc_hd__nand3_1 -*I *909:A I *D sky130_fd_sc_hd__nand3_1 -*I *907:A I *D sky130_fd_sc_hd__nand3_1 -*I *902:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1032:B1 0.000338595 -2 *903:A1 2.13352e-05 -3 *905:A 0 -4 *909:A 0 -5 *907:A 8.83017e-05 -6 *902:X 0.000386883 -7 *115:61 0.000596183 -8 *115:49 0.00154908 -9 *115:17 0.000282139 -10 *115:10 0.00189354 -11 *907:A *268:43 2.30636e-05 -12 *1032:B1 *899:A 6.27782e-05 -13 *1032:B1 *1031:A 7.68903e-05 -14 *1032:B1 *1072:S 0.000215127 -15 *1032:B1 *296:344 4.23969e-05 -16 *115:10 *1069:A1 0.000285737 -17 *115:10 *217:7 6.64392e-05 -18 *115:10 *248:65 0.000165414 -19 *115:17 *268:43 0.000420412 -20 *115:49 *906:B1_N 7.26748e-05 -21 *115:49 *1047:B 6.08467e-05 -22 *115:49 *1048:A 7.56374e-05 -23 *115:49 *1067:S 4.24215e-05 -24 *115:49 *257:36 2.8797e-05 -25 *115:49 *258:13 7.04558e-05 -26 *115:61 *891:A2 6.96979e-05 -27 *115:61 *891:B1 0.000115154 -28 *115:61 *1067:A0 2.29454e-05 -29 *115:61 *220:16 0.000110306 -30 *115:61 *249:30 0.000134613 -31 *903:B1_N *1032:B1 0.00033283 -32 *904:A *1032:B1 6.88795e-05 -33 *905:B *115:49 6.27782e-05 -34 *905:B *115:61 9.43286e-05 -35 *909:B *907:A 0.000141428 -36 *909:B *115:17 2.30636e-05 -37 *1069:A0 *115:49 0.000403051 -38 *1069:S *115:17 5.15387e-05 -39 *1069:S *115:49 0.000871509 -40 *1141:D *907:A 0.000176652 -41 *1142:D *115:10 4.73113e-06 -42 *1150:A *115:49 0.000161234 -43 *1151:A *115:49 5.03545e-06 -44 *8:12 *1032:B1 0.000387937 -45 *8:12 *115:61 0.000212898 -46 *47:15 *115:49 2.08274e-05 -47 *47:15 *115:61 5.62019e-05 -48 *111:11 *903:A1 4.76161e-06 -49 *111:11 *1032:B1 4.38769e-05 -50 *111:11 *115:61 4.18788e-05 -51 *111:19 *1032:B1 0.000110458 -52 *112:8 *1032:B1 0.000131243 -*RES -1 *902:X *115:10 28.4184 -2 *115:10 *115:17 6.89638 -3 *115:17 *907:A 22.0503 -4 *115:17 *909:A 9.24915 -5 *115:10 *115:49 37.2623 -6 *115:49 *905:A 9.24915 -7 *115:49 *115:61 17.822 -8 *115:61 *903:A1 9.82786 -9 *115:61 *1032:B1 32.057 -*END - -*D_NET *116 0.00156622 -*CONN -*I *904:C I *D sky130_fd_sc_hd__nand3_1 -*I *903:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *904:C 0.00028957 -2 *903:Y 0.00028957 -3 *904:C *1172:A 0.000113244 -4 *904:C *296:344 8.93038e-05 -5 *904:C *307:11 0.000307107 -6 *892:B1 *904:C 0.000181492 -7 *900:A_N *904:C 9.96342e-05 -8 *904:A *904:C 0.00014755 -9 *904:B *904:C 4.87439e-05 -*RES -1 *903:Y *904:C 39.3611 -*END - -*D_NET *117 0.00130138 -*CONN -*I *906:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *905:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *906:B1_N 0.000242295 -2 *905:Y 0.000242295 -3 *906:B1_N *1048:A 0.000109421 -4 *906:B1_N *1067:A0 0.000109427 -5 *906:B1_N *1067:S 7.0179e-06 -6 *906:B1_N *220:26 0.000413719 -7 *906:B1_N *257:36 9.29919e-05 -8 *906:A2 *906:B1_N 1.15389e-05 -9 *115:49 *906:B1_N 7.26748e-05 -*RES -1 *905:Y *906:B1_N 35.5997 -*END - -*D_NET *118 0.000458822 -*CONN -*I *908:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *907:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *908:B1_N 0.000145343 -2 *907:Y 0.000145343 -3 *908:B1_N *907:C 0.000159917 -4 *1068:A0 *908:B1_N 3.18748e-06 -5 *18:31 *908:B1_N 5.03013e-06 -*RES -1 *907:Y *908:B1_N 20.8855 -*END - -*D_NET *119 0.000920487 -*CONN -*I *910:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *909:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *910:B1_N 0.000213793 -2 *909:Y 0.000213793 -3 *910:B1_N *217:10 0.000125744 -4 *909:B *910:B1_N 5.62448e-05 -5 *910:A1 *910:B1_N 0.000159917 -6 *18:20 *910:B1_N 0.000122858 -7 *109:42 *910:B1_N 2.81367e-05 -*RES -1 *909:Y *910:B1_N 34.9002 -*END - -*D_NET *120 0.00111591 -*CONN -*I *916:A1 I *D sky130_fd_sc_hd__a22o_1 -*I *921:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *911:Y O *D sky130_fd_sc_hd__nor3b_1 -*CAP -1 *916:A1 6.01874e-05 -2 *921:B1 0.000173529 -3 *911:Y 4.83423e-05 -4 *120:7 0.000282059 -5 *916:A1 *912:B 2.32865e-05 -6 *916:A1 *916:B1 9.29919e-05 -7 *921:B1 *912:B 2.94933e-05 -8 *921:B1 *916:B1 8.36586e-06 -9 *921:B1 *921:A1 0.000155493 -10 *921:B1 *217:23 0.000113968 -11 *921:B1 *260:20 1.6169e-05 -12 *921:B1 *261:8 9.50078e-05 -13 *120:7 *913:B 5.04829e-06 -14 *120:7 *260:15 1.19705e-05 -*RES -1 *911:Y *120:7 14.4725 -2 *120:7 *921:B1 19.7659 -3 *120:7 *916:A1 15.5811 -*END - -*D_NET *121 0.00189116 -*CONN -*I *929:C1 I *D sky130_fd_sc_hd__o211ai_4 -*I *923:C1 I *D sky130_fd_sc_hd__o211a_1 -*I *916:A2 I *D sky130_fd_sc_hd__a22o_1 -*I *912:Y O *D sky130_fd_sc_hd__nor2_2 -*CAP -1 *929:C1 7.12735e-05 -2 *923:C1 0.00013698 -3 *916:A2 0.00010975 -4 *912:Y 0 -5 *121:13 0.000323311 -6 *121:4 0.000224807 -7 *916:A2 *912:A 6.64392e-05 -8 *916:A2 *912:B 7.57307e-05 -9 *916:A2 *131:8 0.000412365 -10 *916:A2 *262:15 6.3657e-05 -11 *923:C1 *247:87 7.06708e-05 -12 *923:C1 *247:91 1.03594e-05 -13 *929:C1 *247:87 1.50924e-05 -14 *121:13 *131:8 0.000310724 -*RES -1 *912:Y *121:4 9.24915 -2 *121:4 *916:A2 14.4335 -3 *121:4 *121:13 4.05102 -4 *121:13 *923:C1 21.7421 -5 *121:13 *929:C1 11.1059 -*END - -*D_NET *122 0.00103479 -*CONN -*I *915:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *913:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *915:A2 0.000124702 -2 *913:Y 0.000124702 -3 *915:A2 *911:A 0.0001837 -4 *915:A2 *911:B 4.94594e-05 -5 *915:A2 *916:B1 6.78364e-06 -6 *915:A2 *921:A1 0.000413073 -7 *915:A2 *260:15 0.000110458 -8 *911:C_N *915:A2 9.94284e-06 -9 *20:15 *915:A2 1.19705e-05 -*RES -1 *913:Y *915:A2 24.6472 -*END - -*D_NET *123 0.0019284 -*CONN -*I *915:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *921:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *914:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *915:B1_N 0 -2 *921:A1 0.000499831 -3 *914:Y 6.94869e-05 -4 *123:5 0.000569318 -5 *921:A1 *921:A2 5.04829e-06 -6 *921:A1 *217:23 7.6719e-06 -7 *921:A1 *260:20 0.000144531 -8 *921:A1 *261:8 1.64943e-05 -9 *915:A2 *921:A1 0.000413073 -10 *921:B1 *921:A1 0.000155493 -11 *20:15 *921:A1 2.41916e-05 -12 *20:15 *123:5 2.32594e-05 -*RES -1 *914:Y *123:5 10.5271 -2 *123:5 *921:A1 28.735 -3 *123:5 *915:B1_N 9.24915 -*END - -*D_NET *124 0.00172206 -*CONN -*I *920:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *916:B1 I *D sky130_fd_sc_hd__a22o_1 -*I *915:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *920:B1 0.000199402 -2 *916:B1 0.000411243 -3 *915:Y 0 -4 *124:4 0.000610645 -5 *916:B1 *911:A 1.37189e-05 -6 *916:B1 *911:B 1.41307e-05 -7 *916:B1 *260:15 2.41274e-06 -8 *916:B1 *262:15 1.03594e-05 -9 *920:B1 *268:73 3.16583e-06 -10 *911:C_N *916:B1 0.000161234 -11 *915:A2 *916:B1 6.78364e-06 -12 *916:A1 *916:B1 9.29919e-05 -13 *919:B *920:B1 7.71526e-05 -14 *921:B1 *916:B1 8.36586e-06 -15 *20:15 *916:B1 0.000110458 -*RES -1 *915:Y *124:4 9.24915 -2 *124:4 *916:B1 25.8348 -3 *124:4 *920:B1 22.5727 -*END - -*D_NET *125 0.00118778 -*CONN -*I *920:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *918:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *920:A1 0.000518961 -2 *918:Y 0.000518961 -3 *920:A1 *365:DIODE 7.72414e-06 -4 *1139:D *920:A1 0.000142139 -*RES -1 *918:Y *920:A1 36.5696 -*END - -*D_NET *126 0.000461458 -*CONN -*I *920:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *919:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *920:A2 0.000134134 -2 *919:X 0.000134134 -3 *920:A2 *217:23 0.000193189 -*RES -1 *919:X *920:A2 20.8855 -*END - -*D_NET *127 0.00188143 -*CONN -*I *930:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *926:B I *D sky130_fd_sc_hd__nand3_1 -*I *924:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *930:B1_N 0 -2 *926:B 0.000259577 -3 *924:Y 0.000440537 -4 *127:11 0.000700114 -5 *926:B *930:A2 6.09486e-05 -6 *926:B *931:B 1.43698e-05 -7 *127:11 *1137:CLK 0.000121371 -8 *1137:D *127:11 0.000181411 -9 *46:8 *926:B 0 -10 *114:67 *127:11 0.000103102 -*RES -1 *924:Y *127:11 30.5381 -2 *127:11 *926:B 24.4469 -3 *127:11 *930:B1_N 9.24915 -*END - -*D_NET *128 0.0002365 -*CONN -*I *931:A I *D sky130_fd_sc_hd__nand3_1 -*I *926:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *931:A 0.000107943 -2 *926:Y 0.000107943 -3 *931:A *361:DIODE 2.06145e-05 -*RES -1 *926:Y *931:A 20.9337 -*END - -*D_NET *129 0.00034207 -*CONN -*I *928:A I *D sky130_fd_sc_hd__nand2_1 -*I *927:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *928:A 8.09038e-05 -2 *927:Y 8.09038e-05 -3 *928:A *927:B 3.28388e-05 -4 *928:A *928:B 0.000114584 -5 *928:A *930:A1 3.28388e-05 -*RES -1 *927:Y *928:A 21.4642 -*END - -*D_NET *130 0.000283187 -*CONN -*I *930:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *928:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *930:A1 0.000125174 -2 *928:Y 0.000125174 -3 *928:A *930:A1 3.28388e-05 -*RES -1 *928:Y *930:A1 21.4642 -*END - -*D_NET *131 0.00802985 -*CONN -*I *930:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *938:A I *D sky130_fd_sc_hd__nand3_1 -*I *934:A I *D sky130_fd_sc_hd__nand3_1 -*I *932:A I *D sky130_fd_sc_hd__nand2_1 -*I *929:Y O *D sky130_fd_sc_hd__o211ai_4 -*CAP -1 *930:A2 0.00122015 -2 *938:A 6.60169e-05 -3 *934:A 0 -4 *932:A 0 -5 *929:Y 0.000567429 -6 *131:19 6.60169e-05 -7 *131:17 0.00157557 -8 *131:8 0.000922852 -9 *930:A2 *931:B 3.65841e-05 -10 *930:A2 *254:26 4.18215e-06 -11 *938:A *935:B 1.61631e-05 -12 *938:A *256:5 3.96508e-05 -13 *938:A *256:16 1.88422e-05 -14 *131:8 *912:B 6.25883e-06 -15 *131:8 *941:A 1.37117e-05 -16 *131:8 *942:B1 0.000127179 -17 *131:17 *358:DIODE 5.49769e-05 -18 *131:17 *937:A 0 -19 *131:17 *940:A 0.000137335 -20 *131:17 *941:A 3.89332e-06 -21 *131:17 *942:B1 0.000190653 -22 *131:17 *254:26 0.000428952 -23 *916:A2 *131:8 0.000412365 -24 *926:B *930:A2 6.09486e-05 -25 *932:B *131:8 5.88662e-05 -26 *934:B *938:A 6.27782e-05 -27 *941:B *131:8 1.47379e-05 -28 *1135:D *930:A2 1.66771e-05 -29 *1135:D *938:A 2.44829e-05 -30 *1135:D *131:17 2.55379e-05 -31 *1140:D *131:8 3.25052e-05 -32 *114:8 *131:17 0 -33 *114:38 *930:A2 0.00151382 -34 *121:13 *131:8 0.000310724 -*RES -1 *929:Y *131:8 29.8853 -2 *131:8 *932:A 13.7491 -3 *131:8 *131:17 11.8469 -4 *131:17 *131:19 4.5 -5 *131:19 *934:A 9.24915 -6 *131:19 *938:A 11.6364 -7 *131:17 *930:A2 26.3095 -*END - -*D_NET *132 0.000295089 -*CONN -*I *931:B I *D sky130_fd_sc_hd__nand3_1 -*I *930:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *931:B 0.000122067 -2 *930:Y 0.000122067 -3 *926:B *931:B 1.43698e-05 -4 *930:A2 *931:B 3.65841e-05 -*RES -1 *930:Y *931:B 30.0537 -*END - -*D_NET *133 0.00267495 -*CONN -*I *937:A I *D sky130_fd_sc_hd__nand2_1 -*I *942:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *933:A I *D sky130_fd_sc_hd__nand2_1 -*I *941:A I *D sky130_fd_sc_hd__nand2_1 -*I *932:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *937:A 0.000155481 -2 *942:A2 0 -3 *933:A 6.3617e-05 -4 *941:A 0.00014715 -5 *932:Y 0 -6 *133:31 0.000399157 -7 *133:7 0.000255951 -8 *133:4 0.000288861 -9 *933:A *940:A 9.54356e-05 -10 *933:A *254:26 4.39919e-05 -11 *933:A *296:10 5.91119e-05 -12 *937:A *358:DIODE 4.2748e-05 -13 *937:A *939:A 4.17531e-06 -14 *937:A *296:922 6.54682e-05 -15 *941:A *940:A 4.3937e-05 -16 *941:A *262:15 3.00829e-05 -17 *941:A *296:10 0.000200866 -18 *941:A *296:12 3.20264e-05 -19 *133:31 *358:DIODE 5.59266e-05 -20 *932:B *941:A 0.000145869 -21 *58:10 *937:A 1.03594e-05 -22 *58:10 *133:7 6.08467e-05 -23 *58:10 *133:31 0.000388199 -24 *114:8 *937:A 6.80843e-05 -25 *131:8 *941:A 1.37117e-05 -26 *131:17 *937:A 0 -27 *131:17 *941:A 3.89332e-06 -*RES -1 *932:Y *133:4 9.24915 -2 *133:4 *133:7 5.2234 -3 *133:7 *941:A 19.6998 -4 *133:7 *933:A 16.4116 -5 *133:4 *133:31 10.1043 -6 *133:31 *942:A2 13.7491 -7 *133:31 *937:A 18.6595 -*END - -*D_NET *134 0.0007528 -*CONN -*I *935:A I *D sky130_fd_sc_hd__nand2_1 -*I *933:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *935:A 0.000125823 -2 *933:Y 0.000125823 -3 *935:A *1136:RESET_B 9.17123e-05 -4 *935:A *254:26 0.000341612 -5 *935:A *296:6 3.95075e-05 -6 *935:A *296:8 2.8322e-05 -*RES -1 *933:Y *935:A 33.4828 -*END - -*D_NET *135 0.000217364 -*CONN -*I *935:B I *D sky130_fd_sc_hd__nand2_1 -*I *934:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *935:B 8.84866e-05 -2 *934:Y 8.84866e-05 -3 *935:B *256:5 2.42273e-05 -4 *938:A *935:B 1.61631e-05 -5 *1135:D *935:B 0 -*RES -1 *934:Y *935:B 20.9337 -*END - -*D_NET *136 0.000747599 -*CONN -*I *939:A I *D sky130_fd_sc_hd__nand2_1 -*I *937:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *939:A 0.000201666 -2 *937:Y 0.000201666 -3 *939:A *938:C 2.57986e-05 -4 *939:A *939:B 1.47978e-05 -5 *939:A *256:16 7.5301e-06 -6 *939:A *296:922 3.44712e-06 -7 *937:A *939:A 4.17531e-06 -8 *938:B *939:A 6.98337e-06 -9 *1135:D *939:A 0.000117376 -10 *114:8 *939:A 0.00012568 -11 *114:19 *939:A 2.86215e-05 -12 *114:38 *939:A 9.85683e-06 -*RES -1 *937:Y *939:A 33.0584 -*END - -*D_NET *137 0.000564677 -*CONN -*I *939:B I *D sky130_fd_sc_hd__nand2_1 -*I *938:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *939:B 0.000185908 -2 *938:Y 0.000185908 -3 *939:B *938:C 9.75148e-06 -4 *939:A *939:B 1.47978e-05 -5 *1135:D *939:B 0.000168313 -*RES -1 *938:Y *939:B 22.2841 -*END - -*D_NET *138 0.00118202 -*CONN -*I *942:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *941:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *942:B1 0.000217007 -2 *941:Y 0.000217007 -3 *942:B1 *358:DIODE 0.000161249 -4 *932:B *942:B1 0.000111352 -5 *933:B *942:B1 6.08467e-05 -6 *941:B *942:B1 7.50872e-05 -7 *1134:D *942:B1 2.16355e-05 -8 *114:8 *942:B1 0 -9 *131:8 *942:B1 0.000127179 -10 *131:17 *942:B1 0.000190653 -*RES -1 *941:Y *942:B1 35.069 -*END - -*D_NET *139 0.00225657 -*CONN -*I *946:A1 I *D sky130_fd_sc_hd__a21o_1 -*I *947:A I *D sky130_fd_sc_hd__nand3_1 -*I *1024:A I *D sky130_fd_sc_hd__clkinv_4 -*I *943:Y O *D sky130_fd_sc_hd__nor3b_2 -*CAP -1 *946:A1 0.000129393 -2 *947:A 0.000174643 -3 *1024:A 0 -4 *943:Y 0.000189288 -5 *139:18 0.000524123 -6 *139:8 0.000409375 -7 *946:A1 *946:A2 3.08156e-05 -8 *946:A1 *1091:A1 2.94779e-05 -9 *947:A *946:A2 2.13412e-06 -10 *947:A *1091:A1 8.0543e-05 -11 *947:A *140:18 2.02035e-05 -12 *947:A *247:38 3.16904e-05 -13 *139:8 *1051:A 1.50924e-05 -14 *139:8 *251:23 0.000114271 -15 *139:18 *249:12 0.0001195 -16 *8:12 *946:A1 9.94284e-06 -17 *8:12 *947:A 0.000162401 -18 *8:12 *139:18 0.000213676 -*RES -1 *943:Y *139:8 17.2744 -2 *139:8 *1024:A 13.7491 -3 *139:8 *139:18 9.54971 -4 *139:18 *947:A 22.1281 -5 *139:18 *946:A1 21.3833 -*END - -*D_NET *140 0.00191455 -*CONN -*I *946:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *947:B I *D sky130_fd_sc_hd__nand3_1 -*I *1032:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *944:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *946:A2 0.000219947 -2 *947:B 0 -3 *1032:A1_N 0.000138373 -4 *944:Y 4.00881e-05 -5 *140:18 0.000338812 -6 *140:5 0.000297327 -7 *946:A2 *1091:A1 1.66771e-05 -8 *1032:A1_N *948:B 0 -9 *1032:A1_N *247:38 6.08697e-06 -10 *140:5 *247:38 0.000118166 -11 *140:18 *947:C 0.000107496 -12 *140:18 *948:B 9.60216e-05 -13 *140:18 *1091:A1 1.05982e-05 -14 *140:18 *247:38 0.000313678 -15 *904:A *1032:A1_N 0 -16 *946:A1 *946:A2 3.08156e-05 -17 *947:A *946:A2 2.13412e-06 -18 *947:A *140:18 2.02035e-05 -19 *1032:A2_N *1032:A1_N 0.000127683 -20 *1032:A2_N *140:18 3.99086e-06 -21 *8:12 *946:A2 2.64497e-05 -*RES -1 *944:Y *140:5 10.5271 -2 *140:5 *1032:A1_N 22.1574 -3 *140:5 *140:18 9.54971 -4 *140:18 *947:B 13.7491 -5 *140:18 *946:A2 22.2298 -*END - -*D_NET *141 0.00239476 -*CONN -*I *946:B1 I *D sky130_fd_sc_hd__a21o_1 -*I *947:C I *D sky130_fd_sc_hd__nand3_1 -*I *945:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *946:B1 0.000156493 -2 *947:C 0.000527602 -3 *945:Y 0 -4 *141:4 0.000684096 -5 *946:B1 *948:A 6.55651e-05 -6 *946:B1 *243:66 3.16788e-05 -7 *946:B1 *249:12 8.72115e-06 -8 *946:B1 *253:10 0.000136545 -9 *947:C *948:A 0.000154145 -10 *947:C *948:B 3.99086e-06 -11 *947:C *1091:A0 3.66984e-05 -12 *947:C *1091:A1 0.000216467 -13 *947:C *247:38 0.000125653 -14 *1032:A2_N *947:C 9.80242e-07 -15 *8:12 *946:B1 0.000138632 -16 *140:18 *947:C 0.000107496 -*RES -1 *945:Y *141:4 9.24915 -2 *141:4 *947:C 27.875 -3 *141:4 *946:B1 23.9928 -*END - -*D_NET *142 0.00102365 -*CONN -*I *948:A I *D sky130_fd_sc_hd__nand2_1 -*I *946:X O *D sky130_fd_sc_hd__a21o_1 -*CAP -1 *948:A 0.000266676 -2 *946:X 0.000266676 -3 *948:A *948:B 4.50502e-05 -4 *948:A *1091:A0 0.000107496 -5 *948:A *1091:A1 0 -6 *948:A *249:12 0.000118041 -7 *946:B1 *948:A 6.55651e-05 -8 *947:C *948:A 0.000154145 -*RES -1 *946:X *948:A 35.0987 -*END - -*D_NET *143 0.00120397 -*CONN -*I *948:B I *D sky130_fd_sc_hd__nand2_1 -*I *947:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *948:B 0.000392766 -2 *947:Y 0.000392766 -3 *948:B *1091:A0 0.000112411 -4 *948:B *1091:A1 0.000137027 -5 *948:B *247:38 7.77309e-06 -6 *948:B *249:12 0 -7 *947:C *948:B 3.99086e-06 -8 *948:A *948:B 4.50502e-05 -9 *1032:A1_N *948:B 0 -10 *1032:A2_N *948:B 1.61631e-05 -11 *1091:S *948:B 0 -12 *140:18 *948:B 9.60216e-05 -*RES -1 *947:Y *948:B 38.5522 -*END - -*D_NET *144 0.000994209 -*CONN -*I *950:A I *D sky130_fd_sc_hd__nand2_1 -*I *949:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *950:A 0.000262294 -2 *949:Y 0.000262294 -3 *950:A *949:B 6.00782e-06 -4 *950:A *962:C 0.000114584 -5 *950:A *145:9 0.000209817 -6 *950:A *150:51 8.20005e-05 -7 *950:A *219:14 1.96002e-05 -8 *950:A *223:41 3.76123e-05 -*RES -1 *949:Y *950:A 33.9654 -*END - -*D_NET *145 0.00251608 -*CONN -*I *953:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *958:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *1023:A I *D sky130_fd_sc_hd__clkinv_4 -*I *950:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *953:A_N 2.20127e-05 -2 *958:A2 0.000120575 -3 *1023:A 0 -4 *950:Y 0.000157724 -5 *145:23 0.000403895 -6 *145:9 0.00041903 -7 *953:A_N *953:B 2.04445e-05 -8 *953:A_N *958:A1 5.33904e-05 -9 *958:A2 *953:B 0.000211832 -10 *958:A2 *958:A1 0.000133878 -11 *958:A2 *240:5 7.58194e-05 -12 *958:A2 *242:30 0 -13 *145:9 *150:51 0.000108249 -14 *145:9 *152:31 7.01935e-06 -15 *145:23 *953:C 8.96314e-06 -16 *950:A *145:9 0.000209817 -17 *1082:S *145:9 0.000471459 -18 *1082:S *145:23 9.1974e-05 -*RES -1 *950:Y *145:9 16.8207 -2 *145:9 *1023:A 9.24915 -3 *145:9 *145:23 11.2517 -4 *145:23 *958:A2 18.823 -5 *145:23 *953:A_N 14.7506 -*END - -*D_NET *146 0.00110097 -*CONN -*I *958:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *953:B I *D sky130_fd_sc_hd__nand3b_1 -*I *951:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *958:B1_N 0 -2 *953:B 0.000278679 -3 *951:Y 5.53607e-05 -4 *146:5 0.000334039 -5 *953:B *951:A 1.43983e-05 -6 *953:B *959:C 0.000118796 -7 *953:B *1077:S 0 -8 *953:B *240:5 4.43025e-05 -9 *953:B *242:30 0 -10 *953:B *296:293 5.04686e-06 -11 *146:5 *951:A 1.00846e-05 -12 *146:5 *296:293 7.98425e-06 -13 *953:A_N *953:B 2.04445e-05 -14 *958:A2 *953:B 0.000211832 -*RES -1 *951:Y *146:5 10.5271 -2 *146:5 *953:B 25.9325 -3 *146:5 *958:B1_N 9.24915 -*END - -*D_NET *147 0.00282026 -*CONN -*I *1002:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *953:C I *D sky130_fd_sc_hd__nand3b_1 -*I *952:X O *D sky130_fd_sc_hd__o21a_1 -*CAP -1 *1002:B1 0.000116098 -2 *953:C 0.000556979 -3 *952:X 0.000283513 -4 *147:7 0.00095659 -5 *953:C *1029:B1_N 0.000261135 -6 *953:C *221:71 1.05272e-06 -7 *953:C *296:293 8.36586e-06 -8 *953:C *296:302 8.38768e-05 -9 *1002:B1 *1002:A2 6.27718e-05 -10 *1002:B1 *221:71 1.81863e-06 -11 *1002:B1 *296:302 4.63742e-05 -12 *147:7 *1002:A1 0.000114594 -13 *147:7 *1002:A2 7.54269e-06 -14 *147:7 *1003:A1 5.31465e-05 -15 *147:7 *1003:A2 0.000190817 -16 *1003:B1 *147:7 6.66272e-05 -17 *145:23 *953:C 8.96314e-06 -*RES -1 *952:X *147:7 22.7916 -2 *147:7 *953:C 23.3938 -3 *147:7 *1002:B1 17.6924 -*END - -*D_NET *148 0.000398228 -*CONN -*I *959:A I *D sky130_fd_sc_hd__nand3_1 -*I *953:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *959:A 0.000134044 -2 *953:Y 0.000134044 -3 *959:A *959:B 6.27782e-05 -4 *959:A *221:85 2.44599e-05 -5 *43:18 *959:A 4.29019e-05 -*RES -1 *953:Y *959:A 31.0235 -*END - -*D_NET *149 0.00151582 -*CONN -*I *960:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *955:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *954:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *960:A 0 -2 *955:A 0.000184644 -3 *954:Y 0.000138528 -4 *149:15 0.000323172 -5 *955:A *356:DIODE 4.34007e-05 -6 *955:A *980:A 6.79171e-05 -7 *955:A *150:12 7.58739e-05 -8 *955:A *154:5 4.41404e-05 -9 *955:A *221:107 0 -10 *955:A *296:250 0.000223818 -11 *149:15 *954:A 2.11341e-05 -12 *149:15 *980:A 0.000261442 -13 *149:15 *242:30 5.77352e-05 -14 *149:15 *296:250 7.40148e-05 -*RES -1 *954:Y *149:15 23.6728 -2 *149:15 *955:A 25.9566 -3 *149:15 *960:A 9.24915 -*END - -*D_NET *150 0.00760113 -*CONN -*I *959:B I *D sky130_fd_sc_hd__nand3_1 -*I *962:C I *D sky130_fd_sc_hd__nand3_1 -*I *1006:B I *D sky130_fd_sc_hd__nand3_1 -*I *1010:B I *D sky130_fd_sc_hd__nand3_1 -*I *990:C I *D sky130_fd_sc_hd__nand3_1 -*I *955:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *959:B 5.88607e-05 -2 *962:C 8.50136e-05 -3 *1006:B 0.000124535 -4 *1010:B 0.000148995 -5 *990:C 0.000131578 -6 *955:X 0 -7 *150:51 0.000841242 -8 *150:26 0.00101283 -9 *150:12 0.00139056 -10 *150:5 0.00121705 -11 *959:B *221:85 2.49496e-05 -12 *962:C *949:B 1.78942e-05 -13 *962:C *221:85 2.44626e-05 -14 *990:C *990:A 4.51062e-05 -15 *990:C *990:B 0.000117376 -16 *1006:B *1006:A 3.78945e-05 -17 *1006:B *1010:A 0.000132904 -18 *1010:B *1010:A 0.000220592 -19 *150:12 *311:DIODE 2.61955e-05 -20 *150:12 *356:DIODE 0 -21 *150:12 *984:B 0 -22 *150:12 *990:A 0.000107981 -23 *150:12 *1125:CLK 0.000127194 -24 *150:12 *221:107 0 -25 *150:12 *222:55 0.000314987 -26 *150:12 *223:41 1.59052e-05 -27 *150:12 *296:235 0 -28 *150:26 *223:41 0 -29 *150:51 *962:A 7.28994e-06 -30 *150:51 *1077:S 8.96342e-05 -31 *150:51 *152:31 0.000110458 -32 *150:51 *152:35 6.64671e-06 -33 *150:51 *221:85 3.00174e-06 -34 *150:51 *221:107 0 -35 *150:51 *222:55 3.52294e-05 -36 *950:A *962:C 0.000114584 -37 *950:A *150:51 8.20005e-05 -38 *955:A *150:12 7.58739e-05 -39 *959:A *959:B 6.27782e-05 -40 *984:C *150:12 1.24633e-05 -41 *984:C *150:26 0.000312228 -42 *1125:D *990:C 9.19886e-06 -43 *1125:D *150:12 7.69735e-05 -44 *1132:D *959:B 0.000145849 -45 *1132:D *962:C 0.000107496 -46 *43:18 *150:51 1.70745e-05 -47 *145:9 *150:51 0.000108249 -*RES -1 *955:X *150:5 13.7491 -2 *150:5 *150:12 24.6703 -3 *150:12 *990:C 17.3455 -4 *150:12 *150:26 9.00567 -5 *150:26 *1010:B 17.9001 -6 *150:26 *1006:B 17.0345 -7 *150:5 *150:51 26.6189 -8 *150:51 *962:C 12.191 -9 *150:51 *959:B 11.6364 -*END - -*D_NET *151 0.00156078 -*CONN -*I *957:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *961:A I *D sky130_fd_sc_hd__nand2_2 -*I *981:A I *D sky130_fd_sc_hd__nor2_1 -*I *956:Y O *D sky130_fd_sc_hd__o21ai_2 -*CAP -1 *957:A 0.000226145 -2 *961:A 0 -3 *981:A 0.000149 -4 *956:Y 7.89311e-05 -5 *151:15 0.000324129 -6 *151:7 0.000325915 -7 *957:A *152:10 3.072e-06 -8 *957:A *221:107 0 -9 *957:A *221:131 0 -10 *981:A *956:A2 9.34145e-05 -11 *981:A *981:B 3.5534e-06 -12 *981:A *987:B 4.19401e-06 -13 *981:A *988:B1 0 -14 *981:A *221:107 0 -15 *151:7 *956:A2 2.61012e-05 -16 *151:15 *221:107 0 -17 *1075:S *981:A 5.30254e-05 -18 *1075:S *151:15 0.000141016 -19 *1173:A *151:7 2.16355e-05 -20 *41:6 *981:A 0.000110649 -*RES -1 *956:Y *151:7 15.5817 -2 *151:7 *981:A 17.6574 -3 *151:7 *151:15 3.07775 -4 *151:15 *961:A 13.7491 -5 *151:15 *957:A 18.2442 -*END - -*D_NET *152 0.00785464 -*CONN -*I *962:A I *D sky130_fd_sc_hd__nand3_1 -*I *1029:A1 I *D sky130_fd_sc_hd__o21bai_2 -*I *958:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *964:A I *D sky130_fd_sc_hd__nand3_1 -*I *966:A I *D sky130_fd_sc_hd__nand3_1 -*I *957:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *962:A 0.000165792 -2 *1029:A1 0.00016081 -3 *958:A1 0.000153125 -4 *964:A 0.000118839 -5 *966:A 5.19164e-05 -6 *957:X 0.000190456 -7 *152:35 0.00118364 -8 *152:31 0.00122077 -9 *152:13 0.000310533 -10 *152:10 0.000515503 -11 *958:A1 *959:C 0 -12 *962:A *949:B 5.54534e-05 -13 *962:A *962:B 0.000107496 -14 *962:A *222:50 4.13311e-05 -15 *962:A *233:10 3.20264e-05 -16 *964:A *221:145 2.24632e-05 -17 *966:A *967:B1_N 2.58757e-05 -18 *966:A *221:145 4.47179e-05 -19 *966:A *223:65 0.000208627 -20 *1029:A1 *959:C 2.02035e-05 -21 *152:10 *221:131 3.71668e-05 -22 *152:13 *1083:A0 5.76849e-05 -23 *152:13 *221:131 4.66492e-05 -24 *152:13 *221:145 0.000454405 -25 *152:13 *242:37 0.000112361 -26 *152:13 *242:41 0.000109427 -27 *152:31 *321:DIODE 0.000211417 -28 *152:31 *950:B 0.000307806 -29 *152:31 *1083:A0 6.27782e-05 -30 *152:31 *221:86 0.000194408 -31 *152:31 *221:88 0.000250552 -32 *152:31 *242:37 9.96048e-05 -33 *152:35 *949:A 6.23322e-05 -34 *152:35 *222:50 5.38612e-06 -35 *953:A_N *958:A1 5.33904e-05 -36 *957:A *152:10 3.072e-06 -37 *958:A2 *958:A1 0.000133878 -38 *965:A2 *964:A 6.23779e-05 -39 *967:A1 *152:13 2.30248e-05 -40 *1003:B1 *962:A 3.44412e-06 -41 *1003:B1 *1029:A1 1.48597e-05 -42 *1003:B1 *152:35 8.03035e-05 -43 *1082:A0 *152:31 0.000268441 -44 *1082:S *152:31 2.75669e-05 -45 *1129:D *152:13 7.67734e-06 -46 *19:17 *152:13 0.000378954 -47 *19:45 *962:A 3.13805e-06 -48 *19:45 *152:10 2.15326e-05 -49 *145:9 *152:31 7.01935e-06 -50 *150:51 *962:A 7.28994e-06 -51 *150:51 *152:31 0.000110458 -52 *150:51 *152:35 6.64671e-06 -*RES -1 *957:X *152:10 22.0503 -2 *152:10 *152:13 8.51196 -3 *152:13 *966:A 11.6364 -4 *152:13 *964:A 21.3269 -5 *152:10 *152:31 15.2063 -6 *152:31 *152:35 5.40358 -7 *152:35 *958:A1 21.2338 -8 *152:35 *1029:A1 17.7759 -9 *152:31 *962:A 18.7747 -*END - -*D_NET *153 0.00145703 -*CONN -*I *959:C I *D sky130_fd_sc_hd__nand3_1 -*I *958:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *959:C 0.000395601 -2 *958:Y 0.000395601 -3 *959:C *1029:A2 6.5862e-05 -4 *959:C *1029:B1_N 9.63354e-05 -5 *959:C *221:71 3.52873e-06 -6 *959:C *221:72 0.000216458 -7 *959:C *221:76 0.000114916 -8 *959:C *221:85 2.9723e-05 -9 *953:B *959:C 0.000118796 -10 *958:A1 *959:C 0 -11 *1029:A1 *959:C 2.02035e-05 -*RES -1 *958:Y *959:C 38.9512 -*END - -*D_NET *154 0.00611765 -*CONN -*I *1004:B I *D sky130_fd_sc_hd__nand2_1 -*I *961:B I *D sky130_fd_sc_hd__nand2_2 -*I *971:B I *D sky130_fd_sc_hd__nand3b_1 -*I *964:C I *D sky130_fd_sc_hd__nand3_1 -*I *966:C I *D sky130_fd_sc_hd__nand3_1 -*I *960:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1004:B 0.000337887 -2 *961:B 5.54005e-05 -3 *971:B 0 -4 *964:C 0.000173519 -5 *966:C 0 -6 *960:X 0 -7 *154:20 0.000517603 -8 *154:11 0.0010661 -9 *154:5 0.00144809 -10 *154:4 0.00100856 -11 *964:C *216:24 5.1674e-06 -12 *964:C *231:8 5.03545e-06 -13 *964:C *231:24 0.000148408 -14 *1004:B *954:A 1.80379e-05 -15 *1004:B *980:A 0.00029931 -16 *1004:B *242:17 9.19886e-06 -17 *1004:B *242:30 5.56367e-05 -18 *1004:B *296:250 2.46082e-06 -19 *1004:B *296:282 2.71397e-05 -20 *154:5 *980:A 0.00011818 -21 *154:5 *296:238 9.19886e-06 -22 *154:5 *296:250 2.01874e-05 -23 *154:11 *216:46 6.50586e-05 -24 *154:20 *971:A_N 1.39717e-06 -25 *154:20 *1128:CLK_N 1.44467e-05 -26 *154:20 *216:24 1.87125e-05 -27 *154:20 *216:26 7.26733e-05 -28 *154:20 *231:8 0.000100921 -29 *955:A *154:5 4.41404e-05 -30 *971:C *154:11 0.000313538 -31 *1075:S *154:11 4.60173e-05 -32 *19:5 *154:11 0.000115615 -*RES -1 *960:X *154:4 9.24915 -2 *154:4 *154:5 10.1517 -3 *154:5 *154:11 13.5275 -4 *154:11 *154:20 13.3669 -5 *154:20 *966:C 13.7491 -6 *154:20 *964:C 18.3836 -7 *154:11 *971:B 9.24915 -8 *154:5 *961:B 11.13 -9 *154:4 *1004:B 24.4109 -*END - -*D_NET *155 0.000151215 -*CONN -*I *963:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *962:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *963:B1_N 4.51842e-05 -2 *962:Y 4.51842e-05 -3 *963:B1_N *962:B 6.08467e-05 -*RES -1 *962:Y *963:B1_N 19.2217 -*END - -*D_NET *156 0.00140382 -*CONN -*I *965:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *964:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *965:B1_N 0.000311423 -2 *964:Y 0.000311423 -3 *965:B1_N *964:B 4.73067e-05 -4 *965:B1_N *1037:A 3.40703e-05 -5 *965:B1_N *1080:A1 0.000413705 -6 *965:B1_N *1080:S 1.02267e-05 -7 *965:A1 *965:B1_N 0.000275668 -*RES -1 *964:Y *965:B1_N 37.976 -*END - -*D_NET *157 0.000117007 -*CONN -*I *967:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *966:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *967:B1_N 4.55656e-05 -2 *966:Y 4.55656e-05 -3 *966:A *967:B1_N 2.58757e-05 -*RES -1 *966:Y *967:B1_N 19.2217 -*END - -*D_NET *158 0.000752864 -*CONN -*I *970:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *968:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *970:A2 0.000191258 -2 *968:Y 0.000191258 -3 *970:A2 *968:B 0.000108707 -4 *970:A2 *970:B1_N 0.000107496 -5 *970:A2 *973:A 0.000154145 -6 *970:A2 *978:A2 0 -7 *970:A2 *163:8 0 -*RES -1 *968:Y *970:A2 32.6874 -*END - -*D_NET *159 0.00174137 -*CONN -*I *970:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *979:A1 I *D sky130_fd_sc_hd__a21bo_1 -*I *969:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *970:B1_N 7.83517e-05 -2 *979:A1 5.44648e-05 -3 *969:Y 0.000119791 -4 *159:10 0.000252607 -5 *970:B1_N *973:A 2.07365e-05 -6 *970:B1_N *979:B1_N 4.86994e-05 -7 *970:B1_N *234:27 0.000315549 -8 *979:A1 *979:B1_N 1.58551e-05 -9 *979:A1 *1126:RESET_B 0.000224381 -10 *979:A1 *234:13 6.92705e-05 -11 *979:A1 *234:27 0.000248409 -12 *159:10 *971:A_N 0 -13 *159:10 *977:B 6.3657e-05 -14 *159:10 *978:A2 0.000122098 -15 *970:A2 *970:B1_N 0.000107496 -*RES -1 *969:Y *159:10 21.2198 -2 *159:10 *979:A1 12.7456 -3 *159:10 *970:B1_N 13.3002 -*END - -*D_NET *160 0.00208706 -*CONN -*I *978:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *975:A2_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *970:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *978:B1 0.000156541 -2 *975:A2_N 0.000302928 -3 *970:Y 9.75359e-05 -4 *160:6 0.000557005 -5 *975:A2_N *974:A 0 -6 *975:A2_N *974:B 0.000277502 -7 *975:A2_N *975:A1_N 0 -8 *975:A2_N *975:B1 7.58739e-05 -9 *978:B1 *978:A2 5.48616e-05 -10 *978:B1 *1128:CLK_N 1.41976e-05 -11 *978:B1 *235:11 4.74142e-05 -12 *978:B1 *296:216 0.000172088 -13 *978:B1 *296:224 2.65667e-05 -14 *160:6 *973:A 0.000113077 -15 *160:6 *974:A 0 -16 *160:6 *975:B1 1.83738e-05 -17 *160:6 *1128:CLK_N 6.8932e-05 -18 *1127:D *978:B1 6.92705e-05 -19 *1128:D *975:A2_N 2.55493e-05 -20 *1128:D *160:6 9.34396e-06 -*RES -1 *970:Y *160:6 16.8269 -2 *160:6 *975:A2_N 20.5992 -3 *160:6 *978:B1 20.0427 -*END - -*D_NET *161 0.00267786 -*CONN -*I *979:B1_N I *D sky130_fd_sc_hd__a21bo_1 -*I *975:B1 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *971:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *979:B1_N 0.000333309 -2 *975:B1 0.000222751 -3 *971:Y 0.00030393 -4 *161:8 0.000859989 -5 *975:B1 *968:B 0.000114563 -6 *975:B1 *973:A 0.000109465 -7 *975:B1 *974:B 0.000118166 -8 *979:B1_N *973:A 0.000156946 -9 *979:B1_N *1126:RESET_B 5.04829e-06 -10 *161:8 *968:B 7.80439e-05 -11 *161:8 *971:A_N 0.000107996 -12 *161:8 *973:A 2.95757e-05 -13 *161:8 *1128:CLK_N 0 -14 *161:8 *216:46 7.02172e-06 -15 *970:B1_N *979:B1_N 4.86994e-05 -16 *971:C *161:8 7.22498e-05 -17 *975:A2_N *975:B1 7.58739e-05 -18 *979:A1 *979:B1_N 1.58551e-05 -19 *160:6 *975:B1 1.83738e-05 -*RES -1 *971:Y *161:8 20.184 -2 *161:8 *975:B1 21.0117 -3 *161:8 *979:B1_N 18.9094 -*END - -*D_NET *162 0.00114135 -*CONN -*I *974:A I *D sky130_fd_sc_hd__nand2_1 -*I *972:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *974:A 0.000222852 -2 *972:Y 0.000222852 -3 *974:A *972:A 6.79599e-05 -4 *974:A *974:B 8.36694e-05 -5 *974:A *975:A1_N 0.000239468 -6 *974:A *975:B2 0.00021435 -7 *974:A *981:B 2.29454e-05 -8 *974:A *1128:CLK_N 1.67749e-05 -9 *975:A2_N *974:A 0 -10 *1128:D *974:A 5.04734e-05 -11 *160:6 *974:A 0 -*RES -1 *972:Y *974:A 36.5881 -*END - -*D_NET *163 0.00197879 -*CONN -*I *978:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *974:B I *D sky130_fd_sc_hd__nand2_1 -*I *973:Y O *D sky130_fd_sc_hd__clkinv_4 -*CAP -1 *978:A1 0 -2 *974:B 0.00046515 -3 *973:Y 0.000189139 -4 *163:8 0.000654288 -5 *974:B *975:B2 5.65165e-05 -6 *974:B *235:17 4.94e-06 -7 *974:B *296:76 0 -8 *163:8 *978:A2 0.000116986 -9 *163:8 *235:17 0 -10 *163:8 *296:76 3.20069e-06 -11 *163:8 *296:87 9.2346e-06 -12 *970:A2 *163:8 0 -13 *974:A *974:B 8.36694e-05 -14 *975:A2_N *974:B 0.000277502 -15 *975:B1 *974:B 0.000118166 -*RES -1 *973:Y *163:8 17.7063 -2 *163:8 *974:B 27.1392 -3 *163:8 *978:A1 13.7491 -*END - -*D_NET *164 0.00185522 -*CONN -*I *981:B I *D sky130_fd_sc_hd__nor2_1 -*I *975:B2 I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *974:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *981:B 0.000580079 -2 *975:B2 0.000164212 -3 *974:Y 0 -4 *164:4 0.000744291 -5 *974:A *975:B2 0.00021435 -6 *974:A *981:B 2.29454e-05 -7 *974:B *975:B2 5.65165e-05 -8 *981:A *981:B 3.5534e-06 -9 *1128:D *975:B2 6.92705e-05 -*RES -1 *974:Y *164:4 9.24915 -2 *164:4 *975:B2 14.4335 -3 *164:4 *981:B 27.7357 -*END - -*D_NET *165 0.00101193 -*CONN -*I *978:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *977:X O *D sky130_fd_sc_hd__and2b_1 -*CAP -1 *978:A2 0.000155914 -2 *977:X 0.000155914 -3 *978:A2 *968:B 0 -4 *978:A2 *235:11 0.000120081 -5 *978:A2 *296:87 0.000143032 -6 *978:A2 *296:96 0.000143047 -7 *970:A2 *978:A2 0 -8 *978:B1 *978:A2 5.48616e-05 -9 *159:10 *978:A2 0.000122098 -10 *163:8 *978:A2 0.000116986 -*RES -1 *977:X *978:A2 34.509 -*END - -*D_NET *166 0.00116812 -*CONN -*I *989:B1_N I *D sky130_fd_sc_hd__o21bai_1 -*I *984:B I *D sky130_fd_sc_hd__nand3_1 -*I *982:Y O *D sky130_fd_sc_hd__inv_2 -*CAP -1 *989:B1_N 0 -2 *984:B 0.000200198 -3 *982:Y 0.000124524 -4 *166:5 0.000324722 -5 *984:B *1004:A 0 -6 *984:B *171:7 0.000134957 -7 *166:5 *171:7 0.000171288 -8 *166:5 *171:15 0.000159322 -9 *166:5 *171:33 5.31074e-05 -10 *984:C *984:B 0 -11 *150:12 *984:B 0 -*RES -1 *982:Y *166:5 13.3002 -2 *166:5 *984:B 23.1623 -3 *166:5 *989:B1_N 9.24915 -*END - -*D_NET *167 0.000454778 -*CONN -*I *990:A I *D sky130_fd_sc_hd__nand3_1 -*I *984:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *990:A 0.000137946 -2 *984:Y 0.000137946 -3 *990:A *990:B 0 -4 *990:A *171:7 2.57986e-05 -5 *990:C *990:A 4.51062e-05 -6 *150:12 *990:A 0.000107981 -*RES -1 *984:Y *990:A 30.8842 -*END - -*D_NET *168 0.000824001 -*CONN -*I *986:A I *D sky130_fd_sc_hd__nand2_1 -*I *985:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *986:A 0.000168521 -2 *985:Y 0.000168521 -3 *986:A *342:DIODE 0.000122083 -4 *986:A *985:A 5.46019e-05 -5 *986:A *989:A1 0.000113077 -6 *986:A *1035:B 0.000120605 -7 *986:A *1120:CLK_N 6.50586e-05 -8 *986:A *229:21 4.46284e-06 -9 *986:A *229:25 7.07115e-06 -10 *1084:A0 *986:A 0 -11 *42:22 *986:A 0 -*RES -1 *985:Y *986:A 33.791 -*END - -*D_NET *169 0.00401718 -*CONN -*I *989:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *986:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *989:A1 0.0009186 -2 *986:Y 0.0009186 -3 *989:A1 *1004:A 2.02035e-05 -4 *984:C *989:A1 0.0020467 -5 *986:A *989:A1 0.000113077 -6 *1084:A0 *989:A1 0 -*RES -1 *986:Y *989:A1 39.3811 -*END - -*D_NET *170 0.000284819 -*CONN -*I *988:C1 I *D sky130_fd_sc_hd__o211ai_2 -*I *987:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *988:C1 5.82534e-05 -2 *987:Y 5.82534e-05 -3 *988:C1 *296:235 0.000168313 -*RES -1 *987:Y *988:C1 20.3309 -*END - -*D_NET *171 0.00410088 -*CONN -*I *1006:A I *D sky130_fd_sc_hd__nand3_1 -*I *1010:A I *D sky130_fd_sc_hd__nand3_1 -*I *1004:A I *D sky130_fd_sc_hd__nand2_1 -*I *989:A2 I *D sky130_fd_sc_hd__o21bai_1 -*I *988:Y O *D sky130_fd_sc_hd__o211ai_2 -*CAP -1 *1006:A 4.35526e-05 -2 *1010:A 0.000147101 -3 *1004:A 0.000269796 -4 *989:A2 2.01486e-05 -5 *988:Y 0.000277071 -6 *171:33 0.000613835 -7 *171:15 0.000736515 -8 *171:7 0.000340757 -9 *1004:A *982:A 7.73327e-05 -10 *1004:A *1027:B 0 -11 *1004:A *1125:SET_B 0.000107729 -12 *1004:A *182:5 6.08467e-05 -13 *1004:A *242:17 2.16355e-05 -14 *1004:A *296:251 7.14746e-05 -15 *1004:A *296:282 7.65861e-05 -16 *171:7 *984:A 0.000115615 -17 *171:33 *230:15 0.000164815 -18 *984:B *1004:A 0 -19 *984:B *171:7 0.000134957 -20 *989:A1 *1004:A 2.02035e-05 -21 *990:A *171:7 2.57986e-05 -22 *1006:B *1006:A 3.78945e-05 -23 *1006:B *1010:A 0.000132904 -24 *1010:B *1010:A 0.000220592 -25 *166:5 *171:7 0.000171288 -26 *166:5 *171:15 0.000159322 -27 *166:5 *171:33 5.31074e-05 -*RES -1 *988:Y *171:7 18.3157 -2 *171:7 *989:A2 9.82786 -3 *171:7 *171:15 1.8326 -4 *171:15 *1004:A 26.2028 -5 *171:15 *171:33 14.8446 -6 *171:33 *1010:A 18.6595 -7 *171:33 *1006:A 14.7506 -*END - -*D_NET *172 0.000713504 -*CONN -*I *990:B I *D sky130_fd_sc_hd__nand3_1 -*I *989:Y O *D sky130_fd_sc_hd__o21bai_1 -*CAP -1 *990:B 0.000298064 -2 *989:Y 0.000298064 -3 *990:A *990:B 0 -4 *990:C *990:B 0.000117376 -*RES -1 *989:Y *990:B 34.7664 -*END - -*D_NET *173 0.000410769 -*CONN -*I *992:B I *D sky130_fd_sc_hd__xor2_1 -*I *991:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *992:B 0.000146895 -2 *991:Y 0.000146895 -3 *992:B *1015:A 5.19205e-05 -4 *992:B *296:56 0 -5 *992:B *296:61 0 -6 *993:A0 *992:B 6.50586e-05 -*RES -1 *991:Y *992:B 30.8842 -*END - -*D_NET *174 0.000405211 -*CONN -*I *994:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *993:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *994:A 0.000202606 -2 *993:X 0.000202606 -*RES -1 *993:X *994:A 23.538 -*END - -*D_NET *175 0.000365015 -*CONN -*I *996:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *995:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *996:A 0.000182507 -2 *995:X 0.000182507 -*RES -1 *995:X *996:A 22.9833 -*END - -*D_NET *176 0.00118247 -*CONN -*I *999:A I *D sky130_fd_sc_hd__nand3_1 -*I *997:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *999:A 0.000280409 -2 *997:X 0.000280409 -3 *999:A *997:B_N 2.41483e-05 -4 *999:A *999:B 1.09551e-05 -5 *999:A *1003:A1 0.000271058 -6 *999:A *1106:CLK 1.77537e-06 -7 *999:A *223:13 0.000296467 -8 *999:A *243:56 1.72464e-05 -9 *999:A *296:622 0 -*RES -1 *997:X *999:A 35.238 -*END - -*D_NET *177 0.00184813 -*CONN -*I *999:B I *D sky130_fd_sc_hd__nand3_1 -*I *998:X O *D sky130_fd_sc_hd__or2b_1 -*CAP -1 *999:B 0.000579788 -2 *998:X 0.000579788 -3 *999:B *1109:D 5.45575e-05 -4 *999:B *1110:RESET_B 9.32983e-05 -5 *999:B *1110:CLK 6.65668e-05 -6 *999:B *223:13 6.15915e-06 -7 *999:B *243:32 0.000109978 -8 *999:B *243:41 0.00025389 -9 *999:B *296:618 2.95016e-05 -10 *999:B *296:622 6.36477e-05 -11 *999:A *999:B 1.09551e-05 -*RES -1 *998:X *999:B 41.0267 -*END - -*D_NET *178 0.00257504 -*CONN -*I *1003:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *999:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1003:A1 0.000878556 -2 *999:Y 0.000878556 -3 *1003:A1 *330:DIODE 0.000137506 -4 *1003:A1 *952:A2 1.65872e-05 -5 *1003:A1 *1106:CLK 0 -6 *1003:A1 *221:52 9.43419e-05 -7 *1003:A1 *222:41 0.00011164 -8 *1003:A1 *223:13 9.38332e-05 -9 *1003:A1 *293:13 3.98136e-05 -10 *999:A *1003:A1 0.000271058 -11 *147:7 *1003:A1 5.31465e-05 -*RES -1 *999:Y *1003:A1 45.9333 -*END - -*D_NET *179 0.00115011 -*CONN -*I *1002:A1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1000:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1002:A1 0.000339877 -2 *1000:Y 0.000339877 -3 *1002:A1 *1003:A2 7.61168e-06 -4 *1002:A1 *219:71 0 -5 *1002:A1 *221:61 0 -6 *1002:A1 *222:41 2.49331e-05 -7 *1002:A1 *293:37 0.000208627 -8 *1003:B1 *1002:A1 0.000114594 -9 *147:7 *1002:A1 0.000114594 -*RES -1 *1000:Y *1002:A1 34.5588 -*END - -*D_NET *180 0.00141615 -*CONN -*I *1002:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1001:X O *D sky130_fd_sc_hd__and2_1 -*CAP -1 *1002:A2 0.000390365 -2 *1001:X 0.000390365 -3 *1002:A2 *219:71 0.000205384 -4 *1002:A2 *223:21 0.000240656 -5 *1002:A2 *296:302 5.5409e-05 -6 *1002:B1 *1002:A2 6.27718e-05 -7 *1003:B1 *1002:A2 6.3657e-05 -8 *147:7 *1002:A2 7.54269e-06 -*RES -1 *1001:X *1002:A2 36.7356 -*END - -*D_NET *181 0.00100564 -*CONN -*I *1003:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *1002:Y O *D sky130_fd_sc_hd__o21ai_1 -*CAP -1 *1003:A2 0.000309608 -2 *1002:Y 0.000309608 -3 *1003:A2 *219:71 1.25284e-05 -4 *1002:A1 *1003:A2 7.61168e-06 -5 *1003:B1 *1003:A2 0.000167434 -6 *1121:D *1003:A2 8.03393e-06 -7 *147:7 *1003:A2 0.000190817 -*RES -1 *1002:Y *1003:A2 34.1047 -*END - -*D_NET *182 0.00516833 -*CONN -*I *1013:A I *D sky130_fd_sc_hd__nand2_1 -*I *1009:A I *D sky130_fd_sc_hd__nand2_1 -*I *1014:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *1005:A I *D sky130_fd_sc_hd__nand2_1 -*I *1004:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1013:A 0 -2 *1009:A 0.000451477 -3 *1014:A2 0 -4 *1005:A 2.06324e-05 -5 *1004:Y 0.000379769 -6 *182:19 0.000750951 -7 *182:15 0.00072311 -8 *182:5 0.000824037 -9 *1009:A *318:DIODE 5.22654e-06 -10 *1009:A *1105:CLK 9.14834e-05 -11 *1009:A *221:16 6.31665e-05 -12 *1009:A *300:19 2.18041e-06 -13 *182:5 *1027:A 0.000114584 -14 *182:5 *242:17 0.000195776 -15 *182:15 *329:DIODE 0.000220809 -16 *182:15 *1084:A1 6.50727e-05 -17 *182:15 *1085:A0 0.000267387 -18 *182:15 *242:17 0.000134672 -19 *182:19 *1014:B1 9.70267e-05 -20 *1004:A *182:5 6.08467e-05 -21 *1005:B *182:15 0.000119686 -22 *1009:B *1009:A 0 -23 *1084:A0 *182:15 0.00033061 -24 *1118:D *1009:A 6.50586e-05 -25 *1118:D *182:19 6.50727e-05 -26 *26:7 *182:15 0.000119695 -*RES -1 *1004:Y *182:5 19.4008 -2 *182:5 *1005:A 9.82786 -3 *182:5 *182:15 15.1672 -4 *182:15 *182:19 5.44958 -5 *182:19 *1014:A2 9.24915 -6 *182:19 *1009:A 27.3499 -7 *182:15 *1013:A 9.24915 -*END - -*D_NET *183 0.00110667 -*CONN -*I *1007:A I *D sky130_fd_sc_hd__nand2_1 -*I *1005:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1007:A 0.00037975 -2 *1005:Y 0.00037975 -3 *1007:A *230:10 0 -4 *1007:A *230:15 6.92705e-05 -5 *1007:A *242:17 0.000224381 -6 *1084:A0 *1007:A 0 -7 *1120:D *1007:A 5.35227e-05 -*RES -1 *1005:Y *1007:A 36.1782 -*END - -*D_NET *184 0.00027972 -*CONN -*I *1007:B I *D sky130_fd_sc_hd__nand2_1 -*I *1006:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1007:B 0.000101155 -2 *1006:Y 0.000101155 -3 *1007:B *230:15 7.74111e-05 -*RES -1 *1006:Y *1007:B 21.4883 -*END - -*D_NET *185 0.000895655 -*CONN -*I *1011:A I *D sky130_fd_sc_hd__nand2_1 -*I *1009:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1011:A 0.000295484 -2 *1009:Y 0.000295484 -3 *1011:A *318:DIODE 0 -4 *1011:A *1008:A 3.31736e-05 -5 *1011:A *1011:B 1.8877e-05 -6 *1011:A *1119:CLK 0.000252636 -*RES -1 *1009:Y *1011:A 34.7608 -*END - -*D_NET *186 0.00274925 -*CONN -*I *1011:B I *D sky130_fd_sc_hd__nand2_1 -*I *1010:Y O *D sky130_fd_sc_hd__nand3_1 -*CAP -1 *1011:B 0.00114361 -2 *1010:Y 0.00114361 -3 *984:C *1011:B 0.000192561 -4 *1011:A *1011:B 1.8877e-05 -5 *1059:S *1011:B 0.000213133 -6 *42:9 *1011:B 3.74499e-05 -*RES -1 *1010:Y *1011:B 38.4413 -*END - -*D_NET *187 0.000276726 -*CONN -*I *1014:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *1013:Y O *D sky130_fd_sc_hd__nand2_1 -*CAP -1 *1014:B1 5.59201e-05 -2 *1013:Y 5.59201e-05 -3 *1013:B *1014:B1 6.78596e-05 -4 *182:19 *1014:B1 9.70267e-05 -*RES -1 *1013:Y *1014:B1 20.8855 -*END - -*D_NET *188 0.00133168 -*CONN -*I *1016:B I *D sky130_fd_sc_hd__nand3b_1 -*I *1042:A I *D sky130_fd_sc_hd__nand2_1 -*I *1015:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1016:B 0.000149379 -2 *1042:A 5.44638e-05 -3 *1015:Y 0.000176099 -4 *188:6 0.000379942 -5 *1016:B *1016:A_N 1.84097e-05 -6 *1016:B *224:24 0.000148277 -7 *1042:A *1042:B 0.00016419 -8 *188:6 *991:C 5.35836e-05 -9 *188:6 *224:24 1.03594e-05 -10 *188:6 *224:26 7.4453e-05 -11 *993:A0 *188:6 0.000102525 -*RES -1 *1015:Y *188:6 19.3184 -2 *188:6 *1042:A 15.5817 -3 *188:6 *1016:B 17.6574 -*END - -*D_NET *189 0.000269746 -*CONN -*I *1017:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1016:Y O *D sky130_fd_sc_hd__nand3b_1 -*CAP -1 *1017:B 0.000134873 -2 *1016:Y 0.000134873 -3 *1017:B *296:38 0 -*RES -1 *1016:Y *1017:B 30.1608 -*END - -*D_NET *190 0.000438337 -*CONN -*I *1022:A I *D sky130_fd_sc_hd__buf_1 -*I *1021:X O *D sky130_fd_sc_hd__mux2_2 -*CAP -1 *1022:A 0.000151385 -2 *1021:X 0.000151385 -3 *1022:A *274:10 1.67286e-05 -4 *1022:A *296:379 9.29777e-05 -5 *1022:A *296:382 2.58616e-05 -*RES -1 *1021:X *1022:A 30.8842 -*END - -*D_NET *191 0.00060183 -*CONN -*I *1029:A2 I *D sky130_fd_sc_hd__o21bai_2 -*I *1027:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1029:A2 0.000216613 -2 *1027:Y 0.000216613 -3 *1029:A2 *1027:A 3.77659e-05 -4 *1029:A2 *243:15 6.49761e-05 -5 *959:C *1029:A2 6.5862e-05 -*RES -1 *1027:Y *1029:A2 33.4828 -*END - -*D_NET *192 0.00076425 -*CONN -*I *1029:B1_N I *D sky130_fd_sc_hd__o21bai_2 -*I *1028:X O *D sky130_fd_sc_hd__and2b_2 -*CAP -1 *1029:B1_N 0.000196291 -2 *1028:X 0.000196291 -3 *1029:B1_N *345:DIODE 1.41976e-05 -4 *953:C *1029:B1_N 0.000261135 -5 *959:C *1029:B1_N 9.63354e-05 -*RES -1 *1028:X *1029:B1_N 33.4054 -*END - -*D_NET *193 0.00142109 -*CONN -*I *1032:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 -*I *1031:Y O *D sky130_fd_sc_hd__xnor2_1 -*CAP -1 *1032:B2 0.000281661 -2 *1031:Y 0.000281661 -3 *1032:B2 *1072:S 5.64931e-05 -4 *1032:B2 *247:48 0.000385448 -5 *904:A *1032:B2 0.000415827 -6 *112:8 *1032:B2 0 -*RES -1 *1031:Y *1032:B2 37.0143 -*END - -*D_NET *194 0.000918437 -*CONN -*I *1035:B I *D sky130_fd_sc_hd__xor2_1 -*I *1034:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1035:B 0.000277156 -2 *1034:Y 0.000277156 -3 *1035:B *342:DIODE 2.41274e-06 -4 *1035:B *985:A 6.50586e-05 -5 *1035:B *229:25 4.94526e-05 -6 *1035:B *230:25 0.000126596 -7 *986:A *1035:B 0.000120605 -*RES -1 *1034:Y *1035:B 35.4604 -*END - -*D_NET *195 0.000676751 -*CONN -*I *1039:B I *D sky130_fd_sc_hd__xor2_1 -*I *1038:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1039:B 0.000226774 -2 *1038:Y 0.000226774 -3 *1039:B *1038:B 1.43698e-05 -4 *1039:B *233:8 2.6046e-05 -5 *1039:B *233:10 0.000122098 -6 *1039:B *293:57 5.56461e-05 -7 *1079:S *1039:B 5.04423e-06 -8 *1131:D *1039:B 0 -*RES -1 *1038:Y *1039:B 32.548 -*END - -*D_NET *196 0.000476267 -*CONN -*I *1045:B I *D sky130_fd_sc_hd__xor2_1 -*I *1044:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1045:B 0.000157155 -2 *1044:Y 0.000157155 -3 *1045:B *296:388 0.000161956 -*RES -1 *1044:Y *1045:B 31.5781 -*END - -*D_NET *197 0.000890388 -*CONN -*I *1049:B I *D sky130_fd_sc_hd__xor2_1 -*I *1048:Y O *D sky130_fd_sc_hd__nor2_1 -*CAP -1 *1049:B 0.000241306 -2 *1048:Y 0.000241306 -3 *1049:B *1048:A 0 -4 *1049:B *1048:B 2.99287e-05 -5 *1049:B *1143:RESET_B 2.57847e-05 -6 *1049:B *219:57 0.000347014 -7 *1049:B *307:11 0 -8 *1066:A0 *1049:B 5.04829e-06 -*RES -1 *1048:Y *1049:B 34.7959 -*END - -*D_NET *198 0.000342813 -*CONN -*I *1055:B I *D sky130_fd_sc_hd__xor2_1 -*I *1054:Y O *D sky130_fd_sc_hd__nor3_1 -*CAP -1 *1055:B 0.000112626 -2 *1054:Y 0.000112626 -3 *1055:B *943:B 3.07208e-05 -4 *1055:B *220:40 8.684e-05 -*RES -1 *1054:Y *1055:B 31.0235 -*END - -*D_NET *199 0.00205625 -*CONN -*I *1071:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1072:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1090:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1071:A0 0.000182129 -2 *1072:A0 0.000356281 -3 *1090:X 0 -4 *199:4 0.00053841 -5 *1071:A0 *1170:A 0.000122337 -6 *1071:A0 *276:27 0.000114576 -7 *1072:A0 *1170:A 2.26713e-06 -8 *1072:A0 *1172:A 0.000160641 -9 *1072:A0 *267:30 0.000260159 -10 *1072:A0 *296:765 7.18589e-06 -11 *1072:A0 *307:11 0.000312266 -*RES -1 *1090:X *199:4 9.24915 -2 *199:4 *1072:A0 30.3553 -3 *199:4 *1071:A0 15.8079 -*END - -*D_NET *200 0.000759065 -*CONN -*I *1064:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1063:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1064:A0 0.00023325 -2 *1063:X 0.00023325 -3 *1064:A0 *219:87 0 -4 *1064:A0 *221:16 7.0957e-05 -5 *1014:A1 *1064:A0 1.32509e-05 -6 *1063:A0 *1064:A0 0.000115934 -7 *1118:D *1064:A0 9.24241e-05 -*RES -1 *1063:X *1064:A0 33.5151 -*END - -*D_NET *201 0.000941273 -*CONN -*I *1060:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1059:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1060:A0 0.000341165 -2 *1059:X 0.000341165 -3 *1060:A0 *1060:S 0.00016553 -4 *1060:A0 *296:646 1.77537e-06 -5 *1060:A0 *296:648 6.79889e-05 -6 *1060:A0 *296:652 2.36494e-05 -*RES -1 *1059:X *1060:A0 36.0388 -*END - -*D_NET *202 0.000603246 -*CONN -*I *1085:A0 I *D sky130_fd_sc_hd__mux2_1 +1 *920:S 0.000270075 +2 *915:S 0.000205431 +3 *918:S 0.000307483 +4 *1067:X 0 +5 *20:7 0.00107033 +6 *20:4 0.000827489 +7 *915:S *915:A0 0.000110458 +8 *915:S *915:A1 5.51483e-06 +9 *915:S *1051:B 2.10465e-05 +10 *915:S *1052:A 2.86829e-05 +11 *915:S *1052:B 0.00013832 +12 *915:S *154:9 5.04829e-06 +13 *915:S *274:8 0.000114659 +14 *915:S *309:10 3.87022e-06 +15 *915:S *309:12 2.1896e-05 +16 *918:S *1047:A 0.000208621 +17 *918:S *1051:B 0.000127261 +18 *918:S *1098:A0 0.000159038 +19 *918:S *272:15 2.75725e-05 +20 *918:S *309:12 1.22047e-05 +21 *918:S *309:17 5.80222e-05 +22 *920:S *920:A1 0.000262037 +23 *920:S *1067:S 3.00174e-06 +24 *920:S *259:94 3.7635e-06 +25 *920:S *280:39 4.89251e-05 +26 *20:7 *918:A1 6.3657e-05 +27 *20:7 *1049:A1 6.27782e-05 +28 *20:7 *1049:A2 6.50586e-05 +29 *20:7 *1051:A 0.000203756 +30 *20:7 *1098:A0 0.000135477 +31 *20:7 *1098:A1 2.09463e-05 +32 *20:7 *280:39 0.000559815 +*RES +1 *1067:X *20:4 9.24915 +2 *20:4 *20:7 21.3069 +3 *20:7 *918:S 23.8035 +4 *20:7 *915:S 19.9053 +5 *20:4 *920:S 25.9269 +*END + +*D_NET *21 0.00353835 +*CONN +*I *968:S I *D sky130_fd_sc_hd__mux2_1 +*I *971:S I *D sky130_fd_sc_hd__mux2_1 +*I *973:S I *D sky130_fd_sc_hd__mux2_1 +*I *1068:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *968:S 0.000306416 +2 *971:S 0.000102151 +3 *973:S 0.000208088 +4 *1068:X 0.000200124 +5 *21:17 0.000513897 +6 *21:7 0.00051354 +7 *968:S *968:A1 0.000117376 +8 *968:S *976:B 0 +9 *968:S *1032:A2 5.41227e-05 +10 *968:S *1082:A0 0.000120594 +11 *968:S *1082:S 0 +12 *968:S *228:15 6.93809e-05 +13 *968:S *308:17 0.000181416 +14 *971:S *971:A1 3.16131e-05 +15 *971:S *1081:A0 2.20457e-05 +16 *971:S *308:7 6.08467e-05 +17 *973:S *973:A1 3.16131e-05 +18 *973:S *1029:B 0.000195139 +19 *973:S *1080:A0 2.20457e-05 +20 *973:S *1080:A1 3.20069e-06 +21 *973:S *1080:S 0 +22 *973:S *254:89 0 +23 *973:S *309:119 3.51113e-05 +24 *21:7 *254:81 0.000474209 +25 *21:7 *309:107 5.07314e-05 +26 *21:7 *309:119 2.65667e-05 +27 *21:17 *1029:B 0.000168546 +28 *21:17 *254:89 0 +29 *21:17 *308:17 2.95757e-05 +*RES +1 *1068:X *21:7 21.0193 +2 *21:7 *973:S 20.184 +3 *21:7 *21:17 3.493 +4 *21:17 *971:S 16.691 +5 *21:17 *968:S 23.506 +*END + +*D_NET *22 0.000419023 +*CONN +*I *990:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1095:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *990:A0 0.000150037 +2 *1095:X 0.000150037 +3 *990:A0 *1044:B 1.07248e-05 +4 *990:A0 *1095:S 2.18898e-05 +5 *990:A0 *187:12 1.36606e-05 +6 *990:A0 *314:11 7.26748e-05 +*RES +1 *1095:X *990:A0 30.8842 +*END + +*D_NET *23 0.0011165 +*CONN +*I *988:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1096:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *988:A0 0.000302834 +2 *1096:X 0.000302834 +3 *988:A0 *1044:A 7.50872e-05 +4 *988:A0 *1044:B 3.00073e-05 +5 *988:A0 *236:11 2.29454e-05 +6 *988:A0 *237:13 0.00031772 +7 *988:A0 *237:25 6.50727e-05 +*RES +1 *1096:X *988:A0 33.5207 +*END + +*D_NET *24 0.00140561 +*CONN +*I *1008:B I *D sky130_fd_sc_hd__nand2_1 *I *1084:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1085:A0 0.00016793 -2 *1084:X 0.00016793 -3 *182:15 *1085:A0 0.000267387 +1 *1008:B 0.00035719 +2 *1084:X 0.00035719 +3 *1008:B *1008:A 0.00031242 +4 *1008:B *1009:B1 3.1218e-05 +5 *1008:B *1083:A1 0 +6 *1008:B *1084:A0 0.000116806 +7 *1008:B *240:19 0.000230786 *RES -1 *1084:X *1085:A0 21.4401 +1 *1084:X *1008:B 39.3555 *END -*D_NET *203 0.000663213 +*D_NET *25 0.000709592 *CONN -*I *1083:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1082:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1083:A0 0.000209566 -2 *1082:X 0.000209566 -3 *1083:A0 *221:131 6.08467e-05 -4 *1083:A0 *221:145 6.27718e-05 -5 *152:13 *1083:A0 5.76849e-05 -6 *152:31 *1083:A0 6.27782e-05 -*RES -1 *1082:X *1083:A0 21.9947 -*END - -*D_NET *204 0.000870761 -*CONN -*I *1080:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1079:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1080:A0 0.000194735 -2 *1079:X 0.000194735 -3 *1080:A0 *964:B 0.000250043 -4 *1080:A0 *1080:A1 6.46815e-05 -5 *1080:A0 *1080:S 6.27782e-05 -6 *1080:A0 *231:24 0.000103789 -*RES -1 *1079:X *1080:A0 34.2118 -*END - -*D_NET *205 0.0007126 -*CONN -*I *1077:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1076:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1077:A0 0.000196427 -2 *1076:X 0.000196427 -3 *1077:A0 *293:57 0.000319747 -*RES -1 *1076:X *1077:A0 21.9947 -*END - -*D_NET *206 0.000304533 -*CONN -*I *1062:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1061:X O *D sky130_fd_sc_hd__mux2_1 -*CAP -1 *1062:A0 7.45144e-05 -2 *1061:X 7.45144e-05 -3 *114:8 *1062:A0 0.000155504 -*RES -1 *1061:X *1062:A0 30.1608 -*END - -*D_NET *207 0.000526872 -*CONN -*I *1087:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1004:B I *D sky130_fd_sc_hd__nand2_1 *I *1086:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1087:A0 0.000161182 -2 *1086:X 0.000161182 -3 *1087:A0 *1086:A1 0.000176187 -4 *926:A *1087:A0 2.8322e-05 +1 *1004:B 0.000223268 +2 *1086:X 0.000223268 +3 *1004:B *1003:A 1.02689e-05 +4 *1004:B *48:43 8.79582e-05 +5 *1004:B *231:57 0 +6 *1004:B *233:44 0.000164829 *RES -1 *1086:X *1087:A0 30.7476 +1 *1086:X *1004:B 32.1515 *END -*D_NET *208 0.00032384 +*D_NET *26 0.000765943 +*CONN +*I *1000:B I *D sky130_fd_sc_hd__nand2_1 +*I *1088:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1000:B 0.000127754 +2 *1088:X 0.000127754 +3 *1000:B *1005:A 3.58044e-05 +4 *1000:B *192:43 5.56461e-05 +5 *1000:B *203:25 0.000370801 +6 *1000:B *254:41 4.81849e-05 +*RES +1 *1088:X *1000:B 24.0926 +*END + +*D_NET *27 0.000521048 +*CONN +*I *967:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1090:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *967:A1 0.000108898 +2 *1090:X 0.000108898 +3 *967:A1 *1145:D 0.000122378 +4 *967:A1 *233:68 0.000116755 +5 *967:A1 *254:59 6.41184e-05 +*RES +1 *1090:X *967:A1 22.5975 +*END + +*D_NET *28 0.00135166 +*CONN +*I *965:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1092:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *965:A1 0.000363182 +2 *1092:X 0.000363182 +3 *965:A1 *965:B1_N 0.000333392 +4 *965:A1 *983:B1 1.79807e-05 +5 *965:A1 *1041:A 4.53353e-05 +6 *965:A2 *965:A1 0.000228593 +*RES +1 *1092:X *965:A1 38.7824 +*END + +*D_NET *29 0.00120032 +*CONN +*I *963:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1094:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *963:A1 0.000282627 +2 *1094:X 0.000282627 +3 *963:A1 *372:DIODE 0.000114584 +4 *963:A1 *1094:S 0.000288523 +5 *963:A1 *235:50 0.000114584 +6 *19:40 *963:A1 0.000117376 +*RES +1 *1094:X *963:A1 35.6236 +*END + +*D_NET *30 0.000348266 +*CONN +*I *973:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1080:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *973:A1 0.000158326 +2 *1080:X 0.000158326 +3 *973:S *973:A1 3.16131e-05 +*RES +1 *1080:X *973:A1 20.8855 +*END + +*D_NET *31 0.000348266 +*CONN +*I *971:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1081:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *971:A1 0.000158326 +2 *1081:X 0.000158326 +3 *971:S *971:A1 3.16131e-05 +*RES +1 *1081:X *971:A1 20.8855 +*END + +*D_NET *32 0.000345831 +*CONN +*I *968:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1082:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *968:A1 0.000102907 +2 *1082:X 0.000102907 +3 *968:A1 *228:15 1.9503e-05 +4 *968:A1 *248:15 3.13805e-06 +5 *968:S *968:A1 0.000117376 +*RES +1 *1082:X *968:A1 21.4642 +*END + +*D_NET *33 0.00112828 +*CONN +*I *888:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1079:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *888:A0 0.000208799 +2 *1079:X 0.000208799 +3 *888:A0 *374:DIODE 0.000110458 +4 *888:A0 *1162:CLK 5.41227e-05 +5 *888:A0 *232:40 0.000138027 +6 *888:A0 *232:49 0.000148017 +7 *888:A0 *259:44 4.77444e-05 +8 *888:A0 *262:45 0.000212309 +*RES +1 *1079:X *888:A0 34.7608 +*END + +*D_NET *34 0.000664467 +*CONN +*I *886:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1104:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *886:A0 0.000307692 +2 *1104:X 0.000307692 +3 *886:A0 *886:S 4.90834e-05 +*RES +1 *1104:X *886:A0 23.1039 +*END + +*D_NET *35 0.000678747 +*CONN +*I *942:B I *D sky130_fd_sc_hd__nand2_1 +*I *1072:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *942:B 0.000165144 +2 *1072:X 0.000165144 +3 *942:B *1052:A 5.03545e-06 +4 *942:B *1072:A0 3.92275e-05 +5 *942:B *1072:S 6.50727e-05 +6 *942:B *309:10 0.000239124 +*RES +1 *1072:X *942:B 32.5452 +*END + +*D_NET *36 0.00233077 +*CONN +*I *938:B I *D sky130_fd_sc_hd__nand2_1 +*I *1074:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *938:B 0.000672894 +2 *1074:X 0.000672894 +3 *938:B *934:B 0.000175154 +4 *938:B *938:A 0.000233797 +5 *938:B *940:A 1.31657e-05 +6 *938:B *1053:A 0 +7 *938:B *161:17 0.000315388 +8 *938:B *266:24 2.39189e-05 +9 *938:B *309:7 6.08467e-05 +10 *938:B *309:8 9.34409e-05 +11 *1179:A *938:B 6.92705e-05 +*RES +1 *1074:X *938:B 43.5571 +*END + +*D_NET *37 0.00186166 +*CONN +*I *934:B I *D sky130_fd_sc_hd__nand2_1 +*I *1101:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *934:B 0.000470416 +2 *1101:X 0.000470416 +3 *934:B *1053:A 8.96342e-05 +4 *934:B *1101:S 2.65831e-05 +5 *934:B *145:14 7.6719e-06 +6 *934:B *161:17 0.000107496 +7 *934:B *266:15 7.621e-05 +8 *934:B *266:24 7.01935e-06 +9 *934:B *309:580 7.23857e-05 +10 *934:B *309:591 0.000358671 +11 *938:B *934:B 0.000175154 +*RES +1 *1101:X *934:B 40.4465 +*END + +*D_NET *38 0.000878536 +*CONN +*I *914:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1103:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *914:A1 0.000165111 +2 *1103:X 0.000165111 +3 *914:A1 *1157:D 0.00015901 +4 *914:A1 *280:25 6.08467e-05 +5 *914:A1 *309:630 0.000208627 +6 *18:9 *914:A1 6.51459e-05 +7 *18:19 *914:A1 5.46833e-05 +*RES +1 *1103:X *914:A1 24.2372 +*END + +*D_NET *39 0.00162556 +*CONN +*I *912:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1076:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *912:A1 0.000438082 +2 *1076:X 0.000438082 +3 *912:A1 *1059:A 2.18704e-05 +4 *912:A1 *1158:D 0.000168313 +5 *912:A1 *1170:A 2.29454e-05 +6 *912:A1 *269:19 9.02e-05 +7 *912:A1 *270:21 7.45615e-05 +8 *910:A2 *912:A1 0.000205985 +9 *912:A2 *912:A1 0.000165521 +10 *18:42 *912:A1 0 +*RES +1 *1076:X *912:A1 41.1587 +*END + +*D_NET *40 0.000874943 +*CONN +*I *910:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1078:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *910:A1 0.000189488 +2 *1078:X 0.000189488 +3 *910:A1 *909:A 9.52449e-05 +4 *910:A1 *909:B 1.17054e-05 +5 *910:A1 *1058:B 0.00016553 +6 *910:A1 *1170:A 6.08467e-05 +7 *910:A1 *93:15 0.000162639 +*RES +1 *1078:X *910:A1 25.5393 +*END + +*D_NET *41 0.00146076 +*CONN +*I *920:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1097:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *920:A1 0.000423112 +2 *1097:X 0.000423112 +3 *920:A1 *1067:S 0.000115934 +4 *920:A1 *1097:A0 0 +5 *920:A1 *259:94 5.82465e-05 +6 *920:A1 *272:8 0 +7 *920:A1 *272:9 4.66889e-05 +8 *920:A1 *280:33 0.000121537 +9 *920:A1 *280:39 1.00981e-05 +10 *920:S *920:A1 0.000262037 +*RES +1 *1097:X *920:A1 37.0115 +*END + +*D_NET *42 0.000742595 +*CONN +*I *918:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1098:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *918:A1 0.000205014 +2 *1098:X 0.000205014 +3 *918:A1 *273:10 0.000193823 +4 *918:A1 *273:21 7.50872e-05 +5 *20:7 *918:A1 6.3657e-05 +*RES +1 *1098:X *918:A1 32.9604 +*END + +*D_NET *43 0.000709607 +*CONN +*I *915:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1099:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *915:A1 0.000253035 +2 *1099:X 0.000253035 +3 *915:A1 *915:A0 8.85947e-05 +4 *915:A1 *154:9 0.000109427 +5 *915:S *915:A1 5.51483e-06 +*RES +1 *1099:X *915:A1 22.5493 +*END + +*D_NET *44 0.000223169 +*CONN +*I *1105:S I *D sky130_fd_sc_hd__mux2_1 +*I *1019:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1105:S 5.45481e-05 +2 *1019:Y 5.45481e-05 +3 *1105:S *1019:A 5.70364e-05 +4 *1105:S *231:37 5.70364e-05 +*RES +1 *1019:Y *1105:S 29.7455 +*END + +*D_NET *45 0.00225721 +*CONN +*I *1107:S I *D sky130_fd_sc_hd__mux2_1 +*I *1020:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1107:S 0.000884016 +2 *1020:Y 0.000884016 +3 *1107:S *284:11 0.000489179 +*RES +1 *1020:Y *1107:S 44.0525 +*END + +*D_NET *46 0.00159485 +*CONN +*I *1096:S I *D sky130_fd_sc_hd__mux2_1 +*I *1095:S I *D sky130_fd_sc_hd__mux2_1 +*I *1021:Y O *D sky130_fd_sc_hd__nor3b_1 +*CAP +1 *1096:S 0 +2 *1095:S 0.000344597 +3 *1021:Y 3.08813e-05 +4 *46:5 0.000375478 +5 *1095:S *1044:B 0.000200236 +6 *1095:S *1095:A0 0.000122858 +7 *1095:S *1095:A1 6.46815e-05 +8 *1095:S *1096:A0 3.31733e-05 +9 *1095:S *1096:A1 0.000122378 +10 *1095:S *187:12 4.61271e-05 +11 *1095:S *314:11 0.000126335 +12 *46:5 *1096:A1 0.000106215 +13 *990:A0 *1095:S 2.18898e-05 +*RES +1 *1021:Y *46:5 10.5271 +2 *46:5 *1095:S 29.284 +3 *46:5 *1096:S 9.24915 +*END + +*D_NET *47 0.00210927 +*CONN +*I *1194:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1068:S I *D sky130_fd_sc_hd__mux2_2 +*I *977:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *1194:A 0.000217077 +2 *1068:S 0.000136339 +3 *977:X 4.51842e-05 +4 *47:7 0.0003986 +5 *1068:S *983:B1 0 +6 *1068:S *1146:CLK 0.000320272 +7 *1068:S *233:82 0.000200221 +8 *1194:A *1146:CLK 0.000250598 +9 *1194:A *233:82 0.000123582 +10 *1194:A *233:97 0.000287278 +11 *47:7 *234:47 6.08467e-05 +12 *1068:A0 *1068:S 6.92705e-05 +*RES +1 *977:X *47:7 14.4725 +2 *47:7 *1068:S 20.0418 +3 *47:7 *1194:A 21.3947 +*END + +*D_NET *48 0.00756783 +*CONN +*I *1083:S I *D sky130_fd_sc_hd__mux2_1 +*I *1085:S I *D sky130_fd_sc_hd__mux2_1 +*I *1087:S I *D sky130_fd_sc_hd__mux2_1 +*I *980:C I *D sky130_fd_sc_hd__nand3_1 +*I *979:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1083:S 0.000566481 +2 *1085:S 0 +3 *1087:S 0 +4 *980:C 0.000425542 +5 *979:Y 0.00026207 +6 *48:43 0.00111055 +7 *48:25 0.000640229 +8 *48:10 0.000783772 +9 *980:C *984:A1 0.000583113 +10 *980:C *984:A2 6.08467e-05 +11 *980:C *984:B1_N 9.75356e-05 +12 *980:C *985:B 7.58217e-06 +13 *980:C *234:33 3.31733e-05 +14 *1083:S *1009:A1 6.2497e-05 +15 *1083:S *1009:B1 0.000317693 +16 *1083:S *1083:A1 1.27193e-05 +17 *1083:S *1085:A0 0 +18 *1083:S *1135:SET_B 0.000114594 +19 *1083:S *203:45 0.000126743 +20 *1083:S *231:91 7.246e-05 +21 *1083:S *241:5 0.000353438 +22 *1083:S *309:234 0 +23 *1083:S *309:263 3.74039e-05 +24 *48:10 *982:A 0 +25 *48:10 *984:A1 0.000236602 +26 *48:10 *1087:A0 0.000318901 +27 *48:10 *231:57 0 +28 *48:25 *235:15 4.44214e-05 +29 *48:43 *1085:A1 6.08467e-05 +30 *48:43 *1086:A0 6.92705e-05 +31 *48:43 *231:57 4.69495e-06 +32 *48:43 *231:81 4.17531e-06 +33 *48:43 *231:91 0.000142522 +34 *48:43 *235:15 0.000108506 +35 *48:43 *254:25 0.000217951 +36 *48:43 *317:27 1.84952e-05 +37 *998:B1 *980:C 0.000119821 +38 *998:B1 *48:25 0.00015661 +39 *998:B1 *48:43 0.000308614 +40 *1004:B *48:43 8.79582e-05 +*RES +1 *979:Y *48:10 25.797 +2 *48:10 *980:C 30.6481 +3 *48:10 *48:25 3.54464 +4 *48:25 *1087:S 9.24915 +5 *48:25 *48:43 25.1509 +6 *48:43 *1085:S 9.24915 +7 *48:43 *1083:S 35.6902 +*END + +*D_NET *49 0.00456918 +*CONN +*I *1089:S I *D sky130_fd_sc_hd__mux2_1 +*I *1091:S I *D sky130_fd_sc_hd__mux2_1 +*I *1093:S I *D sky130_fd_sc_hd__mux2_1 +*I *1022:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1089:S 0 +2 *1091:S 0.000355518 +3 *1093:S 8.66611e-05 +4 *1022:Y 0 +5 *49:16 0.000861268 +6 *49:4 0.000592411 +7 *1091:S *336:DIODE 4.33655e-05 +8 *1091:S *370:DIODE 0.000219875 +9 *1091:S *965:B1_N 0.000171273 +10 *1091:S *1089:A1 6.92705e-05 +11 *1091:S *1090:A0 3.14978e-05 +12 *1091:S *1091:A1 3.14978e-05 +13 *1093:S *179:11 0.000307332 +14 *1093:S *179:16 0.000216467 +15 *1093:S *234:45 0.000106567 +16 *1093:S *235:50 4.56667e-05 +17 *49:16 *951:B 1.8099e-05 +18 *49:16 *1089:A0 5.19205e-05 +19 *49:16 *1089:A1 0 +20 *49:16 *1090:A0 0 +21 *49:16 *1145:D 5.82465e-05 +22 *49:16 *172:8 0 +23 *49:16 *172:15 0 +24 *49:16 *179:11 0.000271044 +25 *49:16 *234:45 0.000108266 +26 *49:16 *243:39 3.69999e-05 +27 *49:16 *309:154 0.00049518 +28 *49:16 *309:498 2.21765e-05 +29 *19:40 *1093:S 0.000368581 +30 *19:40 *49:16 0 +*RES +1 *1022:Y *49:4 9.24915 +2 *49:4 *1093:S 16.0732 +3 *49:4 *49:16 25.4009 +4 *49:16 *1091:S 21.6192 +5 *49:16 *1089:S 9.24915 +*END + +*D_NET *50 0.00374206 +*CONN +*I *1079:S I *D sky130_fd_sc_hd__mux2_1 +*I *947:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *1104:S I *D sky130_fd_sc_hd__mux2_1 +*I *948:A I *D sky130_fd_sc_hd__nand3_1 +*I *944:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1079:S 0 +2 *947:A1 0.00013784 +3 *1104:S 0.000213753 +4 *948:A 7.87798e-05 +5 *944:Y 0.000462755 +6 *50:25 0.000351593 +7 *50:15 0.000331129 +8 *50:9 0.000715104 +9 *947:A1 *948:B 0.000178049 +10 *947:A1 *948:C 1.14782e-05 +11 *947:A1 *949:B 3.88852e-05 +12 *948:A *948:B 3.57621e-05 +13 *948:A *948:C 1.37189e-05 +14 *1104:S *886:S 0.000117376 +15 *1104:S *948:B 3.06627e-05 +16 *1104:S *948:C 2.22714e-05 +17 *1104:S *232:40 8.36586e-06 +18 *1104:S *232:49 0.000180417 +19 *1104:S *259:20 2.15348e-05 +20 *50:9 *374:DIODE 0.000202269 +21 *50:9 *1130:RESET_B 1.73177e-05 +22 *50:9 *309:827 0.000310023 +23 *50:15 *374:DIODE 0.000231918 +24 *50:15 *948:B 3.10541e-05 +*RES +1 *944:Y *50:9 30.5087 +2 *50:9 *50:15 7.57154 +3 *50:15 *948:A 11.5158 +4 *50:15 *50:25 4.5 +5 *50:25 *1104:S 20.809 +6 *50:25 *947:A1 18.1459 +7 *50:9 *1079:S 9.24915 +*END + +*D_NET *51 0.00260132 +*CONN +*I *927:A I *D sky130_fd_sc_hd__nand3_1 +*I *1067:S I *D sky130_fd_sc_hd__mux2_1 +*I *924:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *927:A 0.000193227 +2 *1067:S 0.000281857 +3 *924:X 5.0318e-05 +4 *51:7 0.000525401 +5 *927:A *927:B 1.07248e-05 +6 *927:A *933:A 0 +7 *927:A *1100:A1 5.04238e-05 +8 *927:A *1100:S 9.2346e-06 +9 *927:A *140:11 0.000167047 +10 *927:A *140:33 0.000187019 +11 *927:A *261:95 0.000332399 +12 *927:A *261:135 0.000107643 +13 *1067:S *897:B1 9.94169e-05 +14 *1067:S *930:A1 5.47065e-05 +15 *1067:S *140:11 7.45478e-05 +16 *1067:S *259:94 0.000185026 +17 *1067:S *261:95 5.42307e-05 +18 *1067:S *280:33 5.58904e-05 +19 *1067:S *280:39 2.16355e-05 +20 *51:7 *261:95 2.16355e-05 +21 *920:A1 *1067:S 0.000115934 +22 *920:S *1067:S 3.00174e-06 +*RES +1 *924:X *51:7 14.4725 +2 *51:7 *1067:S 24.0819 +3 *51:7 *927:A 22.6404 +*END + +*D_NET *52 0.00565732 +*CONN +*I *1073:S I *D sky130_fd_sc_hd__mux2_1 +*I *1100:S I *D sky130_fd_sc_hd__mux2_1 +*I *927:C I *D sky130_fd_sc_hd__nand3_1 +*I *1071:S I *D sky130_fd_sc_hd__mux2_1 +*I *926:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1073:S 0.000119777 +2 *1100:S 0.000116405 +3 *927:C 0 +4 *1071:S 0.000121529 +5 *926:Y 0.00042632 +6 *52:28 0.000350793 +7 *52:22 0.000794074 +8 *52:8 0.000987756 +9 *1071:S *1072:A0 0 +10 *1071:S *1072:A1 4.17531e-06 +11 *1071:S *1072:S 6.3657e-05 +12 *1071:S *259:151 1.78942e-05 +13 *1071:S *309:10 0 +14 *1073:S *1073:A1 0.000208627 +15 *1073:S *161:17 1.4106e-05 +16 *1100:S *927:B 0.000151741 +17 *1100:S *933:A 5.47652e-05 +18 *1100:S *1100:A1 1.32509e-05 +19 *1100:S *1101:A1 4.95892e-05 +20 *1100:S *145:14 1.43848e-05 +21 *52:8 *926:C_N 5.35113e-05 +22 *52:8 *1053:A 5.1674e-06 +23 *52:8 *266:24 0.000333575 +24 *52:8 *267:33 8.66023e-05 +25 *52:22 *1073:A0 8.2836e-05 +26 *52:22 *1073:A1 3.51569e-05 +27 *52:22 *1074:A1 0.000159032 +28 *52:22 *161:17 6.00782e-06 +29 *52:28 *1101:A0 0.00042665 +30 *52:28 *1101:A1 0.000613431 +31 *52:28 *1101:S 1.92336e-05 +32 *52:28 *145:14 6.34953e-05 +33 *52:28 *159:10 0.000183074 +34 *927:A *1100:S 9.2346e-06 +35 *4:7 *52:28 7.14629e-05 +*RES +1 *926:Y *52:8 23.9184 +2 *52:8 *1071:S 16.7198 +3 *52:8 *52:22 11.9028 +4 *52:22 *52:28 20.4262 +5 *52:28 *927:C 9.24915 +6 *52:28 *1100:S 22.8808 +7 *52:22 *1073:S 12.7697 +*END + +*D_NET *53 0.00253152 +*CONN +*I *1077:S I *D sky130_fd_sc_hd__mux2_1 +*I *1075:S I *D sky130_fd_sc_hd__mux2_1 +*I *1102:S I *D sky130_fd_sc_hd__mux2_1 +*I *1023:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1077:S 0.000163353 +2 *1075:S 0 +3 *1102:S 0.000180704 +4 *1023:Y 7.76017e-05 +5 *53:8 0.000232431 +6 *53:7 0.000292681 +7 *1077:S *908:B 3.31882e-05 +8 *1077:S *1075:A0 4.71765e-06 +9 *1077:S *1119:D 0.000192902 +10 *1077:S *329:8 4.9e-05 +11 *1102:S *902:B 0.000210988 +12 *1102:S *1075:A0 8.01546e-06 +13 *1102:S *1102:A0 0.000117376 +14 *1102:S *1102:A1 6.36477e-05 +15 *1102:S *1173:A 3.66984e-05 +16 *1102:S *259:58 0.000361439 +17 *1102:S *260:40 2.652e-05 +18 *1102:S *329:8 0.00021405 +19 *53:7 *55:14 0.000109427 +20 *53:8 *1075:A0 3.06815e-05 +21 *53:8 *329:8 0.0001261 +*RES +1 *1023:Y *53:7 15.0271 +2 *53:7 *53:8 2.24725 +3 *53:8 *1102:S 22.263 +4 *53:8 *1075:S 13.7491 +5 *53:7 *1077:S 18.4879 +*END + +*D_NET *54 0.000565929 +*CONN +*I *1025:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1105:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1025:A2_N 0.000177203 +2 *1105:X 0.000177203 +3 *1025:A2_N *305:79 0.000116 +4 *1025:A2_N *309:171 6.3657e-05 +5 *1025:A2_N *310:20 3.18656e-05 +*RES +1 *1105:X *1025:A2_N 31.7469 +*END + +*D_NET *55 0.00436348 +*CONN +*I *1069:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1070:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1106:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1069:A0 0.00034788 +2 *1070:A0 6.43896e-05 +3 *1106:X 0.000413248 +4 *55:14 0.000825518 +5 *1069:A0 *908:B 7.54269e-06 +6 *1069:A0 *1069:S 0.000322047 +7 *1069:A0 *1070:S 0.000536476 +8 *1069:A0 *1160:D 5.04829e-06 +9 *1069:A0 *146:66 9.24241e-05 +10 *1069:A0 *255:28 0.000456146 +11 *1069:A0 *261:20 0.000260325 +12 *1069:A0 *288:21 1.65872e-05 +13 *1070:A0 *908:B 3.50287e-05 +14 *1070:A0 *1160:D 0 +15 *1070:A0 *261:20 0.000111802 +16 *55:14 *901:A 2.17834e-05 +17 *55:14 *902:A 0.000110458 +18 *55:14 *904:C 4.69465e-05 +19 *55:14 *908:A 1.00114e-05 +20 *55:14 *1023:A 2.58616e-05 +21 *55:14 *1106:A0 1.29211e-05 +22 *55:14 *1106:S 0.00015901 +23 *55:14 *1129:CLK 4.38222e-05 +24 *55:14 *142:21 0.000268573 +25 *55:14 *281:21 6.02065e-05 +26 *53:7 *55:14 0.000109427 +*RES +1 *1106:X *55:14 30.7157 +2 *55:14 *1070:A0 11.5158 +3 *55:14 *1069:A0 33.8546 +*END + +*D_NET *56 0.00171333 +*CONN +*I *1027:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1107:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1027:A2_N 0.000331891 +2 *1107:X 0.000331891 +3 *1027:A2_N *1128:D 0.000197019 +4 *1027:A2_N *1131:D 8.608e-05 +5 *1027:A2_N *1131:SET_B 4.70567e-05 +6 *1027:A2_N *260:13 0.000267328 +7 *1027:A2_N *260:175 9.90819e-05 +8 *1027:A2_N *281:49 0.000155116 +9 *1027:A2_N *309:812 4.39142e-05 +10 *8:10 *1027:A2_N 0.000153949 +*RES +1 *1107:X *1027:A2_N 41.4993 +*END + +*D_NET *57 0.000514075 +*CONN +*I *1080:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1028:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1080:A0 0.00020141 +2 *1028:Y 0.00020141 +3 *1080:A0 *1029:B 8.92089e-05 +4 *973:S *1080:A0 2.20457e-05 +*RES +1 *1028:Y *1080:A0 31.1629 +*END + +*D_NET *58 0.000587674 +*CONN +*I *1080:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1029:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1080:A1 0.000232536 +2 *1029:Y 0.000232536 +3 *1080:A1 *1029:B 5.65845e-05 +4 *1080:A1 *309:119 6.28168e-05 +5 *973:S *1080:A1 3.20069e-06 +*RES +1 *1029:Y *1080:A1 32.1327 +*END + +*D_NET *59 0.000954075 +*CONN +*I *1081:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1030:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1081:A0 0.00032051 +2 *1030:Y 0.00032051 +3 *1081:A0 *1029:A 0.000117376 +4 *1081:A0 *308:7 7.98425e-06 +5 *1081:A0 *308:17 6.65668e-05 +6 *1081:A0 *313:24 9.90819e-05 +7 *971:S *1081:A0 2.20457e-05 +*RES +1 *1030:Y *1081:A0 33.1348 +*END + +*D_NET *60 0.000305231 +*CONN +*I *1081:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1033:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1081:A1 0.000118236 +2 *1033:Y 0.000118236 +3 *1081:A1 *308:7 6.87578e-05 +*RES +1 *1033:Y *1081:A1 21.4401 +*END + +*D_NET *61 0.00157658 +*CONN +*I *1082:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1035:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1082:A0 0.000593687 +2 *1035:X 0.000593687 +3 *1082:A0 *1029:A 2.84786e-05 +4 *1082:A0 *1030:A 2.23124e-05 +5 *1082:A0 *1031:B 2.07365e-05 +6 *1082:A0 *1032:A2 0.000111722 +7 *1082:A0 *313:24 1.9503e-05 +8 *1082:A0 *314:11 6.5862e-05 +9 *968:S *1082:A0 0.000120594 +*RES +1 *1035:X *1082:A0 38.094 +*END + +*D_NET *62 0.000977824 +*CONN +*I *1033:B I *D sky130_fd_sc_hd__nor2_1 +*I *1082:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1032:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1033:B 0.000216326 +2 *1082:A1 8.42377e-05 +3 *1032:X 0 +4 *62:4 0.000300564 +5 *1033:B *983:C1 0 +6 *1033:B *1032:B1 8.28203e-05 +7 *1033:B *1033:A 5.04734e-05 +8 *1033:B *1082:S 0.00014964 +9 *1033:B *187:12 0 +10 *1033:B *314:11 5.1674e-06 +11 *1082:A1 *228:15 8.85947e-05 +*RES +1 *1032:X *62:4 9.24915 +2 *62:4 *1082:A1 11.6364 +3 *62:4 *1033:B 25.0642 +*END + +*D_NET *63 0.000732725 +*CONN +*I *1083:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1009:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1007:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *1083:A0 0 +2 *1009:A1 8.918e-05 +3 *1007:Y 0.000123968 +4 *63:8 0.000213148 +5 *1009:A1 *1009:B1 0.000167076 +6 *1009:A1 *1084:A0 5.07314e-05 +7 *63:8 *1009:B1 2.61242e-05 +8 *1083:S *1009:A1 6.2497e-05 +*RES +1 *1007:Y *63:8 20.9116 +2 *63:8 *1009:A1 12.7456 +3 *63:8 *1083:A0 9.24915 +*END + +*D_NET *64 0.0012535 +*CONN +*I *1084:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1083:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1084:A0 0.000358958 +2 *1083:X 0.000358958 +3 *1084:A0 *1009:B1 5.51483e-06 +4 *1084:A0 *1083:A1 0 +5 *1084:A0 *1108:CLK 0.000118166 +6 *1084:A0 *1134:D 9.96342e-05 +7 *1084:A0 *203:45 2.65667e-05 +8 *1084:A0 *233:24 0.000118166 +9 *1008:B *1084:A0 0.000116806 +10 *1009:A1 *1084:A0 5.07314e-05 +*RES +1 *1083:X *1084:A0 37.148 +*END + +*D_NET *65 0.00063236 +*CONN +*I *1085:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1036:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1085:A0 0.000244813 +2 *1036:Y 0.000244813 +3 *1085:A0 *1036:A 1.79672e-05 +4 *1085:A0 *1135:CLK 0 +5 *1085:A0 *231:91 6.50727e-05 +6 *1085:A0 *309:234 5.96936e-05 +7 *1083:S *1085:A0 0 +*RES +1 *1036:Y *1085:A0 32.9604 +*END + +*D_NET *66 0.000522315 +*CONN +*I *1086:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1085:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1086:A0 0.000150742 +2 *1085:X 0.000150742 +3 *1086:A0 *953:B1 1.07248e-05 +4 *1086:A0 *1085:A1 2.72403e-05 +5 *1086:A0 *234:33 4.40531e-05 +6 *1086:A0 *254:25 6.48865e-05 +7 *1086:A0 *317:27 4.65545e-06 +8 *48:43 *1086:A0 6.92705e-05 +*RES +1 *1085:X *1086:A0 30.8842 +*END + +*D_NET *67 0.00175352 +*CONN +*I *1087:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1038:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1087:A0 0.000472721 +2 *1038:X 0.000472721 +3 *1087:A0 *982:B 0.000128064 +4 *1087:A0 *1037:A 2.63056e-05 +5 *1087:A0 *1037:B 2.41483e-05 +6 *1087:A0 *1038:B 0.00016763 +7 *1087:A0 *231:57 0 +8 *1087:A0 *240:32 0.000143032 +9 *48:10 *1087:A0 0.000318901 +*RES +1 *1038:X *1087:A0 39.192 +*END + +*D_NET *68 0.000872458 +*CONN +*I *1088:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1087:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1088:A0 0.00024294 +2 *1087:X 0.00024294 +3 *1088:A0 *982:A 0.000161252 +4 *1088:A0 *984:A1 0.000145984 +5 *1088:A0 *1088:A1 1.56949e-05 +6 *1088:A0 *233:44 6.36477e-05 +*RES +1 *1087:X *1088:A0 33.132 +*END + +*D_NET *69 0.000637925 +*CONN +*I *1089:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1039:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1089:A0 0.000238663 +2 *1039:Y 0.000238663 +3 *1089:A0 *1039:A 9.06988e-05 +4 *1089:A0 *1090:A0 1.79807e-05 +5 *49:16 *1089:A0 5.19205e-05 +*RES +1 *1039:Y *1089:A0 32.548 +*END + +*D_NET *70 0.00090843 +*CONN +*I *1090:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1089:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1090:A0 0.000330498 +2 *1089:X 0.000330498 +3 *1090:A0 *370:DIODE 7.58739e-05 +4 *1090:A0 *1145:D 6.23875e-05 +5 *1090:A0 *243:39 5.96936e-05 +6 *1089:A0 *1090:A0 1.79807e-05 +7 *1091:S *1090:A0 3.14978e-05 +8 *49:16 *1090:A0 0 +*RES +1 *1089:X *1090:A0 34.7931 +*END + +*D_NET *71 0.000948135 +*CONN +*I *1091:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1040:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1091:A0 0.000325716 +2 *1040:Y 0.000325716 +3 *1091:A0 *965:B1_N 0.000118166 +4 *1091:A0 *1189:A 0.000120584 +5 *1091:A0 *305:93 5.79544e-05 +*RES +1 *1040:Y *1091:A0 35.1761 +*END + +*D_NET *72 0.000886056 +*CONN +*I *1092:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1091:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1092:A0 0.000356423 +2 *1091:X 0.000356423 +3 *1092:A0 *965:B1_N 3.16131e-05 +4 *1092:A0 *983:B1 0 +5 *1092:A0 *1041:A 7.29011e-05 +6 *1092:A0 *1041:B 6.36477e-05 +7 *1092:A0 *1092:A1 5.04829e-06 +*RES +1 *1091:X *1092:A0 35.069 +*END + +*D_NET *73 0.000601304 +*CONN +*I *1093:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1042:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1093:A0 0.00013882 +2 *1042:X 0.00013882 +3 *1093:A0 *1094:S 0 +4 *1093:A0 *234:45 0.000113968 +5 *1093:A0 *245:8 0.000101148 +6 *1093:A0 *305:89 8.37812e-05 +7 *1093:A0 *317:36 2.47663e-05 +*RES +1 *1042:X *1093:A0 31.4388 +*END + +*D_NET *74 0.000765387 +*CONN +*I *1094:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1093:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1094:A0 0.000152672 +2 *1093:X 0.000152672 +3 *1094:A0 *963:B1_N 9.40857e-05 +4 *1094:A0 *1094:S 0.000263976 +5 *1094:A0 *1147:RESET_B 2.46935e-05 +6 *1094:A0 *235:50 6.50586e-05 +7 *1094:A0 *245:8 1.22289e-05 +*RES +1 *1093:X *1094:A0 32.9604 +*END + +*D_NET *75 0.000576125 +*CONN +*I *1095:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1043:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1095:A0 0.000199221 +2 *1043:Y 0.000199221 +3 *1095:A0 *236:27 4.25952e-05 +4 *1095:A0 *314:11 1.22289e-05 +5 *1095:S *1095:A0 0.000122858 +*RES +1 *1043:Y *1095:A0 31.9934 +*END + +*D_NET *76 0.000646337 +*CONN +*I *1096:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1044:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1096:A0 0.000202893 +2 *1044:Y 0.000202893 +3 *1096:A0 *1044:B 4.8345e-05 +4 *1096:A0 *272:15 0.000159032 +5 *1095:S *1096:A0 3.31733e-05 +*RES +1 *1044:Y *1096:A0 31.9934 +*END + +*D_NET *77 0.00142992 +*CONN +*I *1097:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1045:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1097:A0 0.000312263 +2 *1045:Y 0.000312263 +3 *1097:A0 *228:8 9.56977e-05 +4 *1097:A0 *229:10 0.00016418 +5 *1097:A0 *229:15 2.01653e-05 +6 *1097:A0 *259:94 4.84848e-05 +7 *1097:A0 *272:8 0.000102527 +8 *1097:A0 *280:33 0.000111222 +9 *920:A1 *1097:A0 0 +10 *1067:A0 *1097:A0 0.000263116 +*RES +1 *1045:Y *1097:A0 38.1179 +*END + +*D_NET *78 0.00022119 +*CONN +*I *1097:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1046:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1097:A1 0.000110595 +2 *1046:Y 0.000110595 +*RES +1 *1046:Y *1097:A1 29.7455 +*END + +*D_NET *79 0.00188515 +*CONN +*I *1098:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1047:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1098:A0 0.000415478 +2 *1047:Y 0.000415478 +3 *1098:A0 *923:B 2.03171e-05 +4 *1098:A0 *1047:A 4.61271e-05 +5 *1098:A0 *1051:A 6.08467e-05 +6 *1098:A0 *1051:B 0.000328031 +7 *1098:A0 *273:10 0.000194077 +8 *1098:A0 *273:21 0.000110279 +9 *918:S *1098:A0 0.000159038 +10 *20:7 *1098:A0 0.000135477 +*RES +1 *1047:Y *1098:A0 40.4756 +*END + +*D_NET *80 0.00138991 +*CONN +*I *1098:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1050:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1098:A1 0.000409544 +2 *1050:Y 0.000409544 +3 *1098:A1 *1049:B1 0.000101301 +4 *1098:A1 *1050:B 0.000154993 +5 *1098:A1 *82:8 0.000255283 +6 *1098:A1 *280:39 3.83021e-05 +7 *20:7 *1098:A1 2.09463e-05 +*RES +1 *1050:Y *1098:A1 36.8427 +*END + +*D_NET *81 0.000617673 +*CONN +*I *1099:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1052:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1099:A0 0.000250836 +2 *1052:X 0.000250836 +3 *1099:A0 *923:B 5.23435e-05 +4 *1099:A0 *154:9 6.3657e-05 +*RES +1 *1052:X *1099:A0 32.4381 +*END + +*D_NET *82 0.00249583 +*CONN +*I *1050:B I *D sky130_fd_sc_hd__nor2_1 +*I *1099:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1049:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1050:B 0.000159466 +2 *1099:A1 0.000265222 +3 *1049:X 9.93288e-05 +4 *82:8 0.000524016 +5 *1050:B *1049:B1 1.64895e-05 +6 *1050:B *1050:A 6.27718e-05 +7 *1050:B *259:139 0 +8 *1050:B *260:83 7.40248e-05 +9 *1050:B *261:119 2.58616e-05 +10 *1099:A1 *924:C1 3.54295e-05 +11 *1099:A1 *154:9 0.000559663 +12 *82:8 *1049:B1 6.66484e-05 +13 *82:8 *260:83 0.000119367 +14 *82:8 *280:39 7.72637e-05 +15 *1098:A1 *1050:B 0.000154993 +16 *1098:A1 *82:8 0.000255283 +*RES +1 *1049:X *82:8 18.3836 +2 *82:8 *1099:A1 21.1278 +3 *82:8 *1050:B 18.7961 +*END + +*D_NET *83 0.00132561 +*CONN +*I *1071:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *943:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *941:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *1071:A0 0.000109489 +2 *943:A1 7.57157e-05 +3 *941:Y 8.53079e-05 +4 *83:8 0.000270513 +5 *943:A1 *943:A2 4.23874e-05 +6 *943:A1 *1072:A1 5.04829e-06 +7 *943:A1 *1072:S 2.65667e-05 +8 *1071:A0 *1072:A0 0.000253916 +9 *1071:A0 *1072:A1 0.000108132 +10 *1071:A0 *1072:S 0.000206129 +11 *83:8 *941:A 0.000142408 +12 *83:8 *943:B1 0 +*RES +1 *941:Y *83:8 20.9116 +2 *83:8 *943:A1 11.6364 +3 *83:8 *1071:A0 14.964 +*END + +*D_NET *84 0.000791756 +*CONN +*I *1072:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1071:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1072:A0 0.000120243 +2 *1071:X 0.000120243 +3 *1072:A0 *1072:A1 0.000258128 +4 *942:B *1072:A0 3.92275e-05 +5 *1071:A0 *1072:A0 0.000253916 +6 *1071:S *1072:A0 0 +*RES +1 *1071:X *1072:A0 32.2721 +*END + +*D_NET *85 0.00143052 +*CONN +*I *1073:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1053:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1073:A0 0.000164511 +2 *1053:Y 0.000164511 +3 *1073:A0 *1073:A1 0.000254262 +4 *1073:A0 *1074:A1 6.04829e-05 +5 *1073:A0 *161:17 0.000703919 +6 *52:22 *1073:A0 8.2836e-05 +*RES +1 *1053:Y *1073:A0 27.4202 +*END + +*D_NET *86 0.00060008 *CONN *I *1074:A0 I *D sky130_fd_sc_hd__mux2_1 *I *1073:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1074:A0 9.42038e-05 -2 *1073:X 9.42038e-05 -3 *937:B *1074:A0 6.27718e-05 -4 *4:7 *1074:A0 7.26606e-05 +1 *1074:A0 0.000170935 +2 *1073:X 0.000170935 +3 *1074:A0 *161:17 0.00025821 *RES -1 *1073:X *1074:A0 29.6384 +1 *1073:X *1074:A0 21.4401 *END -*D_NET *209 0.0016479 +*D_NET *87 0.00130014 *CONN -*I *1058:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1057:X O *D sky130_fd_sc_hd__mux2_1 +*I *1100:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1055:X O *D sky130_fd_sc_hd__xor2_1 *CAP -1 *1058:A0 0.000341094 -2 *1057:X 0.000341094 -3 *1058:A0 *369:DIODE 9.05084e-06 -4 *1058:A0 *907:C 0.000205681 -5 *1058:A0 *1047:A 0.000250415 -6 *1058:A0 *1047:B 0.000160047 -7 *1058:A0 *1058:A1 6.27718e-05 -8 *1058:A0 *214:8 0.000118017 -9 *1058:A0 *249:38 2.77625e-06 -10 *1069:A0 *1058:A0 0.000156955 +1 *1100:A0 0.00065007 +2 *1055:X 0.00065007 *RES -1 *1057:X *1058:A0 37.9547 +1 *1055:X *1100:A0 38.5065 *END -*D_NET *210 0.00157148 +*D_NET *88 0.00104227 *CONN -*I *1070:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1069:X O *D sky130_fd_sc_hd__mux2_1 +*I *1101:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1100:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1070:A0 0.0003307 -2 *1069:X 0.0003307 -3 *1070:A0 *1058:A1 0.000254162 -4 *1070:A0 *247:62 0.000123137 -5 *1070:A0 *247:68 6.08467e-05 -6 *1070:A0 *248:52 0.000352401 -7 *1070:A0 *268:43 2.45317e-05 -8 *910:A1 *1070:A0 6.00124e-05 -9 *1142:D *1070:A0 3.49937e-05 +1 *1101:A0 0.000164624 +2 *1100:X 0.000164624 +3 *1101:A0 *933:A 3.40805e-05 +4 *1101:A0 *1101:S 0.000115934 +5 *1101:A0 *145:14 0.000136352 +6 *4:7 *1101:A0 0 +7 *52:28 *1101:A0 0.00042665 *RES -1 *1069:X *1070:A0 39.1948 +1 *1100:X *1101:A0 34.7664 *END -*D_NET *211 0.000918798 +*D_NET *89 0.000509303 *CONN -*I *1067:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1066:X O *D sky130_fd_sc_hd__mux2_1 +*I *1102:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1056:Y O *D sky130_fd_sc_hd__clkinv_2 *CAP -1 *1067:A0 0.000255532 -2 *1066:X 0.000255532 -3 *1067:A0 *1048:A 2.30636e-05 -4 *1067:A0 *220:16 6.93171e-05 -5 *1067:A0 *257:36 7.26748e-05 -6 *905:B *1067:A0 0.000110306 -7 *906:B1_N *1067:A0 0.000109427 -8 *115:61 *1067:A0 2.29454e-05 +1 *1102:A0 3.96097e-05 +2 *1056:Y 3.96097e-05 +3 *1102:A0 *1102:A1 4.60884e-05 +4 *1102:A0 *1173:A 0.00026662 +5 *1102:S *1102:A0 0.000117376 *RES -1 *1066:X *1067:A0 32.5802 +1 *1056:Y *1102:A0 21.4401 *END -*D_NET *212 0.00471232 +*D_NET *90 0.00182242 *CONN -*I *1155:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1152:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1149:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *1103:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1102:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1155:A 0.000185499 -2 *1152:A 0.00165382 -3 *1149:X 0 -4 *212:4 0.00183932 -5 *1152:A *1090:A0 0.000505147 -6 *1152:A *1104:CLK 0.000245244 -7 *1152:A *267:11 8.3426e-06 -8 *1152:A *296:374 6.87042e-06 -9 *1155:A *1090:A0 0.000198584 -10 *1149:A *1152:A 6.94887e-05 +1 *1103:A0 0.000419157 +2 *1102:X 0.000419157 +3 *1103:A0 *1076:A0 3.74005e-05 +4 *1103:A0 *1103:A1 6.27718e-05 +5 *1103:A0 *1103:S 2.08274e-05 +6 *1103:A0 *280:11 0.000562494 +7 *1103:A0 *280:17 0.000221195 +8 *1103:A0 *280:25 1.38544e-05 +9 *18:42 *1103:A0 6.55651e-05 *RES -1 *1149:X *212:4 9.24915 -2 *212:4 *1152:A 49.0035 -3 *212:4 *1155:A 15.0122 +1 *1102:X *1103:A0 32.0258 *END -*D_NET *213 0.00529102 +*D_NET *91 0.00214512 *CONN -*I *1156:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1153:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1150:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *1075:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1057:Y O *D sky130_fd_sc_hd__xnor2_1 *CAP -1 *1156:A 0.000267916 -2 *1153:A 0.000968752 -3 *1150:X 0.000566436 -4 *213:10 0.0018031 -5 *1153:A *1131:RESET_B 0.000104821 -6 *1153:A *216:103 9.0004e-05 -7 *1153:A *233:8 2.26713e-06 -8 *1153:A *296:171 0.000608826 -9 *1156:A *219:7 0.000210531 -10 *1156:A *233:8 2.29406e-05 -11 *1156:A *257:33 7.20028e-05 -12 *375:DIODE *213:10 0 -13 *906:A2 *213:10 0.000532383 -14 *1057:A0 *213:10 1.47102e-05 -15 *1131:D *1153:A 2.63292e-05 -16 *1150:A *213:10 0 +1 *1075:A0 0.000392252 +2 *1057:Y 0.000392252 +3 *1075:A0 *901:A 1.60055e-05 +4 *1075:A0 *1078:S 0 +5 *1075:A0 *1106:S 0.000267394 +6 *1075:A0 *261:89 0.000360959 +7 *1075:A0 *270:38 0.000604978 +8 *1077:S *1075:A0 4.71765e-06 +9 *1102:S *1075:A0 8.01546e-06 +10 *1167:A *1075:A0 6.78596e-05 +11 *53:8 *1075:A0 3.06815e-05 *RES -1 *1150:X *213:10 29.118 -2 *213:10 *1153:A 25.5497 -3 *213:10 *1156:A 16.6278 +1 *1057:Y *1075:A0 41.4511 *END -*D_NET *214 0.00380324 +*D_NET *92 0.00224685 *CONN -*I *1154:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1157:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1151:X O *D sky130_fd_sc_hd__clkbuf_16 +*I *1076:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1075:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1154:A 0.00049698 -2 *1157:A 0.000419222 -3 *1151:X 0.000136479 -4 *214:8 0.00105268 -5 *1154:A *248:46 2.30367e-05 -6 *1154:A *248:65 5.04829e-06 -7 *1157:A *898:B 6.27718e-05 -8 *1157:A *247:60 9.91783e-05 -9 *1157:A *247:62 0.000307806 -10 *1157:A *248:46 0.000160047 -11 *1157:A *268:19 0.000162284 -12 *214:8 *369:DIODE 6.30763e-05 -13 *214:8 *1047:B 6.27718e-05 -14 *214:8 *248:46 5.46384e-06 -15 *214:8 *249:38 2.16355e-05 -16 *896:A1 *1154:A 0.000117359 -17 *896:B1 *1154:A 2.73793e-05 -18 *896:B1 *214:8 2.4433e-05 -19 *898:A *1157:A 0.000205687 -20 *1058:A0 *214:8 0.000118017 -21 *1145:D *1154:A 6.50586e-05 -22 *4:11 *1154:A 0.000119497 -23 *8:12 *1157:A 7.67734e-06 -24 *111:11 *1157:A 3.96508e-05 +1 *1076:A0 0.000824083 +2 *1075:X 0.000824083 +3 *1076:A0 *1173:A 0.000176594 +4 *1076:A0 *231:21 0.000112312 +5 *1076:A0 *260:40 1.79386e-05 +6 *1076:A0 *280:11 6.27782e-05 +7 *1103:A0 *1076:A0 3.74005e-05 +8 *1167:A *1076:A0 0.000169728 +9 *4:11 *1076:A0 2.19341e-05 *RES -1 *1151:X *214:8 18.3808 -2 *214:8 *1157:A 27.2284 -3 *214:8 *1154:A 26.7027 +1 *1075:X *1076:A0 42.2844 *END -*D_NET *215 0.00121182 +*D_NET *93 0.004716 *CONN -*I *1021:A1 I *D sky130_fd_sc_hd__mux2_2 -*I *1152:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *1077:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1059:X O *D sky130_fd_sc_hd__xor2_1 *CAP -1 *1021:A1 0.000108232 -2 *1152:X 0.000108232 -3 *1021:A1 *1021:A0 6.27718e-05 -4 *1021:A1 *1021:S 1.88563e-05 -5 *1021:A1 *1158:A 6.27782e-05 -6 *1021:A1 *1170:A 0.00066333 -7 *1021:A1 *296:382 0.000118116 -8 *1021:A1 *296:384 6.95043e-05 +1 *1077:A0 5.0298e-05 +2 *1059:X 0.00148122 +3 *93:15 0.00153152 +4 *1077:A0 *1078:A0 0 +5 *93:15 *901:B 3.92299e-05 +6 *93:15 *911:C 0.000159354 +7 *93:15 *1058:B 9.19951e-05 +8 *93:15 *1078:A0 7.51745e-05 +9 *93:15 *1170:A 0.000840504 +10 *93:15 *145:8 0 +11 *93:15 *146:21 0.000181802 +12 *93:15 *222:15 6.08467e-05 +13 *93:15 *261:89 2.58757e-05 +14 *93:15 *309:623 1.55462e-05 +15 *910:A1 *93:15 0.000162639 *RES -1 *1152:X *1021:A1 25.8769 +1 *1059:X *93:15 48.6149 +2 *93:15 *1077:A0 10.6477 *END -*D_NET *216 0.0165426 +*D_NET *94 0.00100028 *CONN -*I *1131:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1098:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1117:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1129:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *976:A I *D sky130_fd_sc_hd__inv_4 -*I *1126:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1124:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1122:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1123:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1128:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1130:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1153:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *1078:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1077:X O *D sky130_fd_sc_hd__mux2_1 *CAP -1 *1131:CLK 0 -2 *1098:CLK 0.00101567 -3 *1117:CLK 0.000430978 -4 *1129:CLK 8.52663e-05 -5 *976:A 0 -6 *1126:CLK_N 0.00014539 -7 *1124:CLK 0 -8 *1122:CLK 0.000257209 -9 *1123:CLK 0 -10 *1128:CLK_N 0.000360235 -11 *1130:CLK 0.000290602 -12 *1153:X 0 -13 *216:103 0.0011637 -14 *216:59 0.000529188 -15 *216:56 0.000853346 -16 *216:50 0.000999292 -17 *216:46 0.000723807 -18 *216:26 0.000904294 -19 *216:24 0.000549228 -20 *216:13 0.000938962 -21 *216:8 0.000961792 -22 *216:4 0.00040166 -23 *1098:CLK *369:DIODE 0 -24 *1098:CLK *1096:D 0 -25 *1098:CLK *1142:SET_B 0 -26 *1098:CLK *249:38 0.000299154 -27 *1098:CLK *249:47 0.000242575 -28 *1098:CLK *258:8 0.000129263 -29 *1098:CLK *258:31 0.000163887 -30 *1098:CLK *267:11 6.78549e-05 -31 *1098:CLK *267:30 0.000101873 -32 *1098:CLK *268:43 6.86445e-05 -33 *1098:CLK *296:174 0 -34 *1117:CLK *1017:A 0.000240925 -35 *1117:CLK *1089:A0 0.0001341 -36 *1126:CLK_N *296:61 1.09738e-05 -37 *1126:CLK_N *296:67 0 -38 *1128:CLK_N *972:A 0 -39 *1128:CLK_N *973:A 5.66868e-06 -40 *1128:CLK_N *223:65 0 -41 *1128:CLK_N *296:224 1.19856e-05 -42 *1129:CLK *295:11 5.67857e-05 -43 *1130:CLK *1078:A0 5.03844e-05 -44 *216:8 *1130:SET_B 0 -45 *216:8 *296:165 6.90063e-05 -46 *216:13 *1130:SET_B 0 -47 *216:24 *1130:SET_B 3.31733e-05 -48 *216:24 *221:145 3.70431e-05 -49 *216:24 *231:8 0.000159745 -50 *216:24 *231:24 9.78191e-05 -51 *216:26 *223:65 0 -52 *216:46 *350:DIODE 0.000383703 -53 *216:46 *971:A_N 0.00011818 -54 *216:46 *977:B 4.95198e-05 -55 *216:46 *295:11 0.000165521 -56 *216:50 *1015:A 2.33638e-05 -57 *216:50 *1127:CLK 0.000428897 -58 *216:50 *226:7 9.65932e-05 -59 *216:50 *296:61 0.000167076 -60 *216:103 *355:DIODE 5.67013e-05 -61 *216:103 *296:165 8.00858e-05 -62 *216:103 *296:171 0.000308814 -63 *892:A2 *1098:CLK 9.83156e-05 -64 *896:A1 *1098:CLK 0 -65 *896:B1 *1098:CLK 0 -66 *908:A1 *1098:CLK 1.30094e-05 -67 *964:C *216:24 5.1674e-06 -68 *965:A2 *216:13 2.04806e-05 -69 *971:C *216:46 2.41483e-05 -70 *974:A *1128:CLK_N 1.67749e-05 -71 *978:B1 *1128:CLK_N 1.41976e-05 -72 *1069:A0 *1098:CLK 6.93171e-05 -73 *1117:D *1117:CLK 0.000228593 -74 *1123:D *216:59 3.71173e-05 -75 *1124:D *216:50 0.00043038 -76 *1124:D *216:56 0.000311298 -77 *1129:D *216:24 0.000125876 -78 *1129:D *216:26 5.92192e-05 -79 *1130:D *1130:CLK 4.25818e-05 -80 *1130:D *216:13 0.000143482 -81 *1130:D *216:24 0 -82 *1142:D *1098:CLK 0 -83 *1153:A *216:103 9.0004e-05 -84 *114:67 *1098:CLK 9.58376e-05 -85 *154:11 *216:46 6.50586e-05 -86 *154:20 *1128:CLK_N 1.44467e-05 -87 *154:20 *216:24 1.87125e-05 -88 *154:20 *216:26 7.26733e-05 -89 *160:6 *1128:CLK_N 6.8932e-05 -90 *161:8 *1128:CLK_N 0 -91 *161:8 *216:46 7.02172e-06 +1 *1078:A0 0.00015122 +2 *1077:X 0.00015122 +3 *1078:A0 *901:B 3.72292e-05 +4 *1078:A0 *1058:A 6.08467e-05 +5 *1078:A0 *1058:B 0.000264586 +6 *1078:A0 *261:79 0.00026 +7 *1077:A0 *1078:A0 0 +8 *93:15 *1078:A0 7.51745e-05 *RES -1 *1153:X *216:4 9.24915 -2 *216:4 *216:8 10.9348 -3 *216:8 *216:13 10.1043 -4 *216:13 *1130:CLK 14.2888 -5 *216:13 *216:24 15.4675 -6 *216:24 *216:26 3.07775 -7 *216:26 *1128:CLK_N 22.7701 -8 *216:26 *216:46 17.4488 -9 *216:46 *216:50 11.6949 -10 *216:50 *216:56 10.6098 -11 *216:56 *216:59 11.7303 -12 *216:59 *1123:CLK 13.7491 -13 *216:59 *1122:CLK 20.1489 -14 *216:56 *1124:CLK 9.24915 -15 *216:50 *1126:CLK_N 21.3269 -16 *216:46 *976:A 9.24915 -17 *216:24 *1129:CLK 16.1364 -18 *216:8 *1117:CLK 27.783 -19 *216:4 *216:103 6.82404 -20 *216:103 *1098:CLK 46.9682 -21 *216:103 *1131:CLK 9.24915 +1 *1077:X *1078:A0 25.3947 *END -*D_NET *217 0.0105599 +*D_NET *95 0.000630762 +*CONN +*I *1079:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1060:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1079:A0 0.000201426 +2 *1060:Y 0.000201426 +3 *1079:A0 *262:37 0.000211546 +4 *7:15 *1079:A0 1.63652e-05 +*RES +1 *1060:Y *1079:A0 31.3022 +*END + +*D_NET *96 0.000431411 +*CONN +*I *1104:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1061:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1104:A0 0.000215705 +2 *1061:Y 0.000215705 +3 *1104:A0 *255:28 0 +*RES +1 *1061:Y *1104:A0 32.2371 +*END + +*D_NET *97 0.00142693 +*CONN +*I *1114:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1018:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *1114:D 0.000516204 +2 *1018:X 0.000516204 +3 *1114:D *1059:A 4.64935e-05 +4 *1114:D *1158:D 9.29777e-05 +5 *1114:D *1159:D 0 +6 *1114:D *1186:A 6.3657e-05 +7 *1114:D *146:36 5.47736e-05 +8 *1114:D *222:15 4.84045e-05 +9 *1114:D *309:619 5.85383e-05 +10 *1114:D *309:623 2.96831e-05 +11 *18:42 *1114:D 0 +*RES +1 *1018:X *1114:D 40.5563 +*END + +*D_NET *98 0.00079379 +*CONN +*I *1133:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1013:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1133:D 0.000145294 +2 *1013:Y 0.000145294 +3 *1133:D *892:B 0.000206696 +4 *1133:D *900:A1 0.000160047 +5 *1133:D *1133:CLK 0.000136459 +*RES +1 *1013:Y *1133:D 32.548 +*END + +*D_NET *99 0.000237613 +*CONN +*I *1134:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *1009:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *1134:D 6.89894e-05 +2 *1009:Y 6.89894e-05 +3 *1084:A0 *1134:D 9.96342e-05 +*RES +1 *1009:Y *1134:D 29.3303 +*END + +*D_NET *100 0.000592593 +*CONN +*I *1135:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1006:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1135:D 5.55601e-05 +2 *1006:Y 5.55601e-05 +3 *1135:D *360:DIODE 0.000256692 +4 *1135:D *1006:A 2.99287e-05 +5 *1135:D *241:5 2.65831e-05 +6 *1135:D *241:9 0.000168269 +*RES +1 *1006:Y *1135:D 23.1039 +*END + +*D_NET *101 0.000505109 +*CONN +*I *1136:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *1002:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1136:D 0.000163937 +2 *1002:Y 0.000163937 +3 *1136:D *1001:A 5.53934e-05 +4 *1136:D *1089:A1 0 +5 *1136:D *1136:RESET_B 0.000120636 +6 *1136:D *231:64 1.20637e-06 +*RES +1 *1002:Y *1136:D 32.6874 +*END + +*D_NET *102 0.000315706 +*CONN +*I *1137:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *998:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1137:D 9.64684e-05 +2 *998:X 9.64684e-05 +3 *1137:D *1109:CLK 1.65872e-05 +4 *1137:D *231:54 5.04829e-06 +5 *1137:D *231:57 0.000101133 +*RES +1 *998:X *1137:D 30.0537 +*END + +*D_NET *103 0.000622077 +*CONN +*I *1138:D I *D sky130_fd_sc_hd__dfstp_2 +*I *991:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1138:D 0.000300952 +2 *991:X 0.000300952 +3 *1138:D *989:A 2.01727e-05 +*RES +1 *991:X *1138:D 32.6874 +*END + +*D_NET *104 0.000429527 +*CONN +*I *1139:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *989:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1139:D 0.000182234 +2 *989:X 0.000182234 +3 *1139:D *989:A 6.50586e-05 +*RES +1 *989:X *1139:D 22.5734 +*END + +*D_NET *105 0.000423015 +*CONN +*I *1140:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *987:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1140:D 7.69065e-05 +2 *987:X 7.69065e-05 +3 *1140:D *1012:C 0.000213516 +4 *1140:D *238:9 5.56856e-05 +*RES +1 *987:X *1140:D 22.1876 +*END + +*D_NET *106 0.00202794 +*CONN +*I *1141:D I *D sky130_fd_sc_hd__dfstp_1 +*I *985:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1141:D 0.00055299 +2 *985:Y 0.00055299 +3 *1141:D *366:DIODE 6.64392e-05 +4 *1141:D *954:C 3.73806e-05 +5 *1141:D *978:A 0 +6 *1141:D *235:28 0.000681402 +7 *1141:D *253:5 9.46208e-05 +8 *1141:D *309:212 4.21215e-05 +*RES +1 *985:Y *1141:D 39.5114 +*END + +*D_NET *107 0.000555806 +*CONN +*I *1142:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *974:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1142:D 0.000268919 +2 *974:X 0.000268919 +3 *1142:D *974:A 1.79672e-05 +4 *1142:D *1143:D 0 +5 *1142:D *247:14 0 +*RES +1 *974:X *1142:D 33.3757 +*END + +*D_NET *108 0.000534852 +*CONN +*I *1143:D I *D sky130_fd_sc_hd__dfstp_1 +*I *972:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1143:D 0.000267426 +2 *972:X 0.000267426 +3 *1143:D *1143:CLK 0 +4 *1143:D *228:28 0 +5 *1142:D *1143:D 0 +*RES +1 *972:X *1143:D 33.791 +*END + +*D_NET *109 0.000373418 +*CONN +*I *1144:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *969:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1144:D 0.000186709 +2 *969:X 0.000186709 +3 *1144:D *969:A 0 +*RES +1 *969:X *1144:D 31.0235 +*END + +*D_NET *110 0.000958989 +*CONN +*I *1145:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *967:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1145:D 0.000124404 +2 *967:X 0.000124404 +3 *1145:D *243:39 0.000143032 +4 *1145:D *254:59 0.000324137 +5 *967:A1 *1145:D 0.000122378 +6 *1090:A0 *1145:D 6.23875e-05 +7 *49:16 *1145:D 5.82465e-05 +*RES +1 *967:X *1145:D 33.6572 +*END + +*D_NET *111 0.000625931 +*CONN +*I *1146:D I *D sky130_fd_sc_hd__dfstp_1 +*I *965:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1146:D 0.000236873 +2 *965:X 0.000236873 +3 *965:A2 *1146:D 0.000152185 +*RES +1 *965:X *1146:D 32.1327 +*END + +*D_NET *112 0.0006284 +*CONN +*I *1147:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *963:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1147:D 0.000182576 +2 *963:X 0.000182576 +3 *1147:D *1195:A 0.000164243 +4 *1147:D *228:13 9.90059e-05 +5 *1147:D *233:97 0 +*RES +1 *963:X *1147:D 33.7966 +*END + +*D_NET *113 0.000472827 +*CONN +*I *1148:D I *D sky130_fd_sc_hd__dfstp_1 +*I *960:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1148:D 9.35376e-05 +2 *960:Y 9.35376e-05 +3 *1148:D *960:A 2.58616e-05 +4 *1148:D *177:42 6.17321e-05 +5 *1148:D *318:10 0.000198158 +*RES +1 *960:Y *1148:D 22.7422 +*END + +*D_NET *114 0.000981841 +*CONN +*I *1149:D I *D sky130_fd_sc_hd__dfstp_1 +*I *949:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1149:D 0.000236543 +2 *949:Y 0.000236543 +3 *1149:D *947:A2 2.16355e-05 +4 *1149:D *949:A 6.5568e-05 +5 *1149:D *949:B 0.000260888 +6 *1149:D *168:9 0.000160663 +*RES +1 *949:Y *1149:D 25.8769 +*END + +*D_NET *115 0.000923879 +*CONN +*I *1150:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *943:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *1150:D 0.000441659 +2 *943:Y 0.000441659 +3 *1150:D *229:29 3.71173e-05 +4 *1150:D *229:39 3.44412e-06 +*RES +1 *943:Y *1150:D 34.9058 +*END + +*D_NET *116 0.000486366 +*CONN +*I *1151:D I *D sky130_fd_sc_hd__dfstp_1 +*I *940:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1151:D 0.000190831 +2 *940:Y 0.000190831 +3 *1151:D *940:A 3.30805e-05 +4 *1151:D *1151:CLK 3.30578e-05 +5 *1151:D *1152:CLK_N 3.85659e-05 +*RES +1 *940:Y *1151:D 31.5781 +*END + +*D_NET *117 0.000385871 +*CONN +*I *1152:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *936:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1152:D 0.000120629 +2 *936:Y 0.000120629 +3 *1152:D *936:A 0.000144613 +*RES +1 *936:Y *1152:D 30.4689 +*END + +*D_NET *118 0.000208745 +*CONN +*I *1153:D I *D sky130_fd_sc_hd__dfstp_1 +*I *932:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1153:D 0.000104372 +2 *932:Y 0.000104372 +*RES +1 *932:Y *1153:D 29.7455 +*END + +*D_NET *119 0.000278394 +*CONN +*I *1154:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1154:D 0.000132367 +2 *921:X 0.000132367 +3 *1154:D *272:15 1.36606e-05 +4 *1154:D *309:37 0 +*RES +1 *921:X *1154:D 30.0537 +*END + +*D_NET *120 0.000639167 +*CONN +*I *1155:D I *D sky130_fd_sc_hd__dfstp_1 +*I *919:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1155:D 0.000190905 +2 *919:X 0.000190905 +3 *1155:D *917:A 0 +4 *1155:D *1155:CLK 6.50727e-05 +5 *1155:D *229:17 0.000192284 +*RES +1 *919:X *1155:D 33.0196 +*END + +*D_NET *121 0.000351796 +*CONN +*I *1156:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *916:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1156:D 0.000161959 +2 *916:X 0.000161959 +3 *1156:D *229:29 2.78772e-05 +*RES +1 *916:X *1156:D 30.6083 +*END + +*D_NET *122 0.0012012 +*CONN +*I *1157:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *914:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1157:D 0.00044021 +2 *914:X 0.00044021 +3 *1157:D *913:A 7.26606e-05 +4 *1157:D *1114:CLK 6.93171e-05 +5 *1157:D *269:13 1.97895e-05 +6 *914:A1 *1157:D 0.00015901 +*RES +1 *914:X *1157:D 34.871 +*END + +*D_NET *123 0.00184073 +*CONN +*I *1158:D I *D sky130_fd_sc_hd__dfstp_1 +*I *912:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1158:D 0.000516291 +2 *912:X 0.000516291 +3 *1158:D *383:DIODE 4.66876e-05 +4 *1158:D *911:C 5.23236e-05 +5 *1158:D *1114:CLK 0.000166255 +6 *1158:D *1170:A 1.7883e-05 +7 *1158:D *309:623 5.00379e-05 +8 *912:A1 *1158:D 0.000168313 +9 *1114:D *1158:D 9.29777e-05 +10 *4:11 *1158:D 0.000213674 +11 *18:42 *1158:D 0 +*RES +1 *912:X *1158:D 41.7242 +*END + +*D_NET *124 0.000638104 +*CONN +*I *1159:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *910:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1159:D 0.000157463 +2 *910:X 0.000157463 +3 *1159:D *1017:A0 0 +4 *1159:D *1059:A 1.58114e-05 +5 *1159:D *145:54 0.000139098 +6 *1159:D *146:36 0.000168269 +7 *1114:D *1159:D 0 +*RES +1 *910:X *1159:D 33.7966 +*END + +*D_NET *125 0.000735354 +*CONN +*I *1160:D I *D sky130_fd_sc_hd__dfstp_1 +*I *908:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1160:D 0.000173643 +2 *908:Y 0.000173643 +3 *1160:D *908:C 0.000111708 +4 *1160:D *1160:CLK 0.000228593 +5 *1160:D *261:20 3.76697e-05 +6 *1160:D *309:928 5.04829e-06 +7 *1069:A0 *1160:D 5.04829e-06 +8 *1070:A0 *1160:D 0 +*RES +1 *908:Y *1160:D 24.0926 +*END + +*D_NET *126 0.0019156 +*CONN +*I *1161:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *900:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1161:D 0.000560919 +2 *900:X 0.000560919 +3 *1161:D *138:9 0.000561233 +4 *1161:D *260:47 9.29919e-05 +5 *1161:D *269:19 3.20407e-05 +6 *1067:A0 *1161:D 0.000107496 +*RES +1 *900:X *1161:D 37.8181 +*END + +*D_NET *127 0.000367991 +*CONN +*I *1162:D I *D sky130_fd_sc_hd__dfstp_1 +*I *889:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1162:D 0.000143184 +2 *889:X 0.000143184 +3 *1162:D *1162:CLK 0 +4 *1162:D *309:366 5.31465e-05 +5 *1162:D *325:11 2.84758e-05 +*RES +1 *889:X *1162:D 31.4388 +*END + +*D_NET *128 0.00060187 +*CONN +*I *1163:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *887:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1163:D 0.000151842 +2 *887:X 0.000151842 +3 *1163:D *1163:CLK 5.04829e-06 +4 *1163:D *232:32 5.73392e-05 +5 *1163:D *309:313 0.000164829 +6 *1163:D *329:8 7.09685e-05 +*RES +1 *887:X *1163:D 32.4086 +*END + +*D_NET *129 0.0019862 +*CONN +*I *1164:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1065:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1164:D 0.000616965 +2 *1065:X 0.000616965 +3 *1164:D *944:A 0.000199203 +4 *1164:D *944:C_N 0.000206696 +5 *1164:D *1065:A 0 +6 *1164:D *1065:B 0 +7 *1164:D *1199:A 1.41976e-05 +8 *1164:D *259:18 0.000159103 +9 *1164:D *262:8 0 +10 *1164:D *262:37 0.000143892 +11 *1164:D *325:11 2.9178e-05 +*RES +1 *1065:X *1164:D 45.049 +*END + +*D_NET *130 0.000421824 +*CONN +*I *887:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *886:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *887:A 0.000128982 +2 *886:X 0.000128982 +3 *887:A *138:9 0.00016386 +*RES +1 *886:X *887:A 22.4287 +*END + +*D_NET *131 0.000473316 +*CONN +*I *889:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *888:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *889:A 0.000122361 +2 *888:X 0.000122361 +3 *889:A *263:27 0.000228593 +*RES +1 *888:X *889:A 22.5975 +*END + +*D_NET *132 0.00069328 +*CONN +*I *892:A I *D sky130_fd_sc_hd__nand3_1 +*I *890:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *892:A 0.000197485 +2 *890:X 0.000197485 +3 *892:A *892:B 6.27718e-05 +4 *892:A *1013:A 3.00174e-06 +5 *892:A *1133:CLK 5.23577e-05 +6 *892:A *1169:A 6.08467e-05 +7 *892:A *231:21 0.000119333 +8 *892:A *260:53 0 +*RES +1 *890:X *892:A 33.791 +*END + +*D_NET *133 0.00156058 +*CONN +*I *892:B I *D sky130_fd_sc_hd__nand3_1 +*I *891:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *892:B 0.000300341 +2 *891:X 0.000300341 +3 *892:B *890:B_N 6.50586e-05 +4 *892:B *900:A1 0.000179951 +5 *892:B *1013:A 0.000165511 +6 *892:B *1148:CLK 7.26606e-05 +7 *892:B *1169:A 5.76219e-05 +8 *892:B *260:53 0.000149628 +9 *892:A *892:B 6.27718e-05 +10 *1133:D *892:B 0.000206696 +*RES +1 *891:X *892:B 38.8359 +*END + +*D_NET *134 0.00202876 +*CONN +*I *900:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *892:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *900:A1 0.000607732 +2 *892:Y 0.000607732 +3 *900:A1 *890:B_N 6.27718e-05 +4 *900:A1 *1013:A 1.00901e-05 +5 *900:A1 *1013:B 3.10193e-05 +6 *900:A1 *1114:CLK 0 +7 *900:A1 *1148:CLK 0.000119367 +8 *900:A1 *1169:A 2.77625e-06 +9 *900:A1 *138:9 0.000105124 +10 *900:A1 *231:21 0 +11 *900:A1 *259:89 6.34658e-05 +12 *900:A1 *276:8 7.86847e-05 +13 *892:B *900:A1 0.000179951 +14 *1133:D *900:A1 0.000160047 +*RES +1 *892:Y *900:A1 42.6912 +*END + +*D_NET *135 0.000239457 +*CONN +*I *896:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *893:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *896:A1 3.72871e-05 +2 *893:Y 3.72871e-05 +3 *896:A1 *893:B 4.04393e-05 +4 *896:A1 *261:29 0.000124443 +*RES +1 *893:Y *896:A1 20.355 +*END + +*D_NET *136 0.000276919 +*CONN +*I *896:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *894:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *896:A2 9.38556e-05 +2 *894:X 9.38556e-05 +3 *896:A2 *1113:D 1.31891e-05 +4 *896:A2 *138:9 7.60183e-05 +*RES +1 *894:X *896:A2 29.6384 +*END + +*D_NET *137 0.00176953 +*CONN +*I *904:C I *D sky130_fd_sc_hd__nand3b_1 +*I *896:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *895:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *904:C 0.00032411 +2 *896:B1 0.000154424 +3 *895:X 0.000104551 +4 *137:7 0.000583085 +5 *896:B1 *255:28 0 +6 *896:B1 *259:58 0.000185373 +7 *896:B1 *261:29 1.00766e-05 +8 *904:C *901:A 5.11656e-06 +9 *904:C *904:A_N 1.05106e-05 +10 *904:C *904:B 1.75779e-05 +11 *904:C *907:A2 2.57986e-05 +12 *904:C *142:21 2.16355e-05 +13 *904:C *255:28 0 +14 *904:C *259:58 2.24484e-05 +15 *904:C *261:22 6.26431e-05 +16 *904:C *261:29 2.97007e-05 +17 *137:7 *259:58 0.00016553 +18 *55:14 *904:C 4.69465e-05 +*RES +1 *895:X *137:7 15.5817 +2 *137:7 *896:B1 17.6896 +3 *137:7 *904:C 21.4927 +*END + +*D_NET *138 0.00356595 +*CONN +*I *900:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *896:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *900:A2 1.57841e-05 +2 *896:Y 0.00119412 +3 *138:9 0.00120991 +4 *138:9 *386:DIODE 0.000154914 +5 *138:9 *890:B_N 2.60765e-05 +6 *138:9 *260:47 4.25818e-05 +7 *887:A *138:9 0.00016386 +8 *896:A2 *138:9 7.60183e-05 +9 *900:A1 *138:9 0.000105124 +10 *1067:A0 *900:A2 1.63255e-05 +11 *1161:D *138:9 0.000561233 +*RES +1 *896:Y *138:9 49.6383 +2 *138:9 *900:A2 9.82786 +*END + +*D_NET *139 0.00198774 +*CONN +*I *906:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *899:A I *D sky130_fd_sc_hd__nand2_2 +*I *897:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *906:A 0.000353259 +2 *899:A 0 +3 *897:Y 0.000122773 +4 *139:8 0.000476032 +5 *906:A *899:B 2.63971e-05 +6 *906:A *914:B1_N 2.57847e-05 +7 *906:A *140:11 2.58616e-05 +8 *906:A *146:7 6.08467e-05 +9 *139:8 *897:B1 0.000317682 +10 *139:8 *229:8 6.05806e-05 +11 *139:8 *229:10 7.85016e-05 +12 *18:9 *906:A 0.000184753 +13 *18:19 *906:A 0.00025527 +*RES +1 *897:Y *139:8 23.8184 +2 *139:8 *899:A 9.24915 +3 *139:8 *906:A 18.8703 +*END + +*D_NET *140 0.00391871 +*CONN +*I *911:B I *D sky130_fd_sc_hd__nand3_1 +*I *905:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *933:B I *D sky130_fd_sc_hd__nand2_1 +*I *899:B I *D sky130_fd_sc_hd__nand2_2 +*I *913:B I *D sky130_fd_sc_hd__nand3_1 +*I *898:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *911:B 0.000218657 +2 *905:A 0 +3 *933:B 7.5983e-05 +4 *899:B 4.52616e-05 +5 *913:B 0.000117716 +6 *898:Y 2.77422e-05 +7 *140:42 0.000392541 +8 *140:33 0.000368323 +9 *140:11 0.000412447 +10 *140:7 0.000395668 +11 *911:B *911:A 6.92705e-05 +12 *911:B *1170:A 4.33819e-05 +13 *911:B *145:8 0.000255988 +14 *911:B *145:14 7.92757e-06 +15 *911:B *261:89 2.92544e-05 +16 *913:B *382:DIODE 6.53312e-05 +17 *913:B *897:B1 8.94093e-05 +18 *913:B *913:C 0.000114594 +19 *913:B *229:10 0.000189867 +20 *933:B *897:A2 1.99054e-05 +21 *140:11 *897:A2 0 +22 *140:11 *930:A1 1.37421e-05 +23 *140:11 *261:95 0.000109427 +24 *140:33 *897:A2 0 +25 *140:42 *897:A2 6.7671e-06 +26 *140:42 *145:8 6.64392e-05 +27 *140:42 *261:89 0.000227148 +28 *906:A *899:B 2.63971e-05 +29 *906:A *140:11 2.58616e-05 +30 *927:A *140:11 0.000167047 +31 *927:A *140:33 0.000187019 +32 *1067:S *140:11 7.45478e-05 +33 *4:11 *140:7 6.08467e-05 +34 *18:9 *899:B 1.41976e-05 +*RES +1 *898:Y *140:7 14.4725 +2 *140:7 *140:11 11.7653 +3 *140:11 *913:B 23.5748 +4 *140:11 *899:B 10.5513 +5 *140:7 *140:33 7.993 +6 *140:33 *933:B 11.1059 +7 *140:33 *140:42 4.60562 +8 *140:42 *905:A 9.24915 +9 *140:42 *911:B 24.7162 +*END + +*D_NET *141 0.000546704 +*CONN +*I *902:A I *D sky130_fd_sc_hd__nand2_1 +*I *901:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *902:A 0.000143196 +2 *901:Y 0.000143196 +3 *902:A *902:B 5.22654e-06 +4 *902:A *142:8 7.73661e-05 +5 *902:A *261:22 3.66496e-05 +6 *902:A *261:29 3.06126e-05 +7 *902:A *329:8 0 +8 *55:14 *902:A 0.000110458 +*RES +1 *901:Y *902:A 31.4388 +*END + +*D_NET *142 0.00182002 +*CONN +*I *907:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *904:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *1023:A I *D sky130_fd_sc_hd__clkinv_4 +*I *902:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *907:A2 0.000103774 +2 *904:A_N 1.0342e-05 +3 *1023:A 1.24734e-05 +4 *902:Y 0.000100454 +5 *142:21 0.000224857 +6 *142:8 0.000223669 +7 *904:A_N *901:A 4.76161e-06 +8 *904:A_N *904:B 3.32824e-07 +9 *907:A2 *904:B 0.000178697 +10 *907:A2 *907:B1_N 3.13805e-06 +11 *907:A2 *1027:B1 0.000110458 +12 *907:A2 *281:21 0.000130599 +13 *1023:A *901:A 4.85742e-05 +14 *142:8 *901:B 3.00174e-06 +15 *142:8 *1119:D 8.62474e-06 +16 *142:8 *261:22 1.57723e-05 +17 *142:8 *329:8 2.49682e-05 +18 *142:21 *901:A 0.000123007 +19 *142:21 *901:B 6.27718e-05 +20 *902:A *142:8 7.73661e-05 +21 *904:C *904:A_N 1.05106e-05 +22 *904:C *907:A2 2.57986e-05 +23 *904:C *142:21 2.16355e-05 +24 *55:14 *1023:A 2.58616e-05 +25 *55:14 *142:21 0.000268573 +*RES +1 *902:Y *142:8 20.9116 +2 *142:8 *1023:A 9.97254 +3 *142:8 *142:21 5.01555 +4 *142:21 *904:A_N 9.82786 +5 *142:21 *907:A2 13.8789 +*END + +*D_NET *143 0.00166618 +*CONN +*I *907:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *904:B I *D sky130_fd_sc_hd__nand3b_1 +*I *903:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *907:B1_N 1.61763e-05 +2 *904:B 0.000174841 +3 *903:Y 0.000251879 +4 *143:5 0.000442897 +5 *904:B *908:A 3.84478e-05 +6 *904:B *1027:B1 4.01825e-05 +7 *143:5 *1026:A 0.000365572 +8 *143:5 *1027:B1 7.36563e-05 +9 *143:5 *1107:A1 6.27782e-05 +10 *904:A_N *904:B 3.32824e-07 +11 *904:C *904:B 1.75779e-05 +12 *907:A2 *904:B 0.000178697 +13 *907:A2 *907:B1_N 3.13805e-06 +*RES +1 *903:Y *143:5 16.6278 +2 *143:5 *904:B 15.1328 +3 *143:5 *907:B1_N 9.82786 +*END + +*D_NET *144 0.000393908 +*CONN +*I *908:A I *D sky130_fd_sc_hd__nand3_1 +*I *904:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *908:A 0.000115011 +2 *904:Y 0.000115011 +3 *908:A *146:66 4.57412e-05 +4 *908:A *281:21 6.96846e-05 +5 *904:B *908:A 3.84478e-05 +6 *55:14 *908:A 1.00114e-05 +*RES +1 *904:Y *908:A 30.4689 +*END + +*D_NET *145 0.013652 +*CONN +*I *908:B I *D sky130_fd_sc_hd__nand3_1 +*I *909:B I *D sky130_fd_sc_hd__nand3_1 +*I *932:C I *D sky130_fd_sc_hd__nand3_1 +*I *939:B I *D sky130_fd_sc_hd__nand3_1 +*I *935:B I *D sky130_fd_sc_hd__nand3_1 +*I *905:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *908:B 0.000899497 +2 *909:B 0.000364154 +3 *932:C 2.98868e-05 +4 *939:B 0.000633823 +5 *935:B 0 +6 *905:X 0.000313414 +7 *145:54 0.00232157 +8 *145:34 0.00125176 +9 *145:14 0.00167437 +10 *145:8 0.00151791 +11 *908:B *901:B 0.000370801 +12 *908:B *1070:S 6.94804e-05 +13 *908:B *1119:D 5.01524e-05 +14 *908:B *1129:CLK 6.3657e-05 +15 *908:B *146:66 0.000780627 +16 *908:B *261:20 1.31166e-05 +17 *908:B *261:77 1.65872e-05 +18 *908:B *281:21 0.000161252 +19 *909:B *901:A 2.91462e-05 +20 *909:B *909:A 1.05106e-05 +21 *909:B *909:C 0.00021217 +22 *909:B *1058:B 3.54024e-05 +23 *909:B *1120:D 4.82337e-05 +24 *909:B *261:89 3.00174e-06 +25 *909:B *271:30 0.000169093 +26 *932:C *1159:CLK 2.57847e-05 +27 *939:B *940:B 3.5063e-05 +28 *939:B *1053:A 3.20264e-05 +29 *939:B *1152:CLK_N 3.64303e-05 +30 *145:8 *911:C 0 +31 *145:8 *261:89 3.71813e-06 +32 *145:14 *932:A 0.000222149 +33 *145:14 *1053:A 0.000173578 +34 *145:14 *1101:A1 2.07087e-05 +35 *145:14 *1101:S 0.000370829 +36 *145:14 *1151:SET_B 0 +37 *145:14 *1170:A 1.41976e-05 +38 *145:14 *229:7 5.52874e-05 +39 *145:14 *309:7 2.65667e-05 +40 *145:14 *309:564 0 +41 *145:14 *309:580 6.23875e-05 +42 *145:14 *309:591 0.00016053 +43 *145:34 *925:A 8.61152e-06 +44 *145:34 *309:623 0 +45 *145:54 *925:A 6.27718e-05 +46 *145:54 *1059:A 7.98171e-06 +47 *145:54 *1120:D 2.14433e-05 +48 *145:54 *1159:CLK 0.000199827 +49 *145:54 *146:36 3.03057e-05 +50 *145:54 *146:66 0.00016295 +51 *145:54 *271:30 2.24484e-05 +52 *910:A1 *909:B 1.17054e-05 +53 *911:B *145:8 0.000255988 +54 *911:B *145:14 7.92757e-06 +55 *934:B *145:14 7.6719e-06 +56 *1069:A0 *908:B 7.54269e-06 +57 *1070:A0 *908:B 3.50287e-05 +58 *1077:S *908:B 3.31882e-05 +59 *1100:S *145:14 1.43848e-05 +60 *1101:A0 *145:14 0.000136352 +61 *1159:D *145:54 0.000139098 +62 *1165:A *145:54 7.79978e-05 +63 *52:28 *145:14 6.34953e-05 +64 *93:15 *145:8 0 +65 *140:42 *145:8 6.64392e-05 +*RES +1 *905:X *145:8 19.7687 +2 *145:8 *145:14 36.6491 +3 *145:14 *935:B 13.7491 +4 *145:14 *939:B 23.9537 +5 *145:8 *145:34 7.993 +6 *145:34 *932:C 9.97254 +7 *145:34 *145:54 28.1397 +8 *145:54 *909:B 21.8719 +9 *145:54 *908:B 43.6894 +*END + +*D_NET *146 0.0125382 +*CONN +*I *907:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *1027:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *909:A I *D sky130_fd_sc_hd__nand3_1 +*I *911:A I *D sky130_fd_sc_hd__nand3_1 +*I *913:A I *D sky130_fd_sc_hd__nand3_1 +*I *906:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *907:A1 0 +2 *1027:B1 0.000364204 +3 *909:A 0.000126883 +4 *911:A 5.30061e-05 +5 *913:A 0.000288944 +6 *906:X 8.25634e-05 +7 *146:66 0.00144284 +8 *146:36 0.00191889 +9 *146:21 0.000977588 +10 *146:7 0.00058272 +11 *909:A *910:B1_N 6.27718e-05 +12 *909:A *1017:A0 0.000169078 +13 *909:A *231:21 6.74667e-05 +14 *911:A *912:B1_N 5.9036e-05 +15 *911:A *1170:A 0.00027103 +16 *913:A *382:DIODE 0.000363885 +17 *913:A *383:DIODE 1.67877e-05 +18 *913:A *913:C 5.28741e-05 +19 *913:A *1114:CLK 3.25282e-05 +20 *913:A *269:13 0.000106549 +21 *913:A *309:630 6.97803e-05 +22 *913:A *309:631 3.37311e-05 +23 *913:A *309:633 5.46384e-06 +24 *1027:B1 *385:DIODE 0 +25 *1027:B1 *1026:A 2.57847e-05 +26 *1027:B1 *1027:A1_N 6.08467e-05 +27 *1027:B1 *255:28 2.10465e-05 +28 *1027:B1 *259:44 0.000263107 +29 *1027:B1 *260:13 0.000151292 +30 *1027:B1 *281:21 0.000613299 +31 *146:21 *383:DIODE 1.38872e-05 +32 *146:21 *911:C 9.58242e-05 +33 *146:21 *309:623 0.000380909 +34 *146:21 *309:630 9.60366e-05 +35 *146:36 *912:B1_N 1.65872e-05 +36 *146:36 *1059:A 0.000107496 +37 *146:36 *1159:CLK 2.65831e-05 +38 *146:36 *1170:A 0.000107496 +39 *146:36 *309:623 0.000143047 +40 *146:66 *1017:A0 4.55115e-05 +41 *146:66 *1059:A 0.000163428 +42 *146:66 *1070:S 6.84074e-06 +43 *146:66 *1129:D 3.82228e-05 +44 *146:66 *1129:CLK 0.000123543 +45 *146:66 *1171:A 0.000140887 +46 *146:66 *231:21 1.87469e-05 +47 *146:66 *255:28 0.000214357 +48 *146:66 *271:30 0.000314044 +49 *146:66 *281:21 1.13102e-05 +50 *904:B *1027:B1 4.01825e-05 +51 *906:A *146:7 6.08467e-05 +52 *907:A2 *1027:B1 0.000110458 +53 *908:A *146:66 4.57412e-05 +54 *908:B *146:66 0.000780627 +55 *909:B *909:A 1.05106e-05 +56 *910:A1 *909:A 9.52449e-05 +57 *911:B *911:A 6.92705e-05 +58 *1069:A0 *146:66 9.24241e-05 +59 *1114:D *146:36 5.47736e-05 +60 *1157:D *913:A 7.26606e-05 +61 *1159:D *146:36 0.000168269 +62 *1165:A *146:66 0.000113968 +63 *18:19 *146:7 2.57365e-05 +64 *93:15 *146:21 0.000181802 +65 *143:5 *1027:B1 7.36563e-05 +66 *145:54 *146:36 3.03057e-05 +67 *145:54 *146:66 0.00016295 +*RES +1 *906:X *146:7 15.0271 +2 *146:7 *913:A 24.3662 +3 *146:7 *146:21 13.3913 +4 *146:21 *911:A 12.191 +5 *146:21 *146:36 27.0376 +6 *146:36 *909:A 18.6836 +7 *146:36 *146:66 41.9863 +8 *146:66 *1027:B1 36.5942 +9 *146:66 *907:A1 13.7491 +*END + +*D_NET *147 0.000430081 +*CONN +*I *908:C I *D sky130_fd_sc_hd__nand3_1 +*I *907:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *908:C 0.000110083 +2 *907:Y 0.000110083 +3 *908:C *1026:B 0 +4 *908:C *255:28 9.82083e-05 +5 *1160:D *908:C 0.000111708 +*RES +1 *907:Y *908:C 30.6083 +*END + +*D_NET *148 0.000148563 +*CONN +*I *910:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *909:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *910:B1_N 4.28958e-05 +2 *909:Y 4.28958e-05 +3 *909:A *910:B1_N 6.27718e-05 +*RES +1 *909:Y *910:B1_N 19.2217 +*END + +*D_NET *149 0.000398249 +*CONN +*I *912:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *911:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *912:B1_N 0.000161313 +2 *911:Y 0.000161313 +3 *911:A *912:B1_N 5.9036e-05 +4 *146:36 *912:B1_N 1.65872e-05 +*RES +1 *911:Y *912:B1_N 20.8855 +*END + +*D_NET *150 0.000602432 +*CONN +*I *914:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *913:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *914:B1_N 0.000197812 +2 *913:Y 0.000197812 +3 *914:B1_N *382:DIODE 0.000117376 +4 *906:A *914:B1_N 2.57847e-05 +5 *18:9 *914:B1_N 6.36477e-05 +*RES +1 *913:Y *914:B1_N 31.7469 +*END + +*D_NET *151 0.000630206 +*CONN +*I *916:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *915:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *916:A 0.000315103 +2 *915:X 0.000315103 +*RES +1 *915:X *916:A 23.538 +*END + +*D_NET *152 0.00109169 +*CONN +*I *919:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *918:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *919:A 0.000402795 +2 *918:X 0.000402795 +3 *919:A *1047:A 2.30636e-05 +4 *919:A *1047:B 0.000263038 +*RES +1 *918:X *919:A 28.5294 +*END + +*D_NET *153 0.00019821 +*CONN +*I *921:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *920:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *921:A 7.26679e-05 +2 *920:X 7.26679e-05 +3 *921:A *272:15 5.28741e-05 +*RES +1 *920:X *921:A 20.7649 +*END + +*D_NET *154 0.00298634 +*CONN +*I *924:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *930:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *923:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *924:C1 0.00024 +2 *930:C1 0 +3 *923:Y 0.000266655 +4 *154:9 0.000506655 +5 *924:C1 *1049:A1 2.57986e-05 +6 *924:C1 *259:97 2.57986e-05 +7 *924:C1 *259:99 4.87301e-05 +8 *924:C1 *259:120 0.000157906 +9 *924:C1 *259:139 2.94331e-05 +10 *924:C1 *260:89 0.00015607 +11 *924:C1 *260:119 0.000244787 +12 *924:C1 *260:130 4.01357e-05 +13 *154:9 *923:B 0.000160047 +14 *154:9 *1099:S 0.00021377 +15 *154:9 *159:10 6.46815e-05 +16 *154:9 *260:89 3.26503e-05 +17 *915:A1 *154:9 0.000109427 +18 *915:S *154:9 5.04829e-06 +19 *1099:A0 *154:9 6.3657e-05 +20 *1099:A1 *924:C1 3.54295e-05 +21 *1099:A1 *154:9 0.000559663 +*RES +1 *923:Y *154:9 20.1483 +2 *154:9 *930:C1 9.24915 +3 *154:9 *924:C1 26.9265 +*END + +*D_NET *155 0.00162478 +*CONN +*I *931:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *927:B I *D sky130_fd_sc_hd__nand3_1 +*I *925:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *931:B1_N 0 +2 *927:B 0.000309669 +3 *925:Y 0.00019713 +4 *155:5 0.000506799 +5 *927:B *931:A2 0.000217951 +6 *927:B *932:A 7.92757e-06 +7 *927:B *1100:A1 5.79399e-05 +8 *927:B *1159:CLK 2.57986e-05 +9 *155:5 *1159:CLK 0.000139101 +10 *927:A *927:B 1.07248e-05 +11 *1100:S *927:B 0.000151741 +*RES +1 *925:Y *155:5 12.7456 +2 *155:5 *927:B 24.9627 +3 *155:5 *931:B1_N 9.24915 +*END + +*D_NET *156 0.00117396 +*CONN +*I *932:A I *D sky130_fd_sc_hd__nand3_1 +*I *927:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *932:A 0.000246 +2 *927:Y 0.000246 +3 *932:A *922:A 2.20021e-05 +4 *932:A *932:B 0.000161243 +5 *932:A *1100:A1 3.19611e-05 +6 *932:A *1159:CLK 0.000114594 +7 *932:A *229:83 0.000122083 +8 *927:B *932:A 7.92757e-06 +9 *145:14 *932:A 0.000222149 +*RES +1 *927:Y *932:A 34.3806 +*END + +*D_NET *157 0.000304285 +*CONN +*I *929:A I *D sky130_fd_sc_hd__nand2_1 +*I *928:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *929:A 0.000112612 +2 *928:Y 0.000112612 +3 *929:A *928:A 2.65831e-05 +4 *929:A *929:B 1.88907e-05 +5 *929:A *931:A1 2.65667e-05 +6 *929:A *159:41 7.02172e-06 +*RES +1 *928:Y *929:A 21.4642 +*END + +*D_NET *158 0.00120503 +*CONN +*I *931:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *929:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *931:A1 0.000266984 +2 *929:Y 0.000266984 +3 *931:A1 *931:A2 0.000134849 +4 *931:A1 *1100:A1 0.00016386 +5 *931:A1 *159:41 0.000345783 +6 *929:A *931:A1 2.65667e-05 +*RES +1 *929:Y *931:A1 28.8429 +*END + +*D_NET *159 0.00789186 +*CONN +*I *931:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *933:A I *D sky130_fd_sc_hd__nand2_1 +*I *935:A I *D sky130_fd_sc_hd__nand3_1 +*I *939:A I *D sky130_fd_sc_hd__nand3_1 +*I *930:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *931:A2 0.000163355 +2 *933:A 0.000240878 +3 *935:A 1.43292e-05 +4 *939:A 0.00037645 +5 *930:Y 0.000518245 +6 *159:41 0.000784136 +7 *159:17 0.000933859 +8 *159:10 0.00144123 +9 *935:A *935:C 1.05512e-05 +10 *935:A *267:19 1.02267e-05 +11 *939:A *939:C 0.00030181 +12 *939:A *940:A 5.72365e-05 +13 *939:A *940:B 6.27782e-05 +14 *939:A *1152:CLK_N 6.27782e-05 +15 *939:A *267:19 0.00020358 +16 *159:10 *1049:B1 0.000183 +17 *159:10 *1101:S 4.98796e-05 +18 *159:10 *260:89 0.000185616 +19 *159:10 *260:102 0.000348807 +20 *159:10 *280:42 1.59362e-06 +21 *159:10 *280:51 1.35449e-05 +22 *159:10 *280:71 7.03112e-06 +23 *159:10 *309:591 0 +24 *159:17 *929:B 4.88955e-05 +25 *159:17 *935:C 2.45352e-05 +26 *159:17 *266:27 0.000572395 +27 *159:17 *267:19 1.80122e-05 +28 *159:17 *267:33 6.89584e-06 +29 *159:41 *929:B 6.50727e-05 +30 *927:A *933:A 0 +31 *927:B *931:A2 0.000217951 +32 *929:A *159:41 7.02172e-06 +33 *931:A1 *931:A2 0.000134849 +34 *931:A1 *159:41 0.000345783 +35 *1100:S *933:A 5.47652e-05 +36 *1101:A0 *933:A 3.40805e-05 +37 *4:7 *933:A 0 +38 *4:7 *159:10 6.15948e-05 +39 *9:5 *939:A 8.13394e-05 +40 *52:28 *159:10 0.000183074 +41 *154:9 *159:10 6.46815e-05 +*RES +1 *930:Y *159:10 34.5079 +2 *159:10 *159:17 10.3687 +3 *159:17 *939:A 30.3988 +4 *159:17 *935:A 9.82786 +5 *159:10 *159:41 8.12615 +6 *159:41 *933:A 24.2337 +7 *159:41 *931:A2 14.8434 +*END + +*D_NET *160 0.000395547 +*CONN +*I *932:B I *D sky130_fd_sc_hd__nand3_1 +*I *931:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *932:B 0.000105679 +2 *931:Y 0.000105679 +3 *932:B *1159:CLK 2.29454e-05 +4 *932:A *932:B 0.000161243 +*RES +1 *931:Y *932:B 21.4642 +*END + +*D_NET *161 0.00631029 +*CONN +*I *938:A I *D sky130_fd_sc_hd__nand2_1 +*I *934:A I *D sky130_fd_sc_hd__nand2_1 +*I *943:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *942:A I *D sky130_fd_sc_hd__nand2_1 +*I *933:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *938:A 0.000235187 +2 *934:A 0 +3 *943:A2 0.000243376 +4 *942:A 9.23208e-05 +5 *933:Y 0.00074855 +6 *161:20 0.000408874 +7 *161:18 0.000137212 +8 *161:17 0.00104777 +9 *938:A *936:A 6.92005e-05 +10 *938:A *309:8 5.37082e-05 +11 *938:A *309:564 2.24484e-05 +12 *942:A *941:A 0.000230415 +13 *942:A *309:10 0.000230415 +14 *943:A2 *1072:S 0.000164815 +15 *161:17 *897:A2 9.44631e-06 +16 *161:17 *1053:A 0.000113758 +17 *161:17 *1073:A1 2.30636e-05 +18 *161:17 *266:24 0.000136276 +19 *161:17 *309:7 0.000155038 +20 *161:17 *309:580 4.66492e-05 +21 *161:18 *936:A 7.26748e-05 +22 *161:18 *309:8 8.12683e-05 +23 *161:18 *309:10 3.20264e-05 +24 *161:20 *941:A 6.51423e-05 +25 *161:20 *309:10 0.000144269 +26 *934:B *161:17 0.000107496 +27 *938:B *938:A 0.000233797 +28 *938:B *161:17 0.000315388 +29 *943:A1 *943:A2 4.23874e-05 +30 *1073:A0 *161:17 0.000703919 +31 *1073:S *161:17 1.4106e-05 +32 *1074:A0 *161:17 0.00025821 +33 *1179:A *938:A 6.50727e-05 +34 *52:22 *161:17 6.00782e-06 +*RES +1 *933:Y *161:17 44.938 +2 *161:17 *161:18 2.24725 +3 *161:18 *161:20 2.6625 +4 *161:20 *942:A 18.0727 +5 *161:20 *943:A2 17.8002 +6 *161:18 *934:A 13.7491 +7 *161:17 *938:A 22.014 +*END + +*D_NET *162 0.00169281 +*CONN +*I *936:A I *D sky130_fd_sc_hd__nand2_1 +*I *934:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *936:A 0.000475043 +2 *934:Y 0.000475043 +3 *936:A *936:B 0.000304613 +4 *936:A *1150:RESET_B 6.27718e-05 +5 *936:A *1151:SET_B 3.66496e-05 +6 *936:A *309:564 5.22071e-05 +7 *938:A *936:A 6.92005e-05 +8 *1152:D *936:A 0.000144613 +9 *1179:A *936:A 0 +10 *9:5 *936:A 0 +11 *161:18 *936:A 7.26748e-05 +*RES +1 *934:Y *936:A 41.7371 +*END + +*D_NET *163 0.00111837 +*CONN +*I *936:B I *D sky130_fd_sc_hd__nand2_1 +*I *935:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *936:B 0.000324714 +2 *935:Y 0.000324714 +3 *936:B *1151:SET_B 6.27718e-05 +4 *936:B *267:19 0.000101553 +5 *936:A *936:B 0.000304613 +*RES +1 *935:Y *936:B 35.87 +*END + +*D_NET *164 0.000590279 +*CONN +*I *940:A I *D sky130_fd_sc_hd__nand2_1 +*I *938:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *940:A 0.000243398 +2 *938:Y 0.000243398 +3 *940:A *229:39 0 +4 *938:B *940:A 1.31657e-05 +5 *939:A *940:A 5.72365e-05 +6 *1151:D *940:A 3.30805e-05 +7 *9:5 *940:A 0 +*RES +1 *938:Y *940:A 33.791 +*END + +*D_NET *165 0.000276317 +*CONN +*I *940:B I *D sky130_fd_sc_hd__nand2_1 +*I *939:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *940:B 7.88314e-05 +2 *939:Y 7.88314e-05 +3 *940:B *1152:CLK_N 2.08133e-05 +4 *939:A *940:B 6.27782e-05 +5 *939:B *940:B 3.5063e-05 +*RES +1 *939:Y *940:B 20.9337 +*END + +*D_NET *166 0.000942416 +*CONN +*I *943:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *942:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *943:B1 0.000471208 +2 *942:Y 0.000471208 +3 *943:B1 *941:A 0 +4 *83:8 *943:B1 0 +*RES +1 *942:Y *943:B1 35.321 +*END + +*D_NET *167 0.00225467 +*CONN +*I *1027:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *947:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *948:B I *D sky130_fd_sc_hd__nand3_1 +*I *945:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1027:A1_N 8.04014e-05 +2 *947:A2 4.44879e-05 +3 *948:B 0.000119102 +4 *945:Y 0 +5 *167:6 0.000272 +6 *167:4 0.000188812 +7 *947:A2 *168:9 6.08467e-05 +8 *948:B *948:C 0.000114584 +9 *948:B *232:49 0.000191861 +10 *948:B *232:56 2.1667e-05 +11 *1027:A1_N *259:44 6.49003e-05 +12 *1027:A1_N *260:13 0.000470585 +13 *1027:A1_N *281:10 6.50727e-05 +14 *1027:A1_N *281:49 4.23874e-05 +15 *167:6 *232:56 0.000159954 +16 *947:A1 *948:B 0.000178049 +17 *948:A *948:B 3.57621e-05 +18 *1027:B1 *1027:A1_N 6.08467e-05 +19 *1104:S *948:B 3.06627e-05 +20 *1149:D *947:A2 2.16355e-05 +21 *50:15 *948:B 3.10541e-05 +*RES +1 *945:Y *167:4 9.24915 +2 *167:4 *167:6 7.57775 +3 *167:6 *948:B 19.5141 +4 *167:6 *947:A2 15.0513 +5 *167:4 *1027:A1_N 14.4094 +*END + +*D_NET *168 0.00379384 +*CONN +*I *948:C I *D sky130_fd_sc_hd__nand3_1 +*I *947:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *946:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *948:C 0.000262571 +2 *947:B1 0 +3 *946:Y 0.00128259 +4 *168:9 0.00154516 +5 *948:C *949:B 9.35846e-05 +6 *168:9 *1149:SET_B 5.70605e-05 +7 *168:9 *265:5 0.000169317 +8 *947:A1 *948:C 1.14782e-05 +9 *947:A2 *168:9 6.08467e-05 +10 *948:A *948:C 1.37189e-05 +11 *948:B *948:C 0.000114584 +12 *1104:S *948:C 2.22714e-05 +13 *1149:D *168:9 0.000160663 +*RES +1 *946:Y *168:9 30.101 +2 *168:9 *947:B1 9.24915 +3 *168:9 *948:C 24.9599 +*END + +*D_NET *169 0.000105186 +*CONN +*I *949:A I *D sky130_fd_sc_hd__nand2_1 +*I *947:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *949:A 1.98089e-05 +2 *947:X 1.98089e-05 +3 *1149:D *949:A 6.5568e-05 +*RES +1 *947:X *949:A 19.2217 +*END + +*D_NET *170 0.000827784 +*CONN +*I *949:B I *D sky130_fd_sc_hd__nand2_1 +*I *948:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *949:B 0.000217213 +2 *948:Y 0.000217213 +3 *947:A1 *949:B 3.88852e-05 +4 *948:C *949:B 9.35846e-05 +5 *1149:D *949:B 0.000260888 +*RES +1 *948:Y *949:B 33.8153 +*END + +*D_NET *171 0.000214375 +*CONN +*I *951:A I *D sky130_fd_sc_hd__nand2_1 +*I *950:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *951:A 6.26032e-05 +2 *950:Y 6.26032e-05 +3 *951:A *950:A 6.1478e-06 +4 *951:A *950:B 7.35866e-05 +5 *951:A *966:B 7.02172e-06 +6 *951:A *233:68 2.41274e-06 +*RES +1 *950:Y *951:A 20.355 +*END + +*D_NET *172 0.00148721 +*CONN +*I *959:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *954:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *1022:A I *D sky130_fd_sc_hd__clkinv_4 +*I *951:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *959:A2 0.000121027 +2 *954:A_N 9.73673e-05 +3 *1022:A 0 +4 *951:Y 0.000151539 +5 *172:15 0.000342931 +6 *172:8 0.000276075 +7 *954:A_N *959:B1_N 6.27782e-05 +8 *954:A_N *1011:A 5.23577e-05 +9 *954:A_N *173:5 9.43286e-05 +10 *954:A_N *309:154 2.10465e-05 +11 *959:A2 *959:B1_N 0.000112361 +12 *959:A2 *960:A 5.31465e-05 +13 *959:A2 *960:C 2.58757e-05 +14 *959:A2 *1011:A 1.75816e-05 +15 *172:8 *951:B 7.34948e-06 +16 *172:8 *233:68 1.00937e-05 +17 *172:8 *305:84 0 +18 *172:15 *1011:A 4.13547e-05 +19 *172:15 *305:84 0 +20 *172:15 *309:154 0 +21 *49:16 *172:8 0 +22 *49:16 *172:15 0 +*RES +1 *951:Y *172:8 16.7198 +2 *172:8 *1022:A 13.7491 +3 *172:8 *172:15 3.07775 +4 *172:15 *954:A_N 25.5832 +5 *172:15 *959:A2 17.6924 +*END + +*D_NET *173 0.000972527 +*CONN +*I *954:B I *D sky130_fd_sc_hd__nand3b_1 +*I *959:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *952:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *954:B 1.90687e-05 +2 *959:B1_N 5.29173e-05 +3 *952:Y 0.000211094 +4 *173:5 0.00028308 +5 *954:B *954:C 1.02267e-05 +6 *173:5 *954:C 0.000126672 +7 *954:A_N *959:B1_N 6.27782e-05 +8 *954:A_N *173:5 9.43286e-05 +9 *959:A2 *959:B1_N 0.000112361 +*RES +1 *952:Y *173:5 15.5186 +2 *173:5 *959:B1_N 11.0817 +3 *173:5 *954:B 9.82786 +*END + +*D_NET *174 0.0062814 +*CONN +*I *954:C I *D sky130_fd_sc_hd__nand3b_1 +*I *997:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *953:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *954:C 0.00224191 +2 *997:B1 0 +3 *953:X 0.000373609 +4 *174:11 0.00261552 +5 *954:C *366:DIODE 0.000248741 +6 *954:C *952:A 4.89251e-05 +7 *954:C *997:A1 4.87439e-05 +8 *954:C *997:A2 1.9503e-05 +9 *954:C *1024:B 2.26713e-06 +10 *954:C *253:5 1.89746e-05 +11 *954:C *309:208 6.46424e-05 +12 *174:11 *953:B1 3.03567e-05 +13 *174:11 *996:A 0.000122978 +14 *174:11 *996:B 0.000122978 +15 *174:11 *997:A2 0.000115154 +16 *174:11 *305:62 2.26957e-05 +17 *174:11 *305:70 1.4091e-06 +18 *174:11 *317:27 8.72115e-06 +19 *954:B *954:C 1.02267e-05 +20 *1141:D *954:C 3.73806e-05 +21 *173:5 *954:C 0.000126672 +*RES +1 *953:X *174:11 26.7953 +2 *174:11 *997:B1 9.24915 +3 *174:11 *954:C 44.5268 +*END + +*D_NET *175 0.000518586 +*CONN +*I *960:A I *D sky130_fd_sc_hd__nand3_1 +*I *954:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *960:A 0.000178747 +2 *954:Y 0.000178747 +3 *960:A *1148:CLK 8.36586e-06 +4 *960:A *177:42 2.58616e-05 +5 *960:A *305:84 4.78554e-05 +6 *959:A2 *960:A 5.31465e-05 +7 *1148:D *960:A 2.58616e-05 +*RES +1 *954:Y *960:A 31.7469 +*END + +*D_NET *176 0.0056155 +*CONN +*I *961:B I *D sky130_fd_sc_hd__nand2_2 +*I *999:B I *D sky130_fd_sc_hd__nand2_1 +*I *956:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *966:C I *D sky130_fd_sc_hd__nand3_1 +*I *964:C I *D sky130_fd_sc_hd__nand3_1 +*I *955:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *961:B 7.20617e-05 +2 *999:B 0 +3 *956:A 0.000272876 +4 *966:C 2.38514e-05 +5 *964:C 5.68678e-05 +6 *955:Y 0 +7 *176:38 0.000494549 +8 *176:33 0.000341963 +9 *176:16 0.000758493 +10 *176:4 0.000726002 +11 *956:A *999:A 4.80635e-06 +12 *956:A *192:21 1.41291e-05 +13 *956:A *203:9 0.00030513 +14 *956:A *203:25 2.91008e-06 +15 *961:B *1192:A 6.41177e-05 +16 *961:B *233:44 2.74378e-05 +17 *961:B *233:46 1.60116e-05 +18 *961:B *234:33 0.000426726 +19 *961:B *254:41 0.000205985 +20 *964:C *950:A 5.95369e-05 +21 *964:C *950:B 0.000269504 +22 *964:C *964:B 1.51284e-05 +23 *964:C *966:A 0.000118792 +24 *966:C *950:A 3.15947e-05 +25 *966:C *950:B 5.94675e-05 +26 *176:16 *957:A1 7.86825e-06 +27 *176:16 *966:B 3.0676e-05 +28 *176:16 *1198:A 1.66626e-05 +29 *176:16 *178:7 1.37189e-05 +30 *176:16 *233:46 4.87439e-05 +31 *176:16 *234:33 0.000161243 +32 *176:16 *317:36 0.000129495 +33 *176:33 *233:46 1.37531e-05 +34 *176:33 *234:33 0.000375848 +35 *176:33 *254:41 0.000113968 +36 *176:33 *254:49 0.000213725 +37 *176:38 *958:A 6.07797e-05 +38 *176:38 *961:A 1.94839e-05 +39 *176:38 *1001:A 0 +40 *176:38 *179:8 2.1558e-06 +41 *176:38 *254:41 0 +42 *19:21 *176:38 0 +43 *19:40 *176:16 3.94335e-05 +*RES +1 *955:Y *176:4 9.24915 +2 *176:4 *176:16 19.9399 +3 *176:16 *964:C 12.7697 +4 *176:16 *966:C 10.5513 +5 *176:4 *176:33 4.05102 +6 *176:33 *176:38 13.3235 +7 *176:38 *956:A 14.8675 +8 *176:38 *999:B 9.24915 +9 *176:33 *961:B 14.4335 +*END + +*D_NET *177 0.00963695 +*CONN +*I *960:B I *D sky130_fd_sc_hd__nand3_1 +*I *962:C I *D sky130_fd_sc_hd__nand3_1 +*I *985:C I *D sky130_fd_sc_hd__nand3_1 +*I *1005:B I *D sky130_fd_sc_hd__nand3_1 +*I *1001:B I *D sky130_fd_sc_hd__nand3_1 +*I *956:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *960:B 1.39234e-05 +2 *962:C 0.000348051 +3 *985:C 0 +4 *1005:B 0.000617184 +5 *1001:B 0.00018167 +6 *956:X 0.0001371 +7 *177:42 0.00193874 +8 *177:32 0.00187797 +9 *177:19 0.00102337 +10 *177:8 0.000423755 +11 *962:C *373:DIODE 7.18098e-05 +12 *962:C *960:C 6.36477e-05 +13 *962:C *305:130 2.44934e-05 +14 *1001:B *1001:C 0.000116755 +15 *1001:B *1002:A 0.000212154 +16 *1001:B *242:8 4.47713e-05 +17 *1005:B *1005:A 0.0009236 +18 *1005:B *1006:B 3.75603e-05 +19 *1005:B *241:25 1.49001e-05 +20 *1005:B *242:8 1.07248e-05 +21 *1005:B *242:23 1.00981e-05 +22 *177:8 *1001:A 0 +23 *177:8 *1002:A 0.000154024 +24 *177:8 *192:43 0.000116755 +25 *177:8 *203:9 6.78596e-05 +26 *177:8 *203:25 1.92172e-05 +27 *177:32 *984:A2 0.000150384 +28 *177:32 *984:B1_N 9.57557e-06 +29 *177:32 *985:B 9.60216e-05 +30 *177:32 *1005:A 0.000243501 +31 *177:42 *952:A 6.0471e-05 +32 *177:42 *978:A 3.44935e-05 +33 *177:42 *1024:A 0.000195493 +34 *177:42 *1024:B 0.000262139 +35 *177:42 *1025:B1 0 +36 *177:42 *305:130 1.21461e-06 +37 *177:42 *318:10 4.59192e-05 +38 *960:A *177:42 2.58616e-05 +39 *1148:D *177:42 6.17321e-05 +*RES +1 *956:X *177:8 19.143 +2 *177:8 *1001:B 19.837 +3 *177:8 *177:19 2.41823 +4 *177:19 *1005:B 26.0932 +5 *177:19 *177:32 9.92941 +6 *177:32 *985:C 13.7491 +7 *177:32 *177:42 32.1435 +8 *177:42 *962:C 25.7102 +9 *177:42 *960:B 9.82786 +*END + +*D_NET *178 0.00257396 +*CONN +*I *961:A I *D sky130_fd_sc_hd__nand2_2 +*I *958:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *957:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *961:A 0.000614171 +2 *958:A 0.000103313 +3 *957:Y 0.000123812 +4 *178:7 0.000841295 +5 *958:A *1089:A1 3.67528e-06 +6 *958:A *179:8 9.60216e-05 +7 *958:A *315:8 1.67033e-05 +8 *961:A *179:8 5.23737e-05 +9 *961:A *234:33 2.02035e-05 +10 *961:A *317:36 8.2744e-05 +11 *178:7 *233:46 0.000365048 +12 *178:7 *315:8 0.000160617 +13 *176:16 *178:7 1.37189e-05 +14 *176:38 *958:A 6.07797e-05 +15 *176:38 *961:A 1.94839e-05 +*RES +1 *957:Y *178:7 18.3548 +2 *178:7 *958:A 16.8269 +3 *178:7 *961:A 22.9657 +*END + +*D_NET *179 0.00800829 +*CONN +*I *1025:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *962:A I *D sky130_fd_sc_hd__nand3_1 +*I *959:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *966:A I *D sky130_fd_sc_hd__nand3_1 +*I *964:A I *D sky130_fd_sc_hd__nand3_1 +*I *958:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1025:B1 0.000742334 +2 *962:A 0.000246616 +3 *959:A1 0 +4 *966:A 2.42034e-05 +5 *964:A 0.000158668 +6 *958:X 0.000299384 +7 *179:39 0.000362255 +8 *179:16 0.000394991 +9 *179:11 0.000592044 +10 *179:8 0.001306 +11 *962:A *962:B 4.03096e-05 +12 *964:A *317:36 2.26985e-05 +13 *966:A *950:A 0.000114594 +14 *966:A *966:B 1.77537e-06 +15 *1025:B1 *980:A 0.000257021 +16 *1025:B1 *1025:B2 0.000113309 +17 *1025:B1 *235:28 0.000701281 +18 *1025:B1 *318:10 4.67453e-05 +19 *179:8 *254:49 1.42919e-05 +20 *179:8 *309:154 0 +21 *179:8 *315:8 2.99929e-05 +22 *179:11 *1011:A 0.00042448 +23 *179:11 *234:45 1.34424e-05 +24 *179:11 *235:28 0.000485826 +25 *179:11 *235:50 0.000268366 +26 *179:16 *1195:A 0 +27 *179:16 *235:50 0.000213676 +28 *179:39 *1195:A 0 +29 *179:39 *305:84 6.97908e-05 +30 *958:A *179:8 9.60216e-05 +31 *961:A *179:8 5.23737e-05 +32 *964:C *966:A 0.000118792 +33 *1093:S *179:11 0.000307332 +34 *1093:S *179:16 0.000216467 +35 *19:40 *179:16 0 +36 *49:16 *179:11 0.000271044 +37 *176:38 *179:8 2.1558e-06 +38 *177:42 *1025:B1 0 +*RES +1 *958:X *179:8 25.0642 +2 *179:8 *179:11 12.9488 +3 *179:11 *179:16 10.5878 +4 *179:16 *964:A 16.546 +5 *179:16 *966:A 15.4058 +6 *179:11 *179:39 12.0778 +7 *179:39 *959:A1 9.24915 +8 *179:39 *962:A 15.0122 +9 *179:8 *1025:B1 35.0737 +*END + +*D_NET *180 0.000534093 +*CONN +*I *960:C I *D sky130_fd_sc_hd__nand3_1 +*I *959:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *960:C 0.000170409 +2 *959:Y 0.000170409 +3 *960:C *305:84 8.96342e-05 +4 *960:C *305:130 1.41181e-05 +5 *959:A2 *960:C 2.58757e-05 +6 *962:C *960:C 6.36477e-05 +*RES +1 *959:Y *960:C 31.3317 +*END + +*D_NET *181 0.00108198 +*CONN +*I *963:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *962:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *963:B1_N 0.000137146 +2 *962:Y 0.000137146 +3 *963:B1_N *962:B 0.000211546 +4 *963:B1_N *1094:S 2.04806e-05 +5 *963:B1_N *1147:RESET_B 7.92757e-06 +6 *963:B1_N *1195:A 0.000206696 +7 *963:B1_N *235:50 6.50586e-05 +8 *963:B1_N *245:8 0.000132627 +9 *1094:A0 *963:B1_N 9.40857e-05 +10 *19:40 *963:B1_N 6.92705e-05 +*RES +1 *962:Y *963:B1_N 33.826 +*END + +*D_NET *182 0.00246698 +*CONN +*I *965:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *964:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *965:B1_N 0.000642916 +2 *964:Y 0.000642916 +3 *965:B1_N *1040:B 3.92918e-05 +4 *965:B1_N *1041:B 7.02493e-05 +5 *965:B1_N *1091:A1 2.20702e-05 +6 *965:B1_N *243:6 0.000169063 +7 *965:B1_N *244:22 9.2346e-06 +8 *965:B1_N *244:33 3.37319e-05 +9 *965:B1_N *305:89 8.45896e-06 +10 *965:B1_N *305:93 0.000114447 +11 *965:B1_N *317:36 6.01588e-05 +12 *965:A1 *965:B1_N 0.000333392 +13 *1091:A0 *965:B1_N 0.000118166 +14 *1091:S *965:B1_N 0.000171273 +15 *1092:A0 *965:B1_N 3.16131e-05 +*RES +1 *964:Y *965:B1_N 47.5111 +*END + +*D_NET *183 0.000446214 +*CONN +*I *967:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *966:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *967:B1_N 0.000135772 +2 *966:Y 0.000135772 +3 *967:B1_N *966:B 9.89388e-06 +4 *967:B1_N *233:68 3.18806e-05 +5 *967:B1_N *244:33 0 +6 *967:B1_N *254:59 0.000116 +7 *967:A2 *967:B1_N 3.60933e-06 +8 *19:40 *967:B1_N 1.3286e-05 +*RES +1 *966:Y *967:B1_N 31.0235 +*END + +*D_NET *184 0.00167045 +*CONN +*I *969:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *968:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *969:A 0.00057702 +2 *968:X 0.00057702 +3 *969:A *369:DIODE 6.2645e-05 +4 *969:A *1144:RESET_B 1.62526e-05 +5 *969:A *1144:CLK_N 1.34285e-05 +6 *969:A *228:15 3.21024e-05 +7 *969:A *228:28 0 +8 *969:A *248:15 0.000257968 +9 *969:A *309:65 2.17699e-05 +10 *969:A *309:77 0.000112241 +11 *1144:D *969:A 0 +*RES +1 *968:X *969:A 40.5054 +*END + +*D_NET *185 0.00104448 +*CONN +*I *972:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *971:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *972:A 0.000421054 +2 *971:X 0.000421054 +3 *972:A *1034:A 6.5807e-05 +4 *972:A *1034:B 0.000122378 +5 *972:A *247:26 1.41853e-05 +*RES +1 *971:X *972:A 28.6982 +*END + +*D_NET *186 0.00129538 +*CONN +*I *974:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *973:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *974:A 0.00058004 +2 *973:X 0.00058004 +3 *974:A *1143:SET_B 0.000117333 +4 *1142:D *974:A 1.79672e-05 +*RES +1 *973:X *974:A 37.5394 +*END + +*D_NET *187 0.00305206 +*CONN +*I *977:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *983:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *976:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *977:C1 0 +2 *983:C1 0.000528886 +3 *976:Y 0.000487519 +4 *187:12 0.00101641 +5 *983:C1 *983:A1 0 +6 *983:C1 *1042:A 0 +7 *983:C1 *1042:B 2.16355e-05 +8 *983:C1 *1197:A 0.000168973 +9 *983:C1 *233:82 4.83699e-05 +10 *983:C1 *313:22 3.31882e-05 +11 *187:12 *976:B 2.99287e-05 +12 *187:12 *1032:A2 7.73661e-05 +13 *187:12 *1032:B1 0.000189666 +14 *187:12 *1095:A1 0.00016195 +15 *187:12 *313:8 0.000143161 +16 *187:12 *313:22 8.52198e-05 +17 *990:A0 *187:12 1.36606e-05 +18 *1033:B *983:C1 0 +19 *1033:B *187:12 0 +20 *1095:S *187:12 4.61271e-05 +*RES +1 *976:Y *187:12 28.4313 +2 *187:12 *983:C1 26.0328 +3 *187:12 *977:C1 13.7491 +*END + +*D_NET *188 0.00109025 +*CONN +*I *984:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *980:B I *D sky130_fd_sc_hd__nand3_1 +*I *978:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *984:B1_N 5.84028e-05 +2 *980:B 0.00013389 +3 *978:Y 0.000185712 +4 *188:5 0.000378005 +5 *980:B *980:A 3.07159e-05 +6 *980:B *985:A 5.40485e-05 +7 *984:B1_N *984:A2 5.22654e-06 +8 *984:B1_N *985:B 2.99929e-05 +9 *984:B1_N *234:33 1.2693e-05 +10 *188:5 *985:A 2.41274e-06 +11 *188:5 *985:B 6.50586e-05 +12 *188:5 *235:28 2.69811e-05 +13 *980:C *984:B1_N 9.75356e-05 +14 *177:32 *984:B1_N 9.57557e-06 +*RES +1 *978:Y *188:5 12.191 +2 *188:5 *980:B 11.6605 +3 *188:5 *984:B1_N 20.4964 +*END + +*D_NET *189 0.000711928 +*CONN +*I *985:A I *D sky130_fd_sc_hd__nand3_1 +*I *980:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *985:A 0.000127938 +2 *980:Y 0.000127938 +3 *985:A *980:A 0.000130087 +4 *985:A *235:28 0.000269504 +5 *980:B *985:A 5.40485e-05 +6 *188:5 *985:A 2.41274e-06 +*RES +1 *980:Y *985:A 24.3096 +*END + +*D_NET *190 0.00127958 +*CONN +*I *982:A I *D sky130_fd_sc_hd__nand2_1 +*I *981:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *982:A 0.000366314 +2 *981:Y 0.000366314 +3 *982:A *982:B 6.50727e-05 +4 *982:A *984:A1 4.97617e-05 +5 *982:A *1005:A 0 +6 *982:A *1088:A1 0 +7 *982:A *203:25 4.69495e-06 +8 *982:A *254:25 5.98402e-05 +9 *982:A *254:41 0.000206327 +10 *1088:A0 *982:A 0.000161252 +11 *48:10 *982:A 0 +*RES +1 *981:Y *982:A 37.334 +*END + +*D_NET *191 0.00240639 +*CONN +*I *984:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *982:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *984:A1 0.000368181 +2 *982:Y 0.000368181 +3 *984:A1 *982:B 6.50727e-05 +4 *984:A1 *1088:A1 6.38502e-06 +5 *980:C *984:A1 0.000583113 +6 *982:A *984:A1 4.97617e-05 +7 *998:B1 *984:A1 0.000583113 +8 *1088:A0 *984:A1 0.000145984 +9 *48:10 *984:A1 0.000236602 +*RES +1 *982:Y *984:A1 40.8937 +*END + +*D_NET *192 0.0102957 +*CONN +*I *1005:A I *D sky130_fd_sc_hd__nand3_1 +*I *984:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *1001:A I *D sky130_fd_sc_hd__nand3_1 +*I *999:A I *D sky130_fd_sc_hd__nand2_1 +*I *983:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *1005:A 0.00033846 +2 *984:A2 0.000136134 +3 *1001:A 0.000357662 +4 *999:A 1.52328e-05 +5 *983:Y 0.00118001 +6 *192:43 0.000542944 +7 *192:31 0.000472675 +8 *192:21 0.000239014 +9 *192:14 0.00135713 +10 *984:A2 *234:33 0 +11 *999:A *203:9 0 +12 *1001:A *1002:A 6.3657e-05 +13 *1001:A *1002:B 6.64392e-05 +14 *1001:A *1089:A1 0 +15 *1005:A *979:A 0.00032688 +16 *1005:A *1088:A1 0 +17 *1005:A *203:25 3.93117e-06 +18 *1005:A *231:64 1.45322e-05 +19 *1005:A *241:25 8.3746e-05 +20 *1005:A *242:23 6.75302e-05 +21 *1005:A *254:41 0.000107496 +22 *192:14 *1041:B 0.000158357 +23 *192:14 *1042:A 3.86121e-05 +24 *192:14 *1042:B 0.000117376 +25 *192:14 *1089:A1 1.32772e-05 +26 *192:14 *1094:S 1.90218e-05 +27 *192:14 *233:82 0.000389874 +28 *192:14 *254:49 4.31988e-05 +29 *192:14 *254:59 0.000415484 +30 *192:14 *254:64 1.77537e-06 +31 *192:14 *305:89 7.77309e-06 +32 *192:14 *309:154 0 +33 *192:14 *315:8 5.04511e-06 +34 *192:21 *203:9 3.14978e-05 +35 *192:21 *254:49 2.65831e-05 +36 *192:31 *203:9 3.79336e-05 +37 *192:43 *203:9 7.63787e-05 +38 *192:43 *203:25 7.68538e-06 +39 *192:43 *254:41 0.000276077 +40 *956:A *999:A 4.80635e-06 +41 *956:A *192:21 1.41291e-05 +42 *980:C *984:A2 6.08467e-05 +43 *982:A *1005:A 0 +44 *984:B1_N *984:A2 5.22654e-06 +45 *998:B1 *984:A2 6.08467e-05 +46 *1000:B *1005:A 3.58044e-05 +47 *1000:B *192:43 5.56461e-05 +48 *1005:B *1005:A 0.0009236 +49 *1136:D *1001:A 5.53934e-05 +50 *19:21 *984:A2 7.41833e-06 +51 *19:21 *192:14 0.000873926 +52 *19:21 *192:21 0.000303381 +53 *19:21 *192:31 0.000179067 +54 *19:21 *192:43 0.00016553 +55 *176:38 *1001:A 0 +56 *177:8 *1001:A 0 +57 *177:8 *192:43 0.000116755 +58 *177:32 *984:A2 0.000150384 +59 *177:32 *1005:A 0.000243501 +*RES +1 *983:Y *192:14 46.7164 +2 *192:14 *192:21 10.8177 +3 *192:21 *999:A 9.82786 +4 *192:21 *192:31 2.41132 +5 *192:31 *1001:A 26.6181 +6 *192:31 *192:43 5.16022 +7 *192:43 *984:A2 22.0503 +8 *192:43 *1005:A 34.297 +*END + +*D_NET *193 0.00034371 +*CONN +*I *985:B I *D sky130_fd_sc_hd__nand3_1 +*I *984:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *985:B 6.53352e-05 +2 *984:Y 6.53352e-05 +3 *985:B *235:28 1.43848e-05 +4 *980:C *985:B 7.58217e-06 +5 *984:B1_N *985:B 2.99929e-05 +6 *177:32 *985:B 9.60216e-05 +7 *188:5 *985:B 6.50586e-05 +*RES +1 *984:Y *985:B 30.0537 +*END + +*D_NET *194 0.000344046 +*CONN +*I *987:B I *D sky130_fd_sc_hd__xor2_1 +*I *986:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *987:B 6.05432e-05 +2 *986:Y 6.05432e-05 +3 *987:B *1012:C 4.47123e-05 +4 *987:B *1096:A1 6.66393e-05 +5 *987:B *236:36 0.000111608 +*RES +1 *986:Y *987:B 30.1608 +*END + +*D_NET *195 0.00177515 +*CONN +*I *989:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *988:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *989:A 0.000732387 +2 *988:X 0.000732387 +3 *989:A *1138:CLK 4.47179e-05 +4 *989:A *1139:CLK 8.17527e-05 +5 *989:A *228:39 2.24632e-05 +6 *989:A *236:11 7.621e-05 +7 *1138:D *989:A 2.01727e-05 +8 *1139:D *989:A 6.50586e-05 +*RES +1 *988:X *989:A 43.4444 +*END + +*D_NET *196 0.00164805 +*CONN +*I *991:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *990:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *991:A 0.000703344 +2 *990:X 0.000703344 +3 *991:A *363:DIODE 2.58616e-05 +4 *991:A *976:A 5.04829e-06 +5 *991:A *976:B 1.37566e-05 +6 *991:A *988:S 0.000117376 +7 *991:A *236:11 7.93242e-05 +*RES +1 *990:X *991:A 42.1451 +*END + +*D_NET *197 0.00047747 +*CONN +*I *994:A I *D sky130_fd_sc_hd__nand3_1 +*I *992:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *994:A 6.67282e-05 +2 *992:X 6.67282e-05 +3 *994:A *332:DIODE 0.000152878 +4 *994:A *199:11 6.87578e-05 +5 *994:A *305:13 0.000122378 +*RES +1 *992:X *994:A 22.0188 +*END + +*D_NET *198 0.000870454 +*CONN +*I *994:B I *D sky130_fd_sc_hd__nand3_1 +*I *993:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *994:B 0.000283819 +2 *993:X 0.000283819 +3 *994:B *1062:A 7.45283e-05 +4 *994:B *1121:D 0.000110458 +5 *994:B *305:38 1.65872e-05 +6 *399:DIODE *994:B 9.75684e-05 +7 *14:8 *994:B 3.67528e-06 +*RES +1 *993:X *994:B 34.6271 +*END + +*D_NET *199 0.00392837 +*CONN +*I *998:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *994:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *998:A1 0 +2 *994:Y 0.00144151 +3 *199:11 0.00144151 +4 *199:11 *998:A2 0.00018717 +5 *199:11 *1109:D 0.000302913 +6 *199:11 *1109:CLK 0.000113953 +7 *199:11 *1137:RESET_B 6.8575e-05 +8 *199:11 *231:57 0 +9 *199:11 *233:44 0.00011818 +10 *199:11 *235:15 1.92172e-05 +11 *199:11 *305:13 2.46499e-05 +12 *199:11 *317:27 0.000141935 +13 *994:A *199:11 6.87578e-05 +*RES +1 *994:Y *199:11 43.988 +2 *199:11 *998:A1 9.24915 +*END + +*D_NET *200 0.00131313 +*CONN +*I *997:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *995:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *997:A1 0.000445264 +2 *995:Y 0.000445264 +3 *997:A1 *995:B 7.27408e-05 +4 *997:A1 *1110:D 0.000290081 +5 *997:A1 *253:5 1.10348e-05 +6 *954:C *997:A1 4.87439e-05 +*RES +1 *995:Y *997:A1 36.1838 +*END + +*D_NET *201 0.000366048 +*CONN +*I *997:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *996:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *997:A2 5.84032e-05 +2 *996:X 5.84032e-05 +3 *997:A2 *998:A2 0.000114584 +4 *954:C *997:A2 1.9503e-05 +5 *174:11 *997:A2 0.000115154 +*RES +1 *996:X *997:A2 20.8855 +*END + +*D_NET *202 0.00109838 +*CONN +*I *998:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *997:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *998:A2 0.000291855 +2 *997:Y 0.000291855 +3 *998:A2 *231:57 0 +4 *998:A2 *233:44 2.07365e-05 +5 *998:A2 *235:15 0.000114584 +6 *998:A2 *317:27 7.75963e-05 +7 *997:A2 *998:A2 0.000114584 +8 *199:11 *998:A2 0.00018717 +*RES +1 *997:Y *998:A2 35.6236 +*END + +*D_NET *203 0.00733122 +*CONN +*I *1000:A I *D sky130_fd_sc_hd__nand2_1 +*I *1009:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *1008:A I *D sky130_fd_sc_hd__nand2_1 +*I *1004:A I *D sky130_fd_sc_hd__nand2_1 +*I *999:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1000:A 0 +2 *1009:A2 0 +3 *1008:A 0.00018438 +4 *1004:A 0 +5 *999:Y 0.000110808 +6 *203:45 0.00112676 +7 *203:25 0.0017786 +8 *203:9 0.00094703 +9 *1008:A *240:19 1.92336e-05 +10 *203:25 *231:57 5.38612e-06 +11 *203:25 *231:81 0.00016553 +12 *203:25 *254:25 6.36387e-05 +13 *203:25 *317:27 0.000551659 +14 *203:25 *317:36 0.000359172 +15 *203:45 *360:DIODE 0.000244682 +16 *203:45 *1009:B1 0.000118166 +17 *203:45 *1135:SET_B 0.000122269 +18 *203:45 *1135:CLK 7.50872e-05 +19 *203:45 *231:64 0 +20 *203:45 *231:81 5.09367e-05 +21 *203:45 *254:25 1.41181e-05 +22 *203:45 *309:234 0 +23 *956:A *203:9 0.00030513 +24 *956:A *203:25 2.91008e-06 +25 *982:A *203:25 4.69495e-06 +26 *999:A *203:9 0 +27 *1000:B *203:25 0.000370801 +28 *1005:A *203:25 3.93117e-06 +29 *1008:B *1008:A 0.00031242 +30 *1083:S *203:45 0.000126743 +31 *1084:A0 *203:45 2.65667e-05 +32 *177:8 *203:9 6.78596e-05 +33 *177:8 *203:25 1.92172e-05 +34 *192:21 *203:9 3.14978e-05 +35 *192:31 *203:9 3.79336e-05 +36 *192:43 *203:9 7.63787e-05 +37 *192:43 *203:25 7.68538e-06 +*RES +1 *999:Y *203:9 15.1569 +2 *203:9 *203:25 26.4493 +3 *203:25 *1004:A 9.24915 +4 *203:25 *203:45 28.6348 +5 *203:45 *1008:A 23.99 +6 *203:45 *1009:A2 9.24915 +7 *203:9 *1000:A 9.24915 +*END + +*D_NET *204 0.00121255 +*CONN +*I *1002:A I *D sky130_fd_sc_hd__nand2_1 +*I *1000:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1002:A 0.00034355 +2 *1000:Y 0.00034355 +3 *1002:A *1001:C 6.78596e-05 +4 *1002:A *1002:B 2.77517e-05 +5 *1001:A *1002:A 6.3657e-05 +6 *1001:B *1002:A 0.000212154 +7 *177:8 *1002:A 0.000154024 +*RES +1 *1000:Y *1002:A 38.2519 +*END + +*D_NET *205 0.000248526 +*CONN +*I *1002:B I *D sky130_fd_sc_hd__nand2_1 +*I *1001:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1002:B 7.71677e-05 +2 *1001:Y 7.71677e-05 +3 *1001:A *1002:B 6.64392e-05 +4 *1002:A *1002:B 2.77517e-05 +*RES +1 *1001:Y *1002:B 20.9337 +*END + +*D_NET *206 0.000876428 +*CONN +*I *1006:A I *D sky130_fd_sc_hd__nand2_1 +*I *1004:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1006:A 0.000206839 +2 *1004:Y 0.000206839 +3 *1006:A *360:DIODE 0.000178823 +4 *1006:A *1006:B 5.75336e-05 +5 *1006:A *231:64 7.50872e-05 +6 *1006:A *231:81 0.000114594 +7 *1006:A *241:9 6.78364e-06 +8 *1006:A *241:25 0 +9 *1135:D *1006:A 2.99287e-05 +*RES +1 *1004:Y *1006:A 33.5742 +*END + +*D_NET *207 0.000498371 +*CONN +*I *1006:B I *D sky130_fd_sc_hd__nand2_1 +*I *1005:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1006:B 0.000100774 +2 *1005:Y 0.000100774 +3 *1006:B *231:64 1.28561e-05 +4 *1006:B *241:9 9.81468e-05 +5 *1006:B *241:13 6.65788e-05 +6 *1006:B *241:25 2.41483e-05 +7 *1005:B *1006:B 3.75603e-05 +8 *1006:A *1006:B 5.75336e-05 +*RES +1 *1005:Y *1006:B 23.1521 +*END + +*D_NET *208 0.000922298 +*CONN +*I *1009:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1008:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1009:B1 0.000128253 +2 *1008:Y 0.000128253 +3 *1008:B *1009:B1 3.1218e-05 +4 *1009:A1 *1009:B1 0.000167076 +5 *1083:S *1009:B1 0.000317693 +6 *1084:A0 *1009:B1 5.51483e-06 +7 *63:8 *1009:B1 2.61242e-05 +8 *203:45 *1009:B1 0.000118166 +*RES +1 *1008:Y *1009:B1 33.242 +*END + +*D_NET *209 0.000960786 +*CONN +*I *1012:A I *D sky130_fd_sc_hd__nand3_1 +*I *1010:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1012:A 0.000435358 +2 *1010:Y 0.000435358 +3 *1012:A *1010:A 2.65831e-05 +4 *1012:A *1148:CLK 3.12316e-05 +5 *1012:A *305:79 5.04829e-06 +6 *1012:A *310:20 2.72075e-05 +*RES +1 *1010:Y *1012:A 34.9058 +*END + +*D_NET *210 0.00187421 +*CONN +*I *1025:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1012:B I *D sky130_fd_sc_hd__nand3_1 +*I *1011:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1025:A1_N 0.000126804 +2 *1012:B 0.000323408 +3 *1011:Y 0 +4 *210:4 0.000450212 +5 *1012:B *358:DIODE 0.000118166 +6 *1012:B *1011:A 3.6147e-05 +7 *1012:B *1133:CLK 2.65667e-05 +8 *1012:B *1148:CLK 0 +9 *1012:B *309:158 5.93684e-05 +10 *1025:A1_N *305:79 0.000364665 +11 *1025:A1_N *310:20 0.000368872 +*RES +1 *1011:Y *210:4 9.24915 +2 *210:4 *1012:B 26.4871 +3 *210:4 *1025:A1_N 18.2916 +*END + +*D_NET *211 0.000349825 +*CONN +*I *1013:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1012:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1013:B 0.000129009 +2 *1012:Y 0.000129009 +3 *1013:B *1148:CLK 1.60687e-05 +4 *1013:B *1169:A 4.47179e-05 +5 *900:A1 *1013:B 3.10193e-05 +*RES +1 *1012:Y *1013:B 31.0235 +*END + +*D_NET *212 0.000808947 +*CONN +*I *1018:A I *D sky130_fd_sc_hd__buf_1 +*I *1017:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *1018:A 9.88799e-05 +2 *1017:X 9.88799e-05 +3 *1018:A *1168:A 0.000263038 +4 *1018:A *1186:A 0.000348149 +*RES +1 *1017:X *1018:A 23.7067 +*END + +*D_NET *213 0.000437189 +*CONN +*I *1025:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1024:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1025:B2 0.000144992 +2 *1024:Y 0.000144992 +3 *1025:B2 *1019:A 0 +4 *1025:B2 *1024:B 2.58616e-05 +5 *1025:B2 *318:10 8.03393e-06 +6 *1025:B1 *1025:B2 0.000113309 +*RES +1 *1024:Y *1025:B2 30.8842 +*END + +*D_NET *214 0.000735821 +*CONN +*I *1027:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1026:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1027:B2 0.000164487 +2 *1026:Y 0.000164487 +3 *1027:B2 *1026:A 8.85203e-05 +4 *1027:B2 *232:56 5.63195e-05 +5 *1027:B2 *281:10 5.25024e-05 +6 *1027:B2 *281:21 0.000209504 +*RES +1 *1026:Y *1027:B2 32.9632 +*END + +*D_NET *215 0.00134418 +*CONN +*I *1033:A I *D sky130_fd_sc_hd__nor2_1 +*I *1031:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1033:A 0.000350085 +2 *1031:Y 0.000350085 +3 *1033:A *1197:A 0.000127164 +4 *1033:A *313:22 4.88955e-05 +5 *1033:A *313:24 0.000417478 +6 *1033:B *1033:A 5.04734e-05 +*RES +1 *1031:Y *1033:A 34.9058 +*END + +*D_NET *216 0.000296956 +*CONN +*I *1035:B I *D sky130_fd_sc_hd__xor2_1 +*I *1034:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1035:B 0.000139518 +2 *1034:Y 0.000139518 +3 *1035:B *1035:A 1.79196e-05 +4 *1035:B *228:28 0 +5 *1035:B *309:78 0 +*RES +1 *1034:Y *1035:B 30.8842 +*END + +*D_NET *217 0.000457755 +*CONN +*I *1038:B I *D sky130_fd_sc_hd__xor2_1 +*I *1037:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1038:B 0.000136981 +2 *1037:Y 0.000136981 +3 *1038:B *1037:B 1.61631e-05 +4 *1087:A0 *1038:B 0.00016763 +*RES +1 *1037:Y *1038:B 22.5734 +*END + +*D_NET *218 0.000804618 +*CONN +*I *1042:B I *D sky130_fd_sc_hd__xor2_1 +*I *1041:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1042:B 0.000156802 +2 *1041:Y 0.000156802 +3 *1042:B *983:B1 0.00012568 +4 *1042:B *233:82 0.000104238 +5 *983:C1 *1042:B 2.16355e-05 +6 *19:52 *1042:B 0.000122083 +7 *192:14 *1042:B 0.000117376 +*RES +1 *1041:Y *1042:B 33.7966 +*END + +*D_NET *219 0.0003225 +*CONN +*I *1050:A I *D sky130_fd_sc_hd__nor2_1 +*I *1048:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1050:A 0.000104286 +2 *1048:Y 0.000104286 +3 *1050:A *924:B1 0 +4 *1050:A *1048:C 3.18993e-05 +5 *1050:A *261:119 1.92561e-05 +6 *1050:B *1050:A 6.27718e-05 +*RES +1 *1048:Y *1050:A 21.6571 +*END + +*D_NET *220 0.000621781 +*CONN +*I *1052:B I *D sky130_fd_sc_hd__xor2_1 +*I *1051:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1052:B 0.000173773 +2 *1051:Y 0.000173773 +3 *1052:B *923:B 2.40924e-05 +4 *1052:B *1052:A 0.000111823 +5 *915:S *1052:B 0.00013832 +*RES +1 *1051:Y *1052:B 33.0676 +*END + +*D_NET *221 0.000320222 +*CONN +*I *1055:B I *D sky130_fd_sc_hd__xor2_1 +*I *1054:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1055:B 0.000141049 +2 *1054:Y 0.000141049 +3 *1055:B *1055:A 3.30938e-05 +4 *1055:B *268:11 1.59362e-06 +5 *1055:B *309:600 3.43721e-06 +*RES +1 *1054:Y *1055:B 30.4689 +*END + +*D_NET *222 0.00408493 +*CONN +*I *1059:B I *D sky130_fd_sc_hd__xor2_1 +*I *1058:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1059:B 0 +2 *1058:Y 0.000684395 +3 *222:15 0.000684395 +4 *222:15 *901:A 0.000354476 +5 *222:15 *1059:A 0.000669957 +6 *222:15 *1078:S 5.88419e-05 +7 *222:15 *1106:A1 6.76954e-05 +8 *222:15 *1120:D 7.16974e-05 +9 *222:15 *1174:A 0.000405724 +10 *222:15 *261:89 0.000149781 +11 *222:15 *270:21 0.000543825 +12 *222:15 *270:38 0.000222112 +13 *222:15 *286:5 6.27782e-05 +14 *1114:D *222:15 4.84045e-05 +15 *93:15 *222:15 6.08467e-05 +*RES +1 *1058:Y *222:15 45.4213 +2 *222:15 *1059:B 9.24915 +*END + +*D_NET *223 0.00158029 +*CONN +*I *1065:B I *D sky130_fd_sc_hd__xor2_1 +*I *1064:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1065:B 0.000586564 +2 *1064:Y 0.000586564 +3 *1065:B *944:A 0.000271044 +4 *1065:B *1130:D 0.000108266 +5 *1065:B *325:11 2.78496e-05 +6 *1164:D *1065:B 0 +*RES +1 *1064:Y *1065:B 39.2299 +*END + +*D_NET *224 0.00430984 +*CONN +*I *1168:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1171:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1165:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1168:A 0.000573491 +2 *1171:A 0.000219965 +3 *1165:X 0 +4 *224:4 0.000793456 +5 *1168:A *1017:A1 0.000408887 +6 *1168:A *1119:D 3.69148e-05 +7 *1168:A *1186:A 0.000493359 +8 *1168:A *1188:A 0.000562485 +9 *1168:A *309:733 1.60442e-05 +10 *1168:A *309:739 0.000584715 +11 *1171:A *354:DIODE 0.000211546 +12 *1171:A *1129:CLK 5.04829e-06 +13 *1018:A *1168:A 0.000263038 +14 *146:66 *1171:A 0.000140887 +*RES +1 *1165:X *224:4 9.24915 +2 *224:4 *1171:A 16.0973 +3 *224:4 *1168:A 43.5912 +*END + +*D_NET *225 0.00440902 +*CONN +*I *1172:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1169:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1166:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1172:A 0.000240947 +2 *1169:A 0.000419746 +3 *1166:X 0.000176468 +4 *225:10 0.000837161 +5 *1169:A *1013:A 0.000206696 +6 *1169:A *1148:CLK 0.000546034 +7 *1169:A *228:80 0.000309709 +8 *1169:A *231:7 6.36477e-05 +9 *1172:A *892:C 0.000168313 +10 *1172:A *1111:D 1.87611e-05 +11 *1172:A *1111:CLK 0.000116502 +12 *1172:A *231:7 0.00106378 +13 *225:10 *1133:CLK 8.72115e-06 +14 *225:10 *231:21 6.65668e-05 +15 *892:A *1169:A 6.08467e-05 +16 *892:B *1169:A 5.76219e-05 +17 *900:A1 *1169:A 2.77625e-06 +18 *1013:B *1169:A 4.47179e-05 +*RES +1 *1166:X *225:10 21.3591 +2 *225:10 *1169:A 23.8376 +3 *225:10 *1172:A 21.0646 +*END + +*D_NET *226 0.00626489 +*CONN +*I *1170:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1173:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1167:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1170:A 0.000480985 +2 *1173:A 0.00031543 +3 *1167:X 0 +4 *226:5 0.000796414 +5 *1170:A *1017:A0 0.00014186 +6 *1170:A *1059:A 0.00014186 +7 *1170:A *231:21 0 +8 *1170:A *269:19 0.000155621 +9 *1173:A *902:B 0.000163309 +10 *1173:A *231:21 0 +11 *1173:A *260:40 0.00126971 +12 *1173:A *269:19 0.000156823 +13 *1173:A *280:11 0.000288559 +14 *910:A1 *1170:A 6.08467e-05 +15 *910:A2 *1170:A 0.00033061 +16 *911:A *1170:A 0.00027103 +17 *911:B *1170:A 4.33819e-05 +18 *912:A1 *1170:A 2.29454e-05 +19 *912:A2 *1170:A 0.000165521 +20 *1076:A0 *1173:A 0.000176594 +21 *1102:A0 *1173:A 0.00026662 +22 *1102:S *1173:A 3.66984e-05 +23 *1158:D *1170:A 1.7883e-05 +24 *93:15 *1170:A 0.000840504 +25 *145:14 *1170:A 1.41976e-05 +26 *146:36 *1170:A 0.000107496 +*RES +1 *1167:X *226:5 13.7491 +2 *226:5 *1173:A 32.1335 +3 *226:5 *1170:A 34.6222 +*END + +*D_NET *227 0.000777394 +*CONN +*I *1017:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *1168:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1017:A1 0.00012807 +2 *1168:X 0.00012807 +3 *1017:A1 *309:733 0.000112367 +4 *1168:A *1017:A1 0.000408887 +*RES +1 *1168:X *1017:A1 23.1039 +*END + +*D_NET *228 0.0175316 +*CONN +*I *1148:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1114:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1140:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1147:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1146:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1139:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1138:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *1142:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *970:A I *D sky130_fd_sc_hd__inv_4 +*I *1144:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1169:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1148:CLK 0.000802841 +2 *1114:CLK 0.000638281 +3 *1140:CLK 0 +4 *1147:CLK 0 +5 *1146:CLK 0.000454825 +6 *1139:CLK 0.000117782 +7 *1138:CLK 0.000237621 +8 *1142:CLK_N 0 +9 *970:A 0.000130602 +10 *1144:CLK_N 0.000474808 +11 *1169:X 0 +12 *228:80 0.00167664 +13 *228:59 0.000489989 +14 *228:39 0.000596684 +15 *228:28 0.000610143 +16 *228:25 0.000720822 +17 *228:15 0.00198908 +18 *228:13 0.00230763 +19 *228:8 0.00125888 +20 *228:4 0.0007362 +21 *1114:CLK *891:B_N 0 +22 *1114:CLK *280:25 0.000320102 +23 *1114:CLK *309:623 0.000216848 +24 *1114:CLK *309:630 0.000157191 +25 *1114:CLK *309:635 0 +26 *1139:CLK *309:52 0 +27 *1139:CLK *309:56 0 +28 *1146:CLK *983:A1 0.000285609 +29 *1146:CLK *983:B1 0 +30 *1146:CLK *1146:SET_B 4.56004e-05 +31 *1148:CLK *1011:A 0 +32 *1148:CLK *1133:CLK 0 +33 *1148:CLK *305:79 3.79751e-05 +34 *1148:CLK *305:84 1.35317e-05 +35 *228:8 *1012:C 0 +36 *228:8 *1096:A1 5.66868e-06 +37 *228:8 *272:8 8.72115e-06 +38 *228:13 *1096:A1 0.000106396 +39 *228:13 *1195:A 1.11749e-05 +40 *228:15 *968:A0 0.000110458 +41 *228:15 *1032:A1 2.16355e-05 +42 *228:15 *1144:RESET_B 5.52256e-05 +43 *228:15 *1195:A 4.15559e-05 +44 *228:15 *248:15 4.45315e-05 +45 *228:15 *309:65 0.000259098 +46 *228:28 *1035:A 0 +47 *228:28 *247:14 0 +48 *228:59 *1195:A 2.91008e-06 +49 *892:B *1148:CLK 7.26606e-05 +50 *900:A1 *1114:CLK 0 +51 *900:A1 *1148:CLK 0.000119367 +52 *913:A *1114:CLK 3.25282e-05 +53 *960:A *1148:CLK 8.36586e-06 +54 *965:A2 *1146:CLK 0 +55 *968:A1 *228:15 1.9503e-05 +56 *968:S *228:15 6.93809e-05 +57 *969:A *1144:CLK_N 1.34285e-05 +58 *969:A *228:15 3.21024e-05 +59 *969:A *228:28 0 +60 *989:A *1138:CLK 4.47179e-05 +61 *989:A *1139:CLK 8.17527e-05 +62 *989:A *228:39 2.24632e-05 +63 *1012:A *1148:CLK 3.12316e-05 +64 *1012:B *1148:CLK 0 +65 *1013:B *1148:CLK 1.60687e-05 +66 *1035:B *228:28 0 +67 *1068:S *1146:CLK 0.000320272 +68 *1082:A1 *228:15 8.85947e-05 +69 *1097:A0 *228:8 9.56977e-05 +70 *1143:D *228:28 0 +71 *1147:D *228:13 9.90059e-05 +72 *1157:D *1114:CLK 6.93171e-05 +73 *1158:D *1114:CLK 0.000166255 +74 *1169:A *1148:CLK 0.000546034 +75 *1169:A *228:80 0.000309709 +76 *1194:A *1146:CLK 0.000250598 +77 *18:24 *1114:CLK 3.54581e-05 +78 *18:42 *1114:CLK 0 +*RES +1 *1169:X *228:4 9.24915 +2 *228:4 *228:8 13.4291 +3 *228:8 *228:13 19.5214 +4 *228:13 *228:15 23.4621 +5 *228:15 *1144:CLK_N 16.5072 +6 *228:15 *228:25 4.5 +7 *228:25 *228:28 15.8828 +8 *228:28 *970:A 12.191 +9 *228:28 *1142:CLK_N 9.24915 +10 *228:25 *228:39 5.56926 +11 *228:39 *1138:CLK 19.6569 +12 *228:39 *1139:CLK 17.2421 +13 *228:13 *228:59 0.988641 +14 *228:59 *1146:CLK 33.5408 +15 *228:59 *1147:CLK 9.24915 +16 *228:8 *1140:CLK 13.7491 +17 *228:4 *228:80 4.05102 +18 *228:80 *1114:CLK 37.1065 +19 *228:80 *1148:CLK 35.9014 +*END + +*D_NET *229 0.0124123 *CONN *I *922:A I *D sky130_fd_sc_hd__inv_4 -*I *1096:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1097:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1142:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1159:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1158:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1112:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1154:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 *I *917:A I *D sky130_fd_sc_hd__inv_4 -*I *936:A I *D sky130_fd_sc_hd__inv_4 -*I *1136:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1152:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *937:A I *D sky130_fd_sc_hd__inv_4 +*I *1150:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1156:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1170:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *922:A 0.000352434 +2 *1159:CLK 0.000215529 +3 *1158:CLK 3.63832e-05 +4 *1112:CLK 0.000240453 +5 *1154:CLK_N 0 +6 *917:A 2.06324e-05 +7 *1152:CLK_N 0.000304238 +8 *937:A 0 +9 *1150:CLK_N 0 +10 *1156:CLK_N 0 +11 *1170:X 9.40062e-05 +12 *229:83 0.000712473 +13 *229:39 0.000742329 +14 *229:29 0.000945559 +15 *229:22 0.000829123 +16 *229:17 0.00101053 +17 *229:15 0.000971142 +18 *229:10 0.00103341 +19 *229:8 0.000840709 +20 *229:7 0.000552782 +21 *922:A *1153:CLK 0.00014735 +22 *1112:CLK *260:61 0.000208507 +23 *1158:CLK *383:DIODE 2.99287e-05 +24 *1159:CLK *925:A 6.92705e-05 +25 *229:8 *897:B1 1.21985e-05 +26 *229:8 *1100:A1 0 +27 *229:10 *897:B1 1.19513e-05 +28 *229:15 *1154:RESET_B 0.000105347 +29 *229:15 *259:94 0.000216535 +30 *229:15 *260:72 0.000399661 +31 *229:17 *1154:RESET_B 3.25584e-05 +32 *229:17 *1155:CLK 0.000122378 +33 *229:17 *273:7 5.38585e-05 +34 *229:17 *309:17 6.06823e-05 +35 *229:17 *309:25 7.90701e-05 +36 *229:17 *309:31 2.7837e-05 +37 *229:17 *309:531 7.09148e-05 +38 *229:39 *1151:CLK 0.000148017 +39 *229:83 *1100:A1 0 +40 *913:B *229:10 0.000189867 +41 *927:B *1159:CLK 2.57986e-05 +42 *932:A *922:A 2.20021e-05 +43 *932:A *1159:CLK 0.000114594 +44 *932:A *229:83 0.000122083 +45 *932:B *1159:CLK 2.29454e-05 +46 *932:C *1159:CLK 2.57847e-05 +47 *939:A *1152:CLK_N 6.27782e-05 +48 *939:B *1152:CLK_N 3.64303e-05 +49 *940:A *229:39 0 +50 *940:B *1152:CLK_N 2.08133e-05 +51 *1097:A0 *229:10 0.00016418 +52 *1097:A0 *229:15 2.01653e-05 +53 *1150:D *229:29 3.71173e-05 +54 *1150:D *229:39 3.44412e-06 +55 *1151:D *1152:CLK_N 3.85659e-05 +56 *1155:D *917:A 0 +57 *1155:D *229:17 0.000192284 +58 *1156:D *229:29 2.78772e-05 +59 *4:11 *1158:CLK 2.78496e-05 +60 *139:8 *229:8 6.05806e-05 +61 *139:8 *229:10 7.85016e-05 +62 *145:14 *229:7 5.52874e-05 +63 *145:54 *1159:CLK 0.000199827 +64 *146:36 *1159:CLK 2.65831e-05 +65 *155:5 *1159:CLK 0.000139101 +*RES +1 *1170:X *229:7 16.1364 +2 *229:7 *229:8 6.39977 +3 *229:8 *229:10 12.8362 +4 *229:10 *229:15 16.6941 +5 *229:15 *229:17 19.0253 +6 *229:17 *229:22 12.1834 +7 *229:22 *1156:CLK_N 13.7491 +8 *229:22 *229:29 13.4591 +9 *229:29 *1150:CLK_N 13.7491 +10 *229:29 *229:39 15.915 +11 *229:39 *937:A 9.24915 +12 *229:39 *1152:CLK_N 17.2065 +13 *229:17 *917:A 9.82786 +14 *229:15 *1154:CLK_N 9.24915 +15 *229:10 *1112:CLK 20.0521 +16 *229:8 *1158:CLK 15.0271 +17 *229:7 *229:83 3.90826 +18 *229:83 *1159:CLK 22.7916 +19 *229:83 *922:A 22.2574 +*END + +*D_NET *230 0.00230733 +*CONN +*I *1106:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1171:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1106:A0 0.000591858 +2 *1171:X 0.000591858 +3 *1106:A0 *901:A 0.00070255 +4 *1106:A0 *1129:CLK 0.000193016 +5 *1106:A0 *1188:A 1.75625e-05 +6 *1106:A0 *261:20 0.000128249 +7 *1106:A0 *261:22 6.93171e-05 +8 *55:14 *1106:A0 1.29211e-05 +*RES +1 *1171:X *1106:A0 40.1703 +*END + +*D_NET *231 0.0225924 +*CONN +*I *1105:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1118:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1110:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *975:A I *D sky130_fd_sc_hd__inv_4 +*I *1109:CLK I *D sky130_fd_sc_hd__dfxtp_1 *I *1134:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1140:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1138:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1141:CLK I *D sky130_fd_sc_hd__dfrtp_2 -*I *1154:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *922:A 0.000226644 -2 *1096:CLK 0.000199022 -3 *1097:CLK 0.00018317 -4 *1142:CLK 0 -5 *917:A 2.06324e-05 -6 *936:A 0.000609803 -7 *1136:CLK_N 0 -8 *1134:CLK_N 0 -9 *1140:CLK_N 0 -10 *1138:CLK_N 0 -11 *1141:CLK 0 -12 *1154:X 4.34713e-05 -13 *217:86 0.000612184 -14 *217:75 0.000497012 -15 *217:49 0.000878843 -16 *217:42 0.000536668 -17 *217:35 0.000508805 -18 *217:28 0.000487664 -19 *217:23 0.000900312 -20 *217:11 0.00100129 -21 *217:10 0.000907655 -22 *217:7 0.000710348 -23 *936:A *1135:CLK 2.23108e-05 -24 *1097:CLK *1087:S 1.0759e-05 -25 *1097:CLK *249:48 7.26929e-06 -26 *1097:CLK *249:50 3.35824e-05 -27 *217:7 *248:65 0.000216467 -28 *217:10 *909:C 0.000141081 -29 *217:11 *257:5 5.56461e-05 -30 *217:11 *257:19 1.92172e-05 -31 *217:23 *919:A_N 6.3657e-05 -32 *217:23 *921:A2 6.08467e-05 -33 *902:A *217:7 6.3657e-05 -34 *910:B1_N *217:10 0.000125744 -35 *920:A2 *217:23 0.000193189 -36 *921:A1 *217:23 7.6719e-06 -37 *921:B1 *217:23 0.000113968 -38 *926:A *922:A 0 -39 *926:A *217:10 0 -40 *926:A *217:75 0 -41 *926:A *217:86 0 -42 *1068:S *217:10 0 -43 *1137:D *922:A 4.87439e-05 -44 *1138:D *217:23 0.000393722 -45 *1138:D *217:28 0.000142074 -46 *1138:D *217:35 3.44412e-06 -47 *1140:D *217:35 6.96986e-05 -48 *1140:D *217:42 3.44412e-06 -49 *1141:D *217:11 0.000317475 -50 *114:38 *922:A 1.66626e-05 -51 *114:67 *922:A 3.96345e-05 -52 *115:10 *217:7 6.64392e-05 -*RES -1 *1154:X *217:7 16.1364 -2 *217:7 *217:10 17.5438 -3 *217:10 *217:11 12.3701 -4 *217:11 *1141:CLK 9.24915 -5 *217:11 *217:23 20.3515 -6 *217:23 *217:28 11.6288 -7 *217:28 *1138:CLK_N 13.7491 -8 *217:28 *217:35 6.81502 -9 *217:35 *1140:CLK_N 13.7491 -10 *217:35 *217:42 6.81502 -11 *217:42 *1134:CLK_N 13.7491 -12 *217:42 *217:49 6.81502 -13 *217:49 *1136:CLK_N 13.7491 -14 *217:49 *936:A 28.3581 -15 *217:23 *917:A 9.82786 -16 *217:10 *1142:CLK 9.24915 -17 *217:7 *217:75 2.6625 -18 *217:75 *1097:CLK 17.125 -19 *217:75 *217:86 4.32351 -20 *217:86 *1096:CLK 18.3548 -21 *217:86 *922:A 18.9354 -*END - -*D_NET *218 0.00218049 -*CONN -*I *1090:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1155:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1090:A0 0.000639633 -2 *1155:X 0.000639633 -3 *1090:A0 *1090:S 4.93457e-05 -4 *1090:A0 *1172:A 0.00014815 -5 *1152:A *1090:A0 0.000505147 -6 *1155:A *1090:A0 0.000198584 -*RES -1 *1155:X *1090:A0 39.7578 -*END - -*D_NET *219 0.0207962 -*CONN -*I *1089:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1121:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1102:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1093:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1092:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1120:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1008:A I *D sky130_fd_sc_hd__inv_4 -*I *1118:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 -*I *1094:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1104:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1103:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1132:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *980:A I *D sky130_fd_sc_hd__inv_4 -*I *1156:X O *D sky130_fd_sc_hd__clkbuf_2 -*CAP -1 *1089:A1 0 -2 *1121:CLK 0 -3 *1102:CLK 0.000413568 -4 *1093:CLK 0 -5 *1092:CLK 0 -6 *1120:CLK_N 0.000500693 -7 *1008:A 0.000356259 -8 *1118:CLK_N 0 -9 *1094:CLK 0 -10 *1104:CLK 0.000265069 -11 *1103:CLK 2.63284e-05 -12 *1132:CLK 0.000181423 -13 *980:A 0.000206149 -14 *1156:X 0 -15 *219:121 0.00050249 -16 *219:92 0.000484016 -17 *219:87 0.00102166 -18 *219:80 0.000513249 -19 *219:77 0.000963987 -20 *219:71 0.00131741 -21 *219:60 0.00064787 -22 *219:57 0.000603135 -23 *219:34 0.00100727 -24 *219:14 0.0012409 -25 *219:7 0.00174048 -26 *219:4 0.000688921 -27 *980:A *242:30 2.45462e-05 -28 *1008:A *1033:B 7.56859e-06 -29 *1008:A *1118:RESET_B 2.41274e-06 -30 *1008:A *1119:CLK 0.00011191 -31 *1008:A *228:11 0.00035156 -32 *1102:CLK *223:121 0.000202521 -33 *1102:CLK *293:24 3.27425e-05 -34 *1102:CLK *296:544 7.14746e-05 -35 *1102:CLK *296:548 1.37925e-05 -36 *1103:CLK *1104:RESET_B 6.08467e-05 -37 *1103:CLK *267:30 7.28323e-05 -38 *1103:CLK *296:359 4.85806e-05 -39 *1104:CLK *1104:RESET_B 6.61829e-06 -40 *1104:CLK *1145:RESET_B 2.86829e-05 -41 *1104:CLK *296:374 0.000107161 -42 *1120:CLK_N *986:B 0.000521534 -43 *219:7 *257:33 1.4106e-05 -44 *219:14 *949:A 1.91391e-05 -45 *219:14 *1077:S 6.49603e-05 -46 *219:14 *223:41 0 -47 *219:14 *233:8 0 -48 *219:14 *233:10 0 -49 *219:14 *257:33 1.3347e-05 -50 *219:34 *1067:A1 0.000274479 -51 *219:34 *1067:S 3.10396e-05 -52 *219:34 *1095:D 0 -53 *219:34 *1145:RESET_B 0.00059697 -54 *219:34 *247:60 0 -55 *219:34 *257:33 0.000138373 -56 *219:34 *257:36 0 -57 *219:34 *268:8 6.75039e-05 -58 *219:34 *268:19 1.94614e-05 -59 *219:57 *1048:B 2.40615e-05 -60 *219:57 *257:33 1.00766e-05 -61 *219:57 *296:302 0 -62 *219:57 *307:11 1.59362e-06 -63 *219:60 *1094:D 0.000217937 -64 *219:71 *1094:D 0.000134983 -65 *219:71 *239:10 0 -66 *219:71 *296:302 0 -67 *219:77 *222:28 6.68457e-06 -68 *219:77 *223:127 8.23577e-05 -69 *219:80 *222:28 0.000159447 -70 *219:87 *221:14 0 -71 *219:87 *221:40 0.000222407 -72 *219:87 *223:127 0 -73 *219:87 *228:35 2.09495e-05 -74 *219:87 *242:8 7.48918e-05 -75 *219:92 *342:DIODE 2.41274e-06 -76 *219:92 *228:11 9.34188e-05 -77 *219:92 *228:35 0.000163667 -78 *219:121 *223:121 1.03594e-05 -79 *219:121 *223:127 0.000161452 -80 *219:121 *293:24 1.12606e-05 -81 *375:DIODE *219:14 1.03594e-05 -82 *375:DIODE *219:34 2.41266e-05 -83 *898:A *219:34 0.000400955 -84 *950:A *219:14 1.96002e-05 -85 *955:A *980:A 6.79171e-05 -86 *986:A *1120:CLK_N 6.50586e-05 -87 *1002:A1 *219:71 0 -88 *1002:A2 *219:71 0.000205384 -89 *1003:A2 *219:71 1.25284e-05 -90 *1003:B1 *219:71 1.5714e-05 -91 *1004:B *980:A 0.00029931 -92 *1011:A *1008:A 3.31736e-05 -93 *1013:B *219:87 6.39342e-05 -94 *1014:A1 *219:87 0.000143047 -95 *1028:B *219:57 3.31733e-05 -96 *1049:B *219:57 0.000347014 -97 *1063:S *1120:CLK_N 1.65872e-05 -98 *1064:A0 *219:87 0 -99 *1089:S *219:57 1.07248e-05 -100 *1118:D *1008:A 5.56461e-05 -101 *1118:D *219:87 2.65017e-05 -102 *1118:D *219:92 2.86114e-05 -103 *1119:D *1008:A 4.88328e-05 -104 *1121:D *219:77 1.27193e-05 -105 *1132:D *980:A 7.54728e-05 -106 *1132:D *1132:CLK 0.000229893 -107 *1132:D *219:14 0.00027538 -108 *1143:D *219:34 0 -109 *1149:A *1104:CLK 0.000117983 -110 *1149:A *219:34 8.31548e-05 -111 *1150:A *219:34 8.60716e-05 -112 *1152:A *1104:CLK 0.000245244 -113 *1156:A *219:7 0.000210531 -114 *4:11 *219:34 0.000168944 -115 *149:15 *980:A 0.000261442 -116 *154:5 *980:A 0.00011818 -*RES -1 *1156:X *219:4 9.24915 -2 *219:4 *219:7 7.44181 -3 *219:7 *219:14 19.81 -4 *219:14 *980:A 22.0112 -5 *219:14 *1132:CLK 18.9094 -6 *219:7 *219:34 25.9166 -7 *219:34 *1103:CLK 15.0271 -8 *219:34 *1104:CLK 23.5116 -9 *219:4 *219:57 19.0383 -10 *219:57 *219:60 2.96592 -11 *219:60 *1094:CLK 9.24915 -12 *219:60 *219:71 22.2182 -13 *219:71 *219:77 16.9124 -14 *219:77 *219:80 8.55102 -15 *219:80 *219:87 16.3713 -16 *219:87 *219:92 8.85575 -17 *219:92 *1118:CLK_N 9.24915 -18 *219:92 *1008:A 30.7846 -19 *219:87 *1120:CLK_N 26.1433 -20 *219:80 *1092:CLK 9.24915 -21 *219:77 *219:121 3.07775 -22 *219:121 *1093:CLK 13.7491 -23 *219:121 *1102:CLK 23.8862 -24 *219:71 *1121:CLK 9.24915 -25 *219:57 *1089:A1 9.24915 -*END - -*D_NET *220 0.0146647 -*CONN -*I *1144:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1095:CLK I *D sky130_fd_sc_hd__dfxtp_1 -*I *1145:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1091:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1108:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1003:A I *D sky130_fd_sc_hd__inv_4 +*I *1136:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1145:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1137:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1119:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1120:CLK I *D sky130_fd_sc_hd__dfrtp_1 *I *1133:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1146:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1148:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1147:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1143:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1157:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *1172:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *1144:CLK 2.82957e-05 -2 *1095:CLK 0 -3 *1145:CLK 0.000219461 -4 *1091:A1 0.000381917 -5 *1133:CLK 0.000452519 -6 *1146:CLK 0 -7 *1148:CLK 0.000169678 -8 *1147:CLK 0 -9 *1143:CLK 0.000142675 -10 *1157:X 0 -11 *220:84 0.000582952 -12 *220:79 0.000627035 -13 *220:52 0.000689452 -14 *220:40 0.000793715 -15 *220:36 0.00146037 -16 *220:26 0.000939043 -17 *220:16 0.000737149 -18 *220:5 0.000582025 -19 *1091:A1 *882:A1 6.4309e-05 -20 *1091:A1 *1091:A0 6.64392e-05 -21 *1091:A1 *247:24 1.35317e-05 -22 *1091:A1 *247:38 3.34839e-05 -23 *1133:CLK *1114:D 5.13058e-05 -24 *1133:CLK *1146:SET_B 7.24449e-05 -25 *1143:CLK *1048:A 0.000187987 -26 *1144:CLK *248:26 6.3657e-05 -27 *1144:CLK *296:353 4.87439e-05 -28 *1145:CLK *886:A 7.26606e-05 -29 *1145:CLK *1095:D 0 -30 *1145:CLK *1096:D 0.00016123 -31 *1145:CLK *248:46 4.80787e-05 -32 *1145:CLK *264:8 0.000111135 -33 *1148:CLK *243:56 0.000198557 -34 *1148:CLK *243:66 0.00013534 -35 *1148:CLK *296:518 0 -36 *1148:CLK *296:597 5.0389e-05 -37 *1148:CLK *296:599 1.2601e-05 -38 *220:16 *891:A1 6.56672e-05 -39 *220:16 *891:A2 5.36381e-05 -40 *220:16 *898:B 3.00174e-06 -41 *220:16 *249:30 4.70559e-05 -42 *220:16 *257:36 3.48647e-05 -43 *220:26 *1147:RESET_B 0.000112367 -44 *220:36 *882:A1 6.08467e-05 -45 *220:36 *1147:RESET_B 0.000209268 -46 *220:36 *247:24 1.6732e-05 -47 *220:40 *882:A1 2.86829e-05 -48 *220:40 *247:24 2.19092e-05 -49 *220:52 *1146:SET_B 0.000116014 -50 *220:79 *891:A1 0.000248101 -51 *220:79 *248:26 0.00022057 -52 *220:84 *888:C 6.65788e-05 -53 *220:84 *1095:D 0.000123361 -54 *220:84 *263:7 0.000274811 -55 *220:84 *296:353 5.04829e-06 -56 *888:A *220:79 0 -57 *888:B *220:84 0.000342993 -58 *896:A1 *1145:CLK 0.000194491 -59 *896:A1 *220:84 0.000140309 -60 *905:B *220:16 0.000258561 -61 *905:B *220:79 0.000347209 -62 *906:A1 *220:26 2.29454e-05 -63 *906:A2 *220:26 0.00016553 -64 *906:B1_N *220:26 0.000413719 -65 *946:A1 *1091:A1 2.94779e-05 -66 *946:A2 *1091:A1 1.66771e-05 -67 *947:A *1091:A1 8.0543e-05 -68 *947:C *1091:A1 0.000216467 -69 *948:A *1091:A1 0 -70 *948:B *1091:A1 0.000137027 -71 *1055:B *220:40 8.684e-05 -72 *1067:A0 *220:16 6.93171e-05 -73 *1146:D *1133:CLK 0.000130777 -74 *7:18 *220:40 0.000670736 -75 *7:18 *220:52 0.000205985 -76 *47:15 *220:16 0.000107496 -77 *115:61 *220:16 0.000110306 -78 *140:18 *1091:A1 1.05982e-05 +1 *1105:A1 0 +2 *1118:CLK 0.000250661 +3 *1110:CLK 0 +4 *975:A 0.000119827 +5 *1109:CLK 0.000342724 +6 *1134:CLK_N 0 +7 *1108:CLK 0.000290688 +8 *1003:A 2.55538e-05 +9 *1136:CLK_N 1.23628e-05 +10 *1145:CLK 0.000154107 +11 *1137:CLK 0 +12 *1119:CLK 0.000179739 +13 *1120:CLK 6.06247e-05 +14 *1133:CLK 0.000237415 +15 *1172:X 0 +16 *231:113 0.000119827 +17 *231:91 0.000690585 +18 *231:81 0.000522107 +19 *231:64 0.00171419 +20 *231:57 0.00199891 +21 *231:54 0.000744295 +22 *231:48 0.000374983 +23 *231:43 0.000542359 +24 *231:42 0.000796633 +25 *231:37 0.000485866 +26 *231:21 0.00144372 +27 *231:7 0.0021783 +28 *231:4 0.000891848 +29 *975:A *995:B 0.000112361 +30 *975:A *1110:D 4.61271e-05 +31 *975:A *305:70 0.000180418 +32 *1108:CLK *233:24 0.000110779 +33 *1108:CLK *233:26 6.50727e-05 +34 *1108:CLK *309:234 0 +35 *1109:CLK *1137:RESET_B 0.000156748 +36 *1109:CLK *309:221 0.000329879 +37 *1118:CLK *1118:D 7.61353e-05 +38 *1118:CLK *255:16 7.77309e-06 +39 *1118:CLK *255:28 0.000102494 +40 *1118:CLK *309:301 0.000217202 +41 *1119:CLK *1120:D 0.000147067 +42 *1119:CLK *1120:RESET_B 9.5571e-05 +43 *1119:CLK *279:27 0.000412913 +44 *1119:CLK *309:745 2.57847e-05 +45 *1120:CLK *1120:D 2.41274e-06 +46 *1120:CLK *279:27 6.77815e-05 +47 *1133:CLK *358:DIODE 2.20702e-05 +48 *1133:CLK *1013:A 9.80846e-05 +49 *1133:CLK *1133:SET_B 2.41274e-06 +50 *1136:CLK_N *1136:RESET_B 3.75603e-05 +51 *1145:CLK *1039:A 4.16683e-05 +52 *1145:CLK *1136:RESET_B 2.65667e-05 +53 *1145:CLK *309:151 1.61631e-05 +54 *1145:CLK *309:498 0.000218204 +55 *231:7 *1111:CLK 1.88422e-05 +56 *231:21 *1017:A0 8.01808e-05 +57 *231:21 *1058:B 6.11624e-05 +58 *231:21 *260:40 3.57378e-06 +59 *231:21 *260:47 8.48738e-05 +60 *231:21 *280:17 1.75816e-05 +61 *231:37 *1111:CLK 5.37077e-05 +62 *231:42 *1126:CLK 0.000113968 +63 *231:42 *309:171 0.000321905 +64 *231:42 *309:175 0.000138758 +65 *231:42 *309:187 0.000202485 +66 *231:43 *1141:SET_B 8.76073e-05 +67 *231:43 *255:16 0.000105133 +68 *231:43 *309:196 3.25394e-05 +69 *231:43 *309:301 2.04806e-05 +70 *231:48 *366:DIODE 1.28069e-05 +71 *231:48 *1141:SET_B 5.74817e-05 +72 *231:48 *235:28 3.31733e-05 +73 *231:48 *309:212 5.27524e-05 +74 *231:48 *309:221 2.41483e-05 +75 *231:54 *309:221 7.48633e-05 +76 *231:57 *235:28 0 +77 *231:64 *979:A 8.94796e-05 +78 *231:64 *981:B 3.35379e-05 +79 *231:64 *982:B 0 +80 *231:64 *1136:RESET_B 0.000398474 +81 *231:64 *241:13 2.15341e-05 +82 *231:64 *241:25 0.000361075 +83 *231:64 *242:23 0.000112985 +84 *231:81 *254:25 1.65351e-05 +85 *231:91 *1085:A1 2.65667e-05 +86 *231:91 *1134:RESET_B 6.92705e-05 +87 *231:91 *1135:CLK 6.50727e-05 +88 *231:91 *309:263 0.000116014 +89 *892:A *1133:CLK 5.23577e-05 +90 *892:A *231:21 0.000119333 +91 *900:A1 *231:21 0 +92 *909:A *231:21 6.74667e-05 +93 *998:A2 *231:57 0 +94 *1004:B *1003:A 1.02689e-05 +95 *1004:B *231:57 0 +96 *1005:A *231:64 1.45322e-05 +97 *1006:A *231:64 7.50872e-05 +98 *1006:A *231:81 0.000114594 +99 *1006:B *231:64 1.28561e-05 +100 *1012:B *1133:CLK 2.65667e-05 +101 *1076:A0 *231:21 0.000112312 +102 *1083:S *231:91 7.246e-05 +103 *1084:A0 *1108:CLK 0.000118166 +104 *1085:A0 *231:91 6.50727e-05 +105 *1087:A0 *231:57 0 +106 *1105:S *231:37 5.70364e-05 +107 *1133:D *1133:CLK 0.000136459 +108 *1136:D *231:64 1.20637e-06 +109 *1137:D *1109:CLK 1.65872e-05 +110 *1137:D *231:54 5.04829e-06 +111 *1137:D *231:57 0.000101133 +112 *1148:CLK *1133:CLK 0 +113 *1169:A *231:7 6.36477e-05 +114 *1170:A *231:21 0 +115 *1172:A *231:7 0.00106378 +116 *1173:A *231:21 0 +117 *48:10 *231:57 0 +118 *48:43 *231:57 4.69495e-06 +119 *48:43 *231:81 4.17531e-06 +120 *48:43 *231:91 0.000142522 +121 *146:66 *231:21 1.87469e-05 +122 *199:11 *1109:CLK 0.000113953 +123 *199:11 *231:57 0 +124 *203:25 *231:57 5.38612e-06 +125 *203:25 *231:81 0.00016553 +126 *203:45 *231:64 0 +127 *203:45 *231:81 5.09367e-05 +128 *225:10 *1133:CLK 8.72115e-06 +129 *225:10 *231:21 6.65668e-05 *RES -1 *1157:X *220:5 13.7491 -2 *220:5 *220:16 19.5601 -3 *220:16 *1143:CLK 17.2421 -4 *220:16 *220:26 12.9878 -5 *220:26 *1147:CLK 9.24915 -6 *220:26 *220:36 16.1949 -7 *220:36 *220:40 17.0327 -8 *220:40 *1148:CLK 24.2337 -9 *220:40 *220:52 6.84815 -10 *220:52 *1146:CLK 9.24915 -11 *220:52 *1133:CLK 30.2188 -12 *220:36 *1091:A1 24.6124 -13 *220:5 *220:79 13.8065 -14 *220:79 *220:84 13.1176 -15 *220:84 *1145:CLK 25.9269 -16 *220:84 *1095:CLK 9.24915 -17 *220:79 *1144:CLK 10.5271 +1 *1172:X *231:4 9.24915 +2 *231:4 *231:7 17.4247 +3 *231:7 *1133:CLK 20.6381 +4 *231:7 *231:21 33.7386 +5 *231:21 *1120:CLK 11.0817 +6 *231:21 *1119:CLK 19.4008 +7 *231:4 *231:37 13.3235 +8 *231:37 *231:42 16.8942 +9 *231:42 *231:43 6.39977 +10 *231:43 *231:48 12.593 +11 *231:48 *1137:CLK 9.24915 +12 *231:48 *231:54 1.8326 +13 *231:54 *231:57 12.1455 +14 *231:57 *231:64 30.3729 +15 *231:64 *1145:CLK 14.4335 +16 *231:64 *1136:CLK_N 9.82786 +17 *231:57 *231:81 7.99641 +18 *231:81 *1003:A 9.82786 +19 *231:81 *231:91 11.8396 +20 *231:91 *1108:CLK 26.3478 +21 *231:91 *1134:CLK_N 9.24915 +22 *231:54 *1109:CLK 21.0646 +23 *231:43 *231:113 4.5 +24 *231:113 *975:A 14.4335 +25 *231:113 *1110:CLK 9.24915 +26 *231:42 *1118:CLK 22.1478 +27 *231:37 *1105:A1 9.24915 *END -*D_NET *221 0.0288822 +*D_NET *232 0.0136672 *CONN -*I *322:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *319:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1064:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *309:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *952:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *317:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1028:A_N I *D sky130_fd_sc_hd__and2b_2 -*I *320:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *310:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *311:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1083:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1016:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *995:S I *D sky130_fd_sc_hd__mux2_1 -*I *314:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *991:A I *D sky130_fd_sc_hd__nor3_1 -*I *993:S I *D sky130_fd_sc_hd__mux2_1 -*I *315:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *313:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *312:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *956:B1 I *D sky130_fd_sc_hd__o21ai_2 -*I *988:B1 I *D sky130_fd_sc_hd__o211ai_2 -*I *1082:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *321:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1092:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1063:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *318:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1108:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *1113:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1161:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1157:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1160:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1107:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1149:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1164:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1162:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1163:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1111:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1173:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *322:DIODE 0 -2 *319:DIODE 1.75467e-05 -3 *1064:A1 0 -4 *309:DIODE 0 -5 *952:B1 0 -6 *317:DIODE 0 -7 *1028:A_N 0 -8 *320:DIODE 0 -9 *310:DIODE 0 -10 *311:DIODE 0.000572014 -11 *1083:A1 0 -12 *1016:A_N 7.93962e-05 -13 *995:S 0 -14 *314:DIODE 7.40256e-05 -15 *991:A 1.22561e-05 -16 *993:S 0 -17 *315:DIODE 4.49766e-05 -18 *313:DIODE 0 -19 *312:DIODE 8.84813e-05 -20 *956:B1 6.52262e-05 -21 *988:B1 8.07343e-05 -22 *1082:A1 0 -23 *321:DIODE 0.000239306 -24 *1092:D 3.12923e-05 -25 *1063:A1 0 -26 *318:DIODE 0.000464542 -27 *1108:Q 0.00042777 -28 *221:185 0.000224748 -29 *221:181 0.000349426 -30 *221:178 0.000193216 -31 *221:174 0.000416732 -32 *221:171 0.000284365 -33 *221:170 7.73375e-05 -34 *221:163 0.000185281 -35 *221:149 0.000260118 -36 *221:145 0.00115523 -37 *221:131 0.00125138 -38 *221:117 0.000966237 -39 *221:116 0.00106493 -40 *221:107 0.000523002 -41 *221:88 0.000405078 -42 *221:86 0.000746786 -43 *221:85 0.00110879 -44 *221:77 0.00104879 -45 *221:76 0.000120895 -46 *221:72 0.000202371 -47 *221:71 0.000920947 -48 *221:61 0.00106831 -49 *221:52 0.000559182 -50 *221:40 0.000412154 -51 *221:35 0.000145261 -52 *221:33 5.0174e-05 -53 *221:16 0.000672114 -54 *221:14 0.000547155 -55 *221:10 0.000750735 -56 *311:DIODE *984:A 8.65358e-05 -57 *311:DIODE *1125:CLK 1.19856e-05 -58 *314:DIODE *995:A1 0.000112367 -59 *318:DIODE *1119:CLK 0 -60 *318:DIODE *228:15 0 -61 *319:DIODE *1060:S 0.000103983 -62 *319:DIODE *242:90 1.41976e-05 -63 *319:DIODE *243:15 1.15389e-05 -64 *319:DIODE *243:29 6.50727e-05 -65 *321:DIODE *231:24 6.27718e-05 -66 *956:B1 *956:A2 0 -67 *956:B1 *223:65 2.65667e-05 -68 *988:B1 *296:235 0.000122068 -69 *991:A *225:15 0.00011818 -70 *1016:A_N *224:24 0.000114659 -71 *1016:A_N *296:43 0.000164121 -72 *1092:D *222:28 9.56579e-05 -73 *221:10 *296:644 9.50301e-05 -74 *221:10 *300:19 0.000203544 -75 *221:14 *332:DIODE 0.000190872 -76 *221:14 *998:A 0.000102541 -77 *221:14 *999:C 0.000266523 -78 *221:14 *1060:S 0 -79 *221:14 *1105:CLK 1.07248e-05 -80 *221:14 *222:17 6.27718e-05 -81 *221:14 *222:28 0.000658737 -82 *221:14 *243:32 0 -83 *221:16 *1105:CLK 0.000169093 -84 *221:33 *243:15 1.57614e-05 -85 *221:33 *243:29 0 -86 *221:35 *242:90 0.000118166 -87 *221:35 *243:15 4.33655e-05 -88 *221:40 *242:90 0.000277502 -89 *221:40 *243:15 0.0002817 -90 *221:52 *330:DIODE 6.08467e-05 -91 *221:61 *330:DIODE 6.27718e-05 -92 *221:61 *997:B_N 0.000255418 -93 *221:61 *222:28 7.53362e-05 -94 *221:61 *223:13 1.15389e-05 -95 *221:61 *223:15 6.13051e-05 -96 *221:61 *293:37 0.000365599 -97 *221:61 *296:548 4.13313e-05 -98 *221:71 *222:28 2.02035e-05 -99 *221:85 *949:B 0.000548913 -100 *221:85 *231:27 1.70745e-05 -101 *221:85 *231:38 2.57434e-05 -102 *221:107 *356:DIODE 0.000167047 -103 *221:107 *956:A2 0 -104 *221:107 *231:27 5.23435e-05 -105 *221:107 *296:235 0.00021864 -106 *221:117 *956:A2 0.000211464 -107 *221:117 *984:A 7.28784e-05 -108 *221:117 *223:65 2.65831e-05 -109 *221:131 *970:A1 8.33549e-05 -110 *221:131 *1080:S 4.9e-05 -111 *221:131 *223:48 2.01503e-05 -112 *221:131 *242:44 1.29948e-05 -113 *221:145 *223:65 0.000552364 -114 *221:149 *296:120 0 -115 *221:174 *1042:B 0.000346195 -116 *221:178 *995:A1 2.96975e-05 -117 *221:178 *1042:B 1.50924e-05 -118 *221:181 *296:43 7.74044e-06 -119 *221:185 *991:C 0 -120 *221:185 *1041:A 3.82e-05 -121 *221:185 *225:8 5.31286e-05 -122 *221:185 *296:43 1.32043e-05 -123 *221:185 *296:56 1.35317e-05 -124 *953:C *221:71 1.05272e-06 -125 *955:A *221:107 0 -126 *957:A *221:107 0 -127 *957:A *221:131 0 -128 *959:A *221:85 2.44599e-05 -129 *959:B *221:85 2.49496e-05 -130 *959:C *221:71 3.52873e-06 -131 *959:C *221:72 0.000216458 -132 *959:C *221:76 0.000114916 -133 *959:C *221:85 2.9723e-05 -134 *962:C *221:85 2.44626e-05 -135 *963:A1 *221:85 1.09349e-05 -136 *964:A *221:145 2.24632e-05 -137 *966:A *221:145 4.47179e-05 -138 *981:A *988:B1 0 -139 *981:A *221:107 0 -140 *993:A0 *991:A 5.56461e-05 -141 *993:A0 *221:149 0 -142 *995:A0 *221:178 2.16355e-05 -143 *995:A0 *221:181 3.20407e-05 -144 *1002:A1 *221:61 0 -145 *1002:B1 *221:71 1.81863e-06 -146 *1003:A1 *221:52 9.43419e-05 -147 *1003:B1 *221:71 0.000528665 -148 *1003:B1 *221:85 4.70264e-05 -149 *1009:A *318:DIODE 5.22654e-06 -150 *1009:A *221:16 6.31665e-05 -151 *1011:A *318:DIODE 0 -152 *1016:B *1016:A_N 1.84097e-05 -153 *1063:A0 *318:DIODE 1.07248e-05 -154 *1063:A0 *221:16 4.33979e-05 -155 *1064:A0 *221:16 7.0957e-05 -156 *1075:S *221:131 0 -157 *1078:S *315:DIODE 6.17618e-05 -158 *1078:S *221:174 3.87612e-05 -159 *1081:S *221:145 0.000161956 -160 *1083:A0 *221:131 6.08467e-05 -161 *1083:A0 *221:145 6.27718e-05 -162 *1118:D *318:DIODE 0 -163 *1129:D *221:145 6.27782e-05 -164 *1131:D *221:85 1.03594e-05 -165 *19:10 *221:131 0.000315611 -166 *19:45 *321:DIODE 6.93171e-05 -167 *41:6 *221:131 0 -168 *150:12 *311:DIODE 2.61955e-05 -169 *150:12 *221:107 0 -170 *150:51 *221:85 3.00174e-06 -171 *150:51 *221:107 0 -172 *151:15 *221:107 0 -173 *152:10 *221:131 3.71668e-05 -174 *152:13 *221:131 4.66492e-05 -175 *152:13 *221:145 0.000454405 -176 *152:31 *321:DIODE 0.000211417 -177 *152:31 *221:86 0.000194408 -178 *152:31 *221:88 0.000250552 -179 *216:24 *221:145 3.70431e-05 -180 *219:87 *221:14 0 -181 *219:87 *221:40 0.000222407 +1 *1113:CLK 0 +2 *1161:CLK 0 +3 *1157:CLK 2.69584e-05 +4 *1160:CLK 0.00024528 +5 *1107:A1 0.0010642 +6 *1149:CLK 0 +7 *1164:CLK 8.99206e-05 +8 *1162:CLK 0.000151334 +9 *1163:CLK 3.45381e-05 +10 *1111:CLK 0.000196861 +11 *1173:X 7.69022e-05 +12 *232:81 0.000334173 +13 *232:77 0.00044015 +14 *232:56 0.00159725 +15 *232:49 0.000464373 +16 *232:40 0.000356034 +17 *232:32 0.000592755 +18 *232:19 0.000386456 +19 *232:8 0.000402709 +20 *232:6 0.000415687 +21 *1107:A1 *1026:A 2.30636e-05 +22 *1107:A1 *1107:A0 0.00016553 +23 *1107:A1 *1128:D 0.000299874 +24 *1107:A1 *278:11 7.19887e-05 +25 *1111:CLK *1019:A 1.59362e-06 +26 *1111:CLK *329:8 0.000141716 +27 *1157:CLK *259:83 4.23181e-05 +28 *1157:CLK *269:13 7.621e-05 +29 *1160:CLK *1160:SET_B 0 +30 *1160:CLK *261:20 0.00030279 +31 *1160:CLK *284:11 1.77537e-06 +32 *1160:CLK *309:928 0.00011269 +33 *1162:CLK *389:DIODE 0.000124073 +34 *1162:CLK *888:S 1.3262e-05 +35 *1162:CLK *262:45 9.2346e-06 +36 *1163:CLK *309:313 3.99637e-05 +37 *1164:CLK *259:18 5.07314e-05 +38 *1164:CLK *259:20 5.481e-05 +39 *1164:CLK *262:37 4.38909e-05 +40 *1164:CLK *262:45 6.08467e-05 +41 *232:6 *329:8 0.000148017 +42 *232:8 *329:8 0.000350819 +43 *232:32 *886:A1 1.43983e-05 +44 *232:32 *1061:B 0.000218376 +45 *232:32 *263:17 7.17441e-05 +46 *232:32 *263:27 0.00019597 +47 *232:32 *309:313 9.48595e-05 +48 *232:40 *888:S 2.7363e-05 +49 *232:49 *259:44 6.48988e-05 +50 *232:56 *259:44 3.91877e-05 +51 *232:77 *1113:D 0.000167817 +52 *232:77 *269:56 6.36477e-05 +53 *232:81 *1161:RESET_B 8.45233e-05 +54 *232:81 *259:83 0.000529789 +55 *232:81 *269:13 2.41827e-05 +56 *232:81 *269:56 0.000199488 +57 *232:81 *280:8 0.000161956 +58 *888:A0 *1162:CLK 5.41227e-05 +59 *888:A0 *232:40 0.000138027 +60 *888:A0 *232:49 0.000148017 +61 *948:B *232:49 0.000191861 +62 *948:B *232:56 2.1667e-05 +63 *1027:B2 *232:56 5.63195e-05 +64 *1104:S *232:40 8.36586e-06 +65 *1104:S *232:49 0.000180417 +66 *1160:D *1160:CLK 0.000228593 +67 *1162:D *1162:CLK 0 +68 *1163:D *1163:CLK 5.04829e-06 +69 *1163:D *232:32 5.73392e-05 +70 *1172:A *1111:CLK 0.000116502 +71 *7:15 *1160:CLK 0 +72 *7:16 *1163:CLK 7.40813e-05 +73 *7:16 *232:32 0.00112263 +74 *143:5 *1107:A1 6.27782e-05 +75 *167:6 *232:56 0.000159954 +76 *231:7 *1111:CLK 1.88422e-05 +77 *231:37 *1111:CLK 5.37077e-05 *RES -1 *1108:Q *221:10 30.6452 -2 *221:10 *221:14 17.172 -3 *221:14 *221:16 6.39977 -4 *221:16 *318:DIODE 22.2602 -5 *221:16 *1063:A1 13.7491 -6 *221:14 *221:33 5.07872 -7 *221:33 *221:35 1.278 -8 *221:35 *221:40 11.7653 -9 *221:40 *1092:D 14.8403 -10 *221:40 *221:52 10.7927 -11 *221:52 *221:61 15.0099 -12 *221:61 *221:71 13.651 -13 *221:71 *221:72 4.05102 -14 *221:72 *221:76 10.5271 -15 *221:76 *221:77 104.301 -16 *221:77 *221:85 34.6668 -17 *221:85 *221:86 2.38721 -18 *221:86 *221:88 2.94181 -19 *221:88 *321:DIODE 22.7765 -20 *221:88 *1082:A1 9.24915 -21 *221:86 *221:107 16.7133 -22 *221:107 *988:B1 15.9964 -23 *221:107 *221:116 4.5 -24 *221:116 *221:117 7.93324 -25 *221:117 *956:B1 10.5513 -26 *221:117 *221:131 21.5214 -27 *221:131 *221:145 36.3828 -28 *221:145 *221:149 7.60999 -29 *221:149 *312:DIODE 10.5271 -30 *221:149 *313:DIODE 9.24915 -31 *221:145 *221:163 7.57775 -32 *221:163 *315:DIODE 9.97254 -33 *221:163 *221:170 9.24915 -34 *221:170 *221:171 104.301 -35 *221:171 *221:174 14.964 -36 *221:174 *221:178 2.96592 -37 *221:178 *221:181 5.91674 -38 *221:181 *221:185 10.0693 -39 *221:185 *993:S 9.24915 -40 *221:185 *991:A 10.5271 -41 *221:181 *314:DIODE 15.0271 -42 *221:178 *995:S 9.24915 -43 *221:174 *1016:A_N 21.3269 -44 *221:131 *1083:A1 9.24915 -45 *221:116 *311:DIODE 27.0445 -46 *221:116 *310:DIODE 9.24915 -47 *221:85 *320:DIODE 9.24915 -48 *221:72 *1028:A_N 9.24915 -49 *221:71 *317:DIODE 9.24915 -50 *221:61 *952:B1 13.7491 -51 *221:52 *309:DIODE 9.24915 -52 *221:35 *1064:A1 9.24915 -53 *221:33 *319:DIODE 10.5271 -54 *221:10 *322:DIODE 9.24915 +1 *1173:X *232:6 16.4116 +2 *232:6 *232:8 6.39977 +3 *232:8 *1111:CLK 19.6294 +4 *232:8 *232:19 4.5 +5 *232:19 *1163:CLK 11.0817 +6 *232:19 *232:32 23.7182 +7 *232:32 *1162:CLK 17.6574 +8 *232:32 *232:40 2.6625 +9 *232:40 *1164:CLK 16.691 +10 *232:40 *232:49 7.23027 +11 *232:49 *1149:CLK 13.7491 +12 *232:49 *232:56 7.64553 +13 *232:56 *1107:A1 31.1106 +14 *232:56 *1160:CLK 23.7903 +15 *232:6 *232:77 8.57513 +16 *232:77 *232:81 14.6126 +17 *232:81 *1157:CLK 11.0817 +18 *232:81 *1161:CLK 9.24915 +19 *232:77 *1113:CLK 9.24915 *END -*D_NET *222 0.0167697 +*D_NET *233 0.0213073 *CONN -*I *1059:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1060:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *998:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *952:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *988:A2 I *D sky130_fd_sc_hd__o211ai_2 -*I *956:A2 I *D sky130_fd_sc_hd__o21ai_2 -*I *1175:A I *D sky130_fd_sc_hd__clkbuf_2 -*I *1109:Q O *D sky130_fd_sc_hd__dfstp_4 -*CAP -1 *1059:A1 0.000284932 -2 *1060:A1 8.63132e-05 -3 *998:B_N 0 -4 *952:A2 4.7398e-05 -5 *988:A2 3.5247e-05 -6 *956:A2 0.000507032 -7 *1175:A 0.000170404 -8 *1109:Q 0 -9 *222:93 0.000868774 -10 *222:55 0.00107995 -11 *222:50 0.00211603 -12 *222:42 0.00162908 -13 *222:41 0.000400904 -14 *222:28 0.00133189 -15 *222:17 0.00122444 -16 *222:16 0.000962173 -17 *222:4 0.00034492 -18 *956:A2 *987:A 1.61631e-05 -19 *956:A2 *296:235 0.000107348 -20 *988:A2 *984:A 0 -21 *1059:A1 *1052:A 0 -22 *1059:A1 *229:6 0 -23 *1059:A1 *296:646 0 -24 *1060:A1 *1060:S 1.78942e-05 -25 *1175:A *293:13 0.000310724 -26 *222:28 *998:A 0.000134988 -27 *222:28 *296:548 0.000184089 -28 *222:41 *1001:B 6.27718e-05 -29 *222:41 *223:21 6.62886e-05 -30 *222:41 *293:37 0.000212658 -31 *222:50 *223:31 0.000537939 -32 *222:50 *223:41 0.000343222 -33 *222:50 *233:10 0.000147354 -34 *222:50 *293:37 0.000379097 -35 *222:55 *984:A 0.000211492 -36 *222:55 *223:41 0.000117048 -37 *222:55 *296:235 0 -38 *222:93 *303:8 9.20039e-05 -39 *956:B1 *956:A2 0 -40 *962:A *222:50 4.13311e-05 -41 *981:A *956:A2 9.34145e-05 -42 *1002:A1 *222:41 2.49331e-05 -43 *1003:A1 *952:A2 1.65872e-05 -44 *1003:A1 *222:41 0.00011164 -45 *1079:S *222:50 0.00060296 -46 *1092:D *222:28 9.56579e-05 -47 *1125:D *222:55 0.000115451 -48 *1173:A *956:A2 6.08467e-05 -49 *150:12 *222:55 0.000314987 -50 *150:51 *222:55 3.52294e-05 -51 *151:7 *956:A2 2.61012e-05 -52 *152:35 *222:50 5.38612e-06 -53 *219:77 *222:28 6.68457e-06 -54 *219:80 *222:28 0.000159447 -55 *221:14 *222:17 6.27718e-05 -56 *221:14 *222:28 0.000658737 -57 *221:61 *222:28 7.53362e-05 -58 *221:71 *222:28 2.02035e-05 -59 *221:107 *956:A2 0 -60 *221:117 *956:A2 0.000211464 -*RES -1 *1109:Q *222:4 9.24915 -2 *222:4 *1175:A 14.4335 -3 *222:4 *222:16 12.493 -4 *222:16 *222:17 6.82404 -5 *222:17 *222:28 31.0119 -6 *222:28 *222:41 26.7292 -7 *222:41 *222:42 57.9449 -8 *222:42 *222:50 43.4811 -9 *222:50 *222:55 18.446 -10 *222:55 *956:A2 28.7619 -11 *222:55 *988:A2 10.2378 -12 *222:28 *952:A2 9.97254 -13 *222:17 *998:B_N 9.24915 -14 *222:16 *222:93 19.7589 -15 *222:93 *1060:A1 10.5271 -16 *222:93 *1059:A1 25.0642 -*END - -*D_NET *223 0.0218717 -*CONN -*I *952:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1085:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1108:D I *D sky130_fd_sc_hd__dfxtp_1 *I *1084:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1000:A I *D sky130_fd_sc_hd__nor2_1 -*I *1001:A I *D sky130_fd_sc_hd__and2_1 -*I *1026:A I *D sky130_fd_sc_hd__nor2_1 -*I *1094:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1076:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1077:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *988:A1 I *D sky130_fd_sc_hd__o211ai_2 -*I *956:A1 I *D sky130_fd_sc_hd__o21ai_2 -*I *1081:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1110:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *953:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1192:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *957:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1198:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *986:A I *D sky130_fd_sc_hd__nor3_1 +*I *1190:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *977:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *1189:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1083:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1124:Q O *D sky130_fd_sc_hd__dfrtp_4 *CAP -1 *952:A1 0 -2 *1085:A1 0.000411492 -3 *1084:A1 9.72252e-05 -4 *1000:A 0 -5 *1001:A 3.8921e-05 -6 *1026:A 0 -7 *1094:D 0.000193663 -8 *1076:A1 0 -9 *1077:A1 0 -10 *988:A1 8.58871e-05 -11 *956:A1 0 -12 *1081:A0 0 -13 *1110:Q 0.00158823 -14 *223:127 0.000740369 -15 *223:121 0.000320906 -16 *223:104 5.95534e-05 -17 *223:65 0.00108285 -18 *223:48 0.00174204 -19 *223:41 0.00166901 -20 *223:35 0.00101156 -21 *223:31 0.000250866 -22 *223:23 0.000356907 -23 *223:21 0.000347265 -24 *223:15 0.000436453 -25 *223:13 0.00174605 -26 *988:A1 *984:A 4.62582e-05 -27 *988:A1 *296:235 0 -28 *1001:A *1001:B 6.46815e-05 -29 *1001:A *293:37 0.00016386 -30 *1084:A1 *296:552 7.14746e-05 -31 *1085:A1 *242:14 0.000108707 -32 *1085:A1 *243:15 0.000525911 -33 *1094:D *296:302 0 -34 *223:13 *330:DIODE 1.2693e-05 -35 *223:13 *372:DIODE 1.61631e-05 -36 *223:13 *997:B_N 0.000413073 -37 *223:13 *1110:D 0.000147308 -38 *223:13 *1110:RESET_B 2.99637e-05 -39 *223:13 *243:56 0 -40 *223:13 *252:8 3.00174e-06 -41 *223:13 *296:533 2.72492e-05 -42 *223:13 *296:618 0.000218638 -43 *223:13 *296:622 5.99529e-06 -44 *223:15 *372:DIODE 0.000115934 -45 *223:15 *293:37 7.66391e-06 -46 *223:21 *1001:B 0.000171273 -47 *223:21 *293:37 0.000604983 -48 *223:31 *293:37 0.000110297 -49 *223:31 *293:57 0.000341962 -50 *223:31 *296:302 0 -51 *223:35 *1038:B 0 -52 *223:35 *1077:S 0.000261781 -53 *223:35 *293:57 0.000541654 -54 *223:41 *1125:SET_B 0 -55 *223:41 *233:10 1.94615e-05 -56 *223:48 *987:B 0.000111477 -57 *223:65 *970:A1 0.000423805 -58 *223:65 *972:A 1.07248e-05 -59 *223:65 *242:44 0 -60 *223:121 *296:548 0.00019768 -61 *223:127 *242:8 0 -62 *223:127 *296:548 0.000171811 -63 *223:127 *296:552 0.000201823 -64 *950:A *223:41 3.76123e-05 -65 *956:B1 *223:65 2.65667e-05 -66 *966:A *223:65 0.000208627 -67 *967:A1 *223:65 3.5063e-05 -68 *999:A *223:13 0.000296467 -69 *999:B *223:13 6.15915e-06 -70 *1002:A2 *223:21 0.000240656 -71 *1003:A1 *223:13 9.38332e-05 -72 *1028:B *1094:D 0.000137404 -73 *1028:B *223:31 5.30608e-05 -74 *1079:S *223:31 3.51678e-05 -75 *1079:S *223:35 0.000108715 -76 *1081:A1 *223:65 3.00829e-05 -77 *1081:S *223:65 0.000114271 -78 *1089:S *1094:D 9.75356e-05 -79 *1089:S *223:21 2.65831e-05 -80 *1102:CLK *223:121 0.000202521 -81 *1125:D *988:A1 0.000149868 -82 *1128:CLK_N *223:65 0 -83 *1129:D *223:65 4.70281e-05 -84 *1148:D *223:13 2.67986e-05 -85 *1174:A *223:65 0.00014663 -86 *150:12 *223:41 1.59052e-05 -87 *150:26 *223:41 0 -88 *182:15 *1084:A1 6.50727e-05 -89 *216:26 *223:65 0 -90 *219:14 *223:41 0 -91 *219:60 *1094:D 0.000217937 -92 *219:71 *1094:D 0.000134983 -93 *219:77 *223:127 8.23577e-05 -94 *219:87 *223:127 0 -95 *219:121 *223:121 1.03594e-05 -96 *219:121 *223:127 0.000161452 -97 *221:61 *223:13 1.15389e-05 -98 *221:61 *223:15 6.13051e-05 -99 *221:117 *223:65 2.65831e-05 -100 *221:131 *223:48 2.01503e-05 -101 *221:145 *223:65 0.000552364 -102 *222:41 *223:21 6.62886e-05 -103 *222:50 *223:31 0.000537939 -104 *222:50 *223:41 0.000343222 -105 *222:55 *223:41 0.000117048 +1 *1108:D 0 +2 *1084:A1 0 +3 *953:B1 0.000376491 +4 *1192:A 2.86362e-05 +5 *957:B1 0 +6 *1198:A 0.000191915 +7 *986:A 0.000102837 +8 *1190:A 0.000213071 +9 *977:B1 0.000291774 +10 *1189:A 4.60755e-05 +11 *1083:A1 0.00032334 +12 *1124:Q 0.000448615 +13 *233:97 0.000607104 +14 *233:82 0.00145834 +15 *233:68 0.00197569 +16 *233:48 0.00124616 +17 *233:46 0.000144243 +18 *233:44 0.00120746 +19 *233:26 0.00151911 +20 *233:24 0.000195191 +21 *233:20 0.000137091 +22 *233:8 0.000821896 +23 *953:B1 *996:A 0.000171811 +24 *953:B1 *1085:A1 1.87469e-05 +25 *953:B1 *1121:CLK 0.000114659 +26 *953:B1 *234:33 1.69932e-05 +27 *953:B1 *235:15 0 +28 *953:B1 *305:62 2.16355e-05 +29 *953:B1 *309:221 3.14544e-05 +30 *953:B1 *309:234 2.44103e-05 +31 *953:B1 *317:27 0 +32 *977:B1 *235:50 0.000254532 +33 *977:B1 *235:52 4.17605e-05 +34 *977:B1 *313:22 7.73328e-05 +35 *986:A *986:C 4.95892e-05 +36 *986:A *1010:B 0.000111352 +37 *986:A *236:33 0.000112367 +38 *986:A *237:41 1.60442e-05 +39 *1189:A *305:93 0.000122068 +40 *1190:A *236:33 0.000261925 +41 *1198:A *957:A1 6.50023e-06 +42 *1198:A *234:45 3.52807e-05 +43 *1198:A *235:28 0.000173569 +44 *1198:A *254:49 8.01546e-06 +45 *1198:A *309:154 0 +46 *233:8 *992:B_N 1.93714e-05 +47 *233:8 *993:A 1.48017e-05 +48 *233:8 *1122:D 3.83868e-05 +49 *233:8 *234:17 0.000438266 +50 *233:20 *234:17 0.000182685 +51 *233:24 *234:17 0.000524317 +52 *233:26 *1084:S 0.000319761 +53 *233:26 *234:17 0.000196428 +54 *233:26 *235:15 0.0002646 +55 *233:26 *254:9 0.000264586 +56 *233:26 *254:25 9.82896e-06 +57 *233:44 *234:33 1.39795e-05 +58 *233:44 *235:15 8.36074e-05 +59 *233:44 *254:25 0.000105009 +60 *233:46 *254:41 0.000305756 +61 *233:46 *254:49 0.000213725 +62 *233:46 *315:8 6.22868e-05 +63 *233:68 *951:B 3.14613e-05 +64 *233:68 *966:B 0.000171273 +65 *233:68 *1040:A 1.65872e-05 +66 *233:68 *1041:B 0.000111708 +67 *233:68 *1092:A1 2.16355e-05 +68 *233:68 *234:33 1.21461e-06 +69 *233:68 *243:39 0 +70 *233:68 *244:22 0 +71 *233:68 *254:49 3.09374e-06 +72 *233:68 *254:59 4.31939e-05 +73 *233:68 *309:154 8.11463e-06 +74 *233:82 *950:A 3.99086e-06 +75 *233:82 *983:A2 0.000125695 +76 *233:82 *983:B1 0 +77 *233:82 *305:89 0.000125697 +78 *233:82 *305:93 5.56367e-05 +79 *951:A *233:68 2.41274e-06 +80 *961:B *1192:A 6.41177e-05 +81 *961:B *233:44 2.74378e-05 +82 *961:B *233:46 1.60116e-05 +83 *967:A1 *233:68 0.000116755 +84 *967:A2 *233:68 4.77858e-05 +85 *967:B1_N *233:68 3.18806e-05 +86 *983:C1 *233:82 4.83699e-05 +87 *998:A2 *233:44 2.07365e-05 +88 *998:B1 *233:44 0.0014936 +89 *1004:B *233:44 0.000164829 +90 *1008:B *1083:A1 0 +91 *1042:B *233:82 0.000104238 +92 *1068:S *233:82 0.000200221 +93 *1083:S *1083:A1 1.27193e-05 +94 *1084:A0 *1083:A1 0 +95 *1084:A0 *233:24 0.000118166 +96 *1086:A0 *953:B1 1.07248e-05 +97 *1088:A0 *233:44 6.36477e-05 +98 *1091:A0 *1189:A 0.000120584 +99 *1108:CLK *233:24 0.000110779 +100 *1108:CLK *233:26 6.50727e-05 +101 *1147:D *233:97 0 +102 *1194:A *233:82 0.000123582 +103 *1194:A *233:97 0.000287278 +104 *19:21 *233:44 0.000160617 +105 *19:40 *233:68 0.000121252 +106 *19:52 *233:82 0 +107 *172:8 *233:68 1.00937e-05 +108 *174:11 *953:B1 3.03567e-05 +109 *176:16 *1198:A 1.66626e-05 +110 *176:16 *233:46 4.87439e-05 +111 *176:33 *233:46 1.37531e-05 +112 *178:7 *233:46 0.000365048 +113 *192:14 *233:82 0.000389874 +114 *199:11 *233:44 0.00011818 *RES -1 *1110:Q *223:13 48.4408 -2 *223:13 *223:15 2.38721 -3 *223:15 *223:21 13.1176 -4 *223:21 *223:23 4.5 -5 *223:23 *223:31 14.0376 -6 *223:31 *223:35 6.29355 -7 *223:35 *223:41 25.2898 -8 *223:41 *223:48 9.7506 -9 *223:48 *223:65 41.2551 -10 *223:65 *1081:A0 9.24915 -11 *223:48 *956:A1 9.24915 -12 *223:41 *988:A1 19.9881 -13 *223:35 *1077:A1 9.24915 -14 *223:31 *1076:A1 9.24915 -15 *223:23 *1094:D 20.2758 -16 *223:21 *1026:A 9.24915 -17 *223:15 *223:104 0.578717 -18 *223:104 *1001:A 11.6605 -19 *223:104 *1000:A 9.24915 -20 *223:13 *223:121 8.98697 -21 *223:121 *223:127 8.54931 -22 *223:127 *1084:A1 15.8893 -23 *223:127 *1085:A1 30.7112 -24 *223:121 *952:A1 13.7491 +1 *1124:Q *233:8 25.5822 +2 *233:8 *1083:A1 20.8723 +3 *233:8 *233:20 6.51948 +4 *233:20 *233:24 6.10667 +5 *233:24 *233:26 7.93324 +6 *233:26 *233:44 28.4717 +7 *233:44 *233:46 7.9875 +8 *233:46 *233:48 4.5 +9 *233:48 *233:68 38.9416 +10 *233:68 *1189:A 15.9964 +11 *233:68 *233:82 28.2139 +12 *233:82 *977:B1 28.2169 +13 *233:82 *233:97 13.3913 +14 *233:97 *1190:A 24.684 +15 *233:97 *986:A 12.7456 +16 *233:48 *1198:A 19.0748 +17 *233:46 *957:B1 9.24915 +18 *233:44 *1192:A 10.497 +19 *233:26 *953:B1 28.6943 +20 *233:24 *1084:A1 9.24915 +21 *233:20 *1108:D 9.24915 *END -*D_NET *224 0.00477991 +*D_NET *234 0.0173207 *CONN -*I *1040:A I *D sky130_fd_sc_hd__clkinv_2 -*I *1042:B I *D sky130_fd_sc_hd__nand2_1 -*I *995:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1041:B I *D sky130_fd_sc_hd__xnor2_1 -*I *991:C I *D sky130_fd_sc_hd__nor3_1 -*I *1016:C I *D sky130_fd_sc_hd__nand3b_1 -*I *1122:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *1040:A 0.000441026 -2 *1042:B 0.000114 -3 *995:A1 0.000136497 -4 *1041:B 2.42386e-05 -5 *991:C 0.000147474 -6 *1016:C 5.44099e-05 -7 *1122:Q 0.000104655 -8 *224:44 0.000250497 -9 *224:26 0.000278158 -10 *224:24 0.000216289 -11 *224:19 0.000560218 -12 *224:7 0.000168413 -13 *991:C *1015:B 6.50727e-05 -14 *991:C *296:56 0 -15 *1040:A *1078:A0 2.04764e-05 -16 *1041:B *1041:A 6.27782e-05 -17 *224:7 *1122:SET_B 2.41338e-05 -18 *224:7 *296:845 1.67179e-05 -19 *224:24 *296:43 1.79338e-05 -20 *224:26 *296:43 0.000118017 -21 *314:DIODE *995:A1 0.000112367 -22 *993:A0 *991:C 8.3576e-05 -23 *995:A0 *995:A1 0.000160942 -24 *995:A0 *1040:A 0.000196642 -25 *995:A0 *224:7 0.000157107 -26 *995:A0 *224:19 4.66492e-05 -27 *1016:A_N *224:24 0.000114659 -28 *1016:B *224:24 0.000148277 -29 *1042:A *1042:B 0.00016419 -30 *1078:A1 *1040:A 0.00021519 -31 *1078:S *1042:B 2.99287e-05 -32 *188:6 *991:C 5.35836e-05 -33 *188:6 *224:24 1.03594e-05 -34 *188:6 *224:26 7.4453e-05 -35 *221:174 *1042:B 0.000346195 -36 *221:178 *995:A1 2.96975e-05 -37 *221:178 *1042:B 1.50924e-05 -38 *221:185 *991:C 0 -*RES -1 *1122:Q *224:7 12.7697 -2 *224:7 *1016:C 11.13 -3 *224:7 *224:19 0.723396 -4 *224:19 *224:24 8.31055 -5 *224:24 *224:26 3.493 -6 *224:26 *991:C 17.9655 -7 *224:26 *1041:B 14.4725 -8 *224:24 *224:44 4.5 -9 *224:44 *995:A1 13.3243 -10 *224:44 *1042:B 14.4576 -11 *224:19 *1040:A 19.3285 -*END - -*D_NET *225 0.0016291 -*CONN -*I *1041:A I *D sky130_fd_sc_hd__xnor2_1 -*I *991:B I *D sky130_fd_sc_hd__nor3_1 -*I *1015:B I *D sky130_fd_sc_hd__nor2_1 -*I *993:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1123:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1041:A 0.000186908 -2 *991:B 0 -3 *1015:B 6.01447e-05 -4 *993:A1 0 -5 *1123:Q 0 -6 *225:15 0.000206388 -7 *225:8 0.000202334 -8 *225:5 0.000242999 -9 *1041:A *296:43 6.69545e-05 -10 *1041:A *296:45 4.47179e-05 -11 *1041:A *296:56 7.54755e-05 -12 *225:8 *296:56 0.000129458 -13 *991:A *225:15 0.00011818 -14 *991:C *1015:B 6.50727e-05 -15 *993:A0 *1015:B 2.41483e-05 -16 *993:A0 *225:15 5.22164e-05 -17 *1041:B *1041:A 6.27782e-05 -18 *221:185 *1041:A 3.82e-05 -19 *221:185 *225:8 5.31286e-05 -*RES -1 *1123:Q *225:5 13.7491 -2 *225:5 *225:8 6.74725 -3 *225:8 *993:A1 9.24915 -4 *225:8 *225:15 4.07513 -5 *225:15 *1015:B 11.6846 -6 *225:15 *991:B 9.24915 -7 *225:5 *1041:A 19.7715 -*END - -*D_NET *226 0.00108796 -*CONN -*I *1015:A I *D sky130_fd_sc_hd__nor2_1 -*I *992:A I *D sky130_fd_sc_hd__xor2_1 -*I *1124:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1015:A 0.000130169 -2 *992:A 0 -3 *1124:Q 0.000170429 -4 *226:7 0.000300598 -5 *992:B *1015:A 5.19205e-05 -6 *993:A0 *1015:A 3.31882e-05 -7 *1124:D *226:7 0.0002817 -8 *216:50 *1015:A 2.33638e-05 -9 *216:50 *226:7 9.65932e-05 -*RES -1 *1124:Q *226:7 15.398 -2 *226:7 *992:A 9.24915 -3 *226:7 *1015:A 22.0503 -*END - -*D_NET *227 0.00602102 -*CONN -*I *1089:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1017:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1117:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1089:A0 0.0013853 -2 *1017:A 0.000911375 -3 *1117:Q 0 -4 *227:4 0.00229667 -5 *1089:A0 *1037:B 0.000311537 -6 *965:A1 *1089:A0 0.000114584 -7 *965:A2 *1089:A0 6.3657e-05 -8 *1028:B *1089:A0 5.52748e-05 -9 *1076:A0 *1089:A0 0.000160724 -10 *1079:A0 *1089:A0 0.000171273 -11 *1117:D *1017:A 0.000175606 -12 *1117:CLK *1017:A 0.000240925 -13 *1117:CLK *1089:A0 0.0001341 -*RES -1 *1117:Q *227:4 9.24915 -2 *227:4 *1017:A 25.8511 -3 *227:4 *1089:A0 44.0807 -*END - -*D_NET *228 0.00575748 -*CONN -*I *1033:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1012:A I *D sky130_fd_sc_hd__inv_2 -*I *986:B I *D sky130_fd_sc_hd__nand2_1 -*I *1034:B I *D sky130_fd_sc_hd__nor2_1 -*I *983:C_N I *D sky130_fd_sc_hd__nor3b_4 -*I *1118:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1033:B 0.000200485 -2 *1012:A 0 -3 *986:B 9.10235e-05 -4 *1034:B 1.66198e-05 -5 *983:C_N 0.00028598 -6 *1118:Q 0 -7 *228:35 0.000230753 -8 *228:15 0.000709672 -9 *228:11 0.0013024 -10 *228:4 0.000956081 -11 *983:C_N *985:A 5.20005e-05 -12 *983:C_N *1010:C 0.000123176 -13 *983:C_N *229:32 2.41483e-05 -14 *1034:B *985:B 1.09551e-05 -15 *1034:B *229:32 4.80635e-06 -16 *228:11 *342:DIODE 6.12686e-06 -17 *228:11 *1118:RESET_B 6.44964e-06 -18 *228:15 *229:25 0 -19 *228:15 *229:32 5.56461e-05 -20 *318:DIODE *228:15 0 -21 *1008:A *1033:B 7.56859e-06 -22 *1008:A *228:11 0.00035156 -23 *1059:A0 *1033:B 0 -24 *1063:S *986:B 0.000368656 -25 *1084:A0 *983:C_N 4.84017e-05 -26 *1119:D *1033:B 9.75356e-05 -27 *1120:CLK_N *986:B 0.000521534 -28 *42:8 *228:35 7.86825e-06 -29 *42:22 *228:35 0 -30 *219:87 *228:35 2.09495e-05 -31 *219:92 *228:11 9.34188e-05 -32 *219:92 *228:35 0.000163667 -*RES -1 *1118:Q *228:4 9.24915 -2 *228:4 *228:11 17.6176 -3 *228:11 *228:15 13.7078 -4 *228:15 *983:C_N 26.0691 -5 *228:15 *1034:B 9.82786 -6 *228:11 *228:35 8.40826 -7 *228:35 *986:B 14.964 -8 *228:35 *1012:A 9.24915 -9 *228:4 *1033:B 23.4382 -*END - -*D_NET *229 0.00701606 -*CONN -*I *983:B I *D sky130_fd_sc_hd__nor3b_4 -*I *985:B I *D sky130_fd_sc_hd__nor2_1 -*I *1010:C I *D sky130_fd_sc_hd__nand3_1 -*I *1034:A I *D sky130_fd_sc_hd__nor2_1 -*I *1033:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1119:Q O *D sky130_fd_sc_hd__dfstp_2 -*CAP -1 *983:B 0 -2 *985:B 1.47097e-05 -3 *1010:C 0.000274745 -4 *1034:A 0 -5 *1033:A 0.00039338 -6 *1119:Q 0.000105415 -7 *229:32 0.000355111 -8 *229:25 0.000226831 -9 *229:21 0.00123865 -10 *229:6 0.00157627 -11 *229:6 *1052:A 0 -12 *229:25 *985:A 0 -13 *983:C_N *1010:C 0.000123176 -14 *983:C_N *229:32 2.41483e-05 -15 *984:C *229:21 8.92471e-05 -16 *986:A *229:21 4.46284e-06 -17 *986:A *229:25 7.07115e-06 -18 *1034:B *985:B 1.09551e-05 -19 *1034:B *229:32 4.80635e-06 -20 *1035:B *229:25 4.94526e-05 -21 *1059:A0 *1033:A 0 -22 *1059:A1 *229:6 0 -23 *1059:S *1033:A 4.75721e-06 -24 *1059:S *229:21 0.00208978 -25 *5:10 *1033:A 0 -26 *42:9 *229:21 0.000304868 -27 *42:22 *229:21 2.02035e-05 -28 *42:22 *229:25 4.23622e-05 -29 *228:15 *229:25 0 -30 *228:15 *229:32 5.56461e-05 -*RES -1 *1119:Q *229:6 16.204 -2 *229:6 *1033:A 23.0253 -3 *229:6 *229:21 10.6081 -4 *229:21 *229:25 8.40826 -5 *229:25 *1034:A 9.24915 -6 *229:25 *229:32 2.41132 -7 *229:32 *1010:C 16.6519 -8 *229:32 *985:B 9.82786 -9 *229:21 *983:B 13.7491 -*END - -*D_NET *230 0.00264736 -*CONN -*I *1006:C I *D sky130_fd_sc_hd__nand3_1 -*I *985:A I *D sky130_fd_sc_hd__nor2_1 -*I *983:A I *D sky130_fd_sc_hd__nor3b_4 -*I *1035:A I *D sky130_fd_sc_hd__xor2_1 -*I *1120:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *1006:C 0 -2 *985:A 0.000179034 -3 *983:A 0 -4 *1035:A 0 -5 *1120:Q 0.000356721 -6 *230:25 0.000362831 -7 *230:15 0.000440441 -8 *230:10 0.000613364 -9 *230:10 *1027:B 2.99287e-05 -10 *230:10 *1120:RESET_B 4.14251e-05 -11 *983:C_N *985:A 5.20005e-05 -12 *986:A *985:A 5.46019e-05 -13 *1007:A *230:10 0 -14 *1007:A *230:15 6.92705e-05 -15 *1007:B *230:15 7.74111e-05 -16 *1035:B *985:A 6.50586e-05 -17 *1035:B *230:25 0.000126596 -18 *1120:D *230:15 1.38616e-05 -19 *171:33 *230:15 0.000164815 -20 *229:25 *985:A 0 -*RES -1 *1120:Q *230:10 24.1322 -2 *230:10 *230:15 7.83679 -3 *230:15 *1035:A 9.24915 -4 *230:15 *230:25 5.20845 -5 *230:25 *983:A 9.24915 -6 *230:25 *985:A 22.8808 -7 *230:10 *1006:C 9.24915 -*END - -*D_NET *231 0.00777235 -*CONN -*I *1037:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1038:B I *D sky130_fd_sc_hd__nor2_1 -*I *950:B I *D sky130_fd_sc_hd__nand2_1 -*I *1036:A I *D sky130_fd_sc_hd__clkinv_2 -*I *966:B I *D sky130_fd_sc_hd__nand3_1 -*I *1129:Q O *D sky130_fd_sc_hd__dfrtp_2 -*CAP -1 *1037:B 0.000340725 -2 *1038:B 7.65015e-05 -3 *950:B 5.143e-05 -4 *1036:A 0 -5 *966:B 0 -6 *1129:Q 0.000644395 -7 *231:38 0.000538333 -8 *231:27 0.000167848 -9 *231:24 0.00110467 -10 *231:8 0.00151154 -11 *1037:B *949:B 2.219e-05 -12 *1038:B *1038:A 0.000110458 -13 *231:8 *353:DIODE 1.92793e-05 -14 *231:8 *1129:RESET_B 7.66286e-06 -15 *231:8 *295:11 1.54037e-05 -16 *231:24 *949:B 1.43698e-05 -17 *231:24 *964:B 0.000657739 -18 *231:38 *949:B 0.000138334 -19 *321:DIODE *231:24 6.27718e-05 -20 *964:C *231:8 5.03545e-06 -21 *964:C *231:24 0.000148408 -22 *1039:B *1038:B 1.43698e-05 -23 *1079:A0 *1037:B 0 -24 *1079:S *1038:B 0.000206718 -25 *1080:A0 *231:24 0.000103789 -26 *1082:S *950:B 0.000138943 -27 *1089:A0 *1037:B 0.000311537 -28 *1131:D *1037:B 9.25565e-05 -29 *1131:D *231:38 4.29019e-05 -30 *19:45 *231:24 0.000462984 -31 *152:31 *950:B 0.000307806 -32 *154:20 *231:8 0.000100921 -33 *216:24 *231:8 0.000159745 -34 *216:24 *231:24 9.78191e-05 -35 *221:85 *231:27 1.70745e-05 -36 *221:85 *231:38 2.57434e-05 -37 *221:107 *231:27 5.23435e-05 -38 *223:35 *1038:B 0 -*RES -1 *1129:Q *231:8 24.7601 -2 *231:8 *966:B 13.7491 -3 *231:8 *231:24 28.941 -4 *231:24 *231:27 7.1625 -5 *231:27 *1036:A 9.24915 -6 *231:27 *950:B 12.7456 -7 *231:24 *231:38 3.90826 -8 *231:38 *1038:B 16.7151 -9 *231:38 *1037:B 25.036 -*END - -*D_NET *232 0.00480807 -*CONN -*I *1038:A I *D sky130_fd_sc_hd__nor2_1 -*I *949:B I *D sky130_fd_sc_hd__nor2_1 -*I *1037:A I *D sky130_fd_sc_hd__xnor2_1 -*I *964:B I *D sky130_fd_sc_hd__nand3_1 -*I *1130:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1038:A 6.68091e-05 -2 *949:B 0.000401165 -3 *1037:A 0.000118192 -4 *964:B 0.000273203 -5 *1130:Q 7.59362e-05 -6 *232:24 0.000688568 -7 *232:7 0.000391395 -8 *232:5 0.00029653 -9 *949:B *962:B 1.1718e-05 -10 *964:B *1080:A1 0.000154399 -11 *964:B *1080:S 2.83365e-05 -12 *232:5 *293:61 7.7063e-05 -13 *232:24 *293:57 2.32625e-05 -14 *232:24 *293:61 0.000182198 -15 *950:A *949:B 6.00782e-06 -16 *962:A *949:B 5.54534e-05 -17 *962:C *949:B 1.78942e-05 -18 *965:B1_N *964:B 4.73067e-05 -19 *965:B1_N *1037:A 3.40703e-05 -20 *1037:B *949:B 2.219e-05 -21 *1038:B *1038:A 0.000110458 -22 *1079:A0 *1037:A 0 -23 *1079:S *1038:A 1.15389e-05 -24 *1079:S *232:24 7.1796e-05 -25 *1080:A0 *964:B 0.000250043 -26 *19:45 *949:B 3.31813e-05 -27 *221:85 *949:B 0.000548913 -28 *231:24 *949:B 1.43698e-05 -29 *231:24 *964:B 0.000657739 -30 *231:38 *949:B 0.000138334 -*RES -1 *1130:Q *232:5 11.3591 -2 *232:5 *232:7 4.5 -3 *232:7 *964:B 25.4513 -4 *232:7 *1037:A 16.8269 -5 *232:5 *232:24 7.37864 -6 *232:24 *949:B 30.8034 -7 *232:24 *1038:A 11.0817 -*END - -*D_NET *233 0.00269276 -*CONN -*I *1039:A I *D sky130_fd_sc_hd__xor2_1 -*I *949:A I *D sky130_fd_sc_hd__nor2_1 -*I *962:B I *D sky130_fd_sc_hd__nand3_1 -*I *1131:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1039:A 0 -2 *949:A 0.000234558 -3 *962:B 6.01037e-05 -4 *1131:Q 0.000222133 -5 *233:10 0.000558364 -6 *233:8 0.000485835 -7 *949:A *1077:S 1.91391e-05 -8 *233:10 *293:57 0 -9 *949:B *962:B 1.1718e-05 -10 *962:A *962:B 0.000107496 -11 *962:A *233:10 3.20264e-05 -12 *963:A1 *233:10 2.37465e-05 -13 *963:B1_N *962:B 6.08467e-05 -14 *1003:B1 *949:A 0.000455159 -15 *1003:B1 *233:10 0 -16 *1039:B *233:8 2.6046e-05 -17 *1039:B *233:10 0.000122098 -18 *1131:D *233:8 0 -19 *1131:D *233:10 0 -20 *1153:A *233:8 2.26713e-06 -21 *1156:A *233:8 2.29406e-05 -22 *152:35 *949:A 6.23322e-05 -23 *219:14 *949:A 1.91391e-05 -24 *219:14 *233:8 0 -25 *219:14 *233:10 0 -26 *222:50 *233:10 0.000147354 -27 *223:41 *233:10 1.94615e-05 -*RES -1 *1131:Q *233:8 18.2442 -2 *233:8 *233:10 7.23027 -3 *233:10 *962:B 15.5817 -4 *233:10 *949:A 21.5271 -5 *233:8 *1039:A 13.7491 -*END - -*D_NET *234 0.00296759 -*CONN -*I *971:A_N I *D sky130_fd_sc_hd__nand3b_1 -*I *968:B I *D sky130_fd_sc_hd__nor2_1 -*I *977:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *979:A2 I *D sky130_fd_sc_hd__a21bo_1 -*I *1126:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *971:A_N 0.00014494 -2 *968:B 0.000125551 -3 *977:A_N 0.000124696 -4 *979:A2 2.06324e-05 -5 *1126:Q 7.20559e-05 -6 *234:27 0.000490977 -7 *234:13 0.000384956 -8 *234:5 0.000132462 -9 *968:B *235:17 7.12632e-06 -10 *971:A_N *295:11 8.03393e-06 -11 *971:A_N *296:96 0 -12 *977:A_N *350:DIODE 6.50586e-05 -13 *977:A_N *296:96 4.15143e-05 -14 *234:5 *1126:RESET_B 4.82966e-05 -15 *234:13 *1126:RESET_B 1.67988e-05 -16 *970:A2 *968:B 0.000108707 -17 *970:B1_N *234:27 0.000315549 -18 *975:B1 *968:B 0.000114563 -19 *978:A2 *968:B 0 -20 *979:A1 *234:13 6.92705e-05 -21 *979:A1 *234:27 0.000248409 -22 *1126:D *234:5 0.000122378 -23 *154:20 *971:A_N 1.39717e-06 -24 *159:10 *971:A_N 0 -25 *161:8 *968:B 7.80439e-05 -26 *161:8 *971:A_N 0.000107996 -27 *216:46 *971:A_N 0.00011818 -*RES -1 *1126:Q *234:5 11.6364 -2 *234:5 *979:A2 9.82786 -3 *234:5 *234:13 1.278 -4 *234:13 *977:A_N 21.635 -5 *234:13 *234:27 10.7694 -6 *234:27 *968:B 17.6574 -7 *234:27 *971:A_N 18.1049 -*END - -*D_NET *235 0.00416348 -*CONN -*I *968:A I *D sky130_fd_sc_hd__nor2_1 -*I *987:B I *D sky130_fd_sc_hd__nor2_1 -*I *973:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1127:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *968:A 0 -2 *987:B 0.000987518 -3 *973:A 0.000134434 -4 *1127:Q 0.000264991 -5 *235:17 0.00136463 -6 *235:11 0.000507664 -7 *235:11 *1127:SET_B 1.44925e-05 -8 *968:B *235:17 7.12632e-06 -9 *970:A2 *973:A 0.000154145 -10 *970:B1_N *973:A 2.07365e-05 -11 *974:B *235:17 4.94e-06 -12 *975:B1 *973:A 0.000109465 -13 *978:A2 *235:11 0.000120081 -14 *978:B1 *235:11 4.74142e-05 -15 *979:B1_N *973:A 0.000156946 -16 *981:A *987:B 4.19401e-06 -17 *1127:D *235:11 4.91225e-06 -18 *1128:CLK_N *973:A 5.66868e-06 -19 *160:6 *973:A 0.000113077 -20 *161:8 *973:A 2.95757e-05 -21 *163:8 *235:17 0 -22 *223:48 *987:B 0.000111477 -*RES -1 *1127:Q *235:11 14.3491 -2 *235:11 *235:17 8.60324 -3 *235:17 *973:A 22.5387 -4 *235:17 *987:B 19.6117 -5 *235:11 *968:A 9.24915 -*END - -*D_NET *236 0.0022422 -*CONN -*I *987:A I *D sky130_fd_sc_hd__nor2_1 -*I *972:A I *D sky130_fd_sc_hd__inv_2 -*I *975:A1_N I *D sky130_fd_sc_hd__o2bb2ai_1 -*I *1128:Q O *D sky130_fd_sc_hd__dfrtn_1 -*CAP -1 *987:A 0.000135545 -2 *972:A 7.32721e-05 -3 *975:A1_N 0.000165428 -4 *1128:Q 0 -5 *236:7 0.000714804 -6 *236:4 0.000611649 -7 *987:A *296:235 0.000120546 -8 *236:7 *296:224 3.01216e-05 -9 *236:7 *296:235 5.65165e-05 -10 *956:A2 *987:A 1.61631e-05 -11 *974:A *972:A 6.79599e-05 -12 *974:A *975:A1_N 0.000239468 -13 *975:A2_N *975:A1_N 0 -14 *1128:CLK_N *972:A 0 -15 *223:65 *972:A 1.07248e-05 -*RES -1 *1128:Q *236:4 9.24915 -2 *236:4 *236:7 11.8786 -3 *236:7 *975:A1_N 18.4879 -4 *236:7 *972:A 15.9964 -5 *236:4 *987:A 13.3484 -*END - -*D_NET *237 0.00163949 -*CONN -*I *999:C I *D sky130_fd_sc_hd__nand3_1 -*I *1092:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *999:C 0.00044992 -2 *1092:Q 0.00044992 -3 *999:C *332:DIODE 0.000193344 -4 *999:C *1106:SET_B 0 -5 *999:C *243:41 0 -6 *999:C *296:599 0.000279783 -7 *221:14 *999:C 0.000266523 -*RES -1 *1092:Q *999:C 38.9161 -*END - -*D_NET *238 0.00318145 -*CONN -*I *997:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *998:A I *D sky130_fd_sc_hd__or2b_1 -*I *1093:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *997:B_N 0.000264316 -2 *998:A 0.000623044 -3 *1093:Q 4.9813e-05 -4 *238:7 0.000937173 -5 *997:B_N *330:DIODE 0.000159016 -6 *997:B_N *332:DIODE 3.00174e-06 -7 *997:B_N *1106:CLK 1.41205e-05 -8 *997:B_N *243:46 4.58003e-05 -9 *997:B_N *296:599 5.88776e-05 -10 *998:A *332:DIODE 8.66674e-05 -11 *238:7 *293:13 9.44631e-06 -12 *999:A *997:B_N 2.41483e-05 -13 *221:14 *998:A 0.000102541 -14 *221:61 *997:B_N 0.000255418 -15 *222:28 *998:A 0.000134988 -16 *223:13 *997:B_N 0.000413073 -*RES -1 *1093:Q *238:7 14.4725 -2 *238:7 *998:A 25.5878 -3 *238:7 *997:B_N 24.3449 -*END - -*D_NET *239 0.000696906 -*CONN -*I *1000:B I *D sky130_fd_sc_hd__nor2_1 -*I *1001:B I *D sky130_fd_sc_hd__and2_1 -*I *1094:Q O *D sky130_fd_sc_hd__dfxtp_1 -*CAP -1 *1000:B 0 -2 *1001:B 2.2109e-05 -3 *1094:Q 0.000170077 -4 *239:10 0.000192186 -5 *1001:B *293:37 1.3808e-05 -6 *239:10 *296:544 0 -7 *239:10 *296:548 0 -8 *1001:A *1001:B 6.46815e-05 -9 *219:71 *239:10 0 -10 *222:41 *1001:B 6.27718e-05 -11 *223:21 *1001:B 0.000171273 -*RES -1 *1094:Q *239:10 21.2198 -2 *239:10 *1001:B 11.0817 -3 *239:10 *1000:B 9.24915 -*END - -*D_NET *240 0.00126751 -*CONN -*I *951:A I *D sky130_fd_sc_hd__inv_2 -*I *1027:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1132:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *951:A 4.89729e-05 -2 *1027:A 0.000132466 -3 *1132:Q 5.50587e-05 -4 *240:5 0.000236498 -5 *951:A *296:293 9.97706e-05 -6 *1027:A *242:17 5.36612e-05 -7 *240:5 *296:293 0.00034413 -8 *953:B *951:A 1.43983e-05 -9 *953:B *240:5 4.43025e-05 -10 *958:A2 *240:5 7.58194e-05 -11 *1029:A2 *1027:A 3.77659e-05 -12 *146:5 *951:A 1.00846e-05 -13 *182:5 *1027:A 0.000114584 -*RES -1 *1132:Q *240:5 13.0229 -2 *240:5 *1027:A 22.1896 -3 *240:5 *951:A 11.1059 -*END - -*D_NET *241 0.00115915 -*CONN -*I *1027:B I *D sky130_fd_sc_hd__xnor2_1 -*I *982:A I *D sky130_fd_sc_hd__inv_2 -*I *1125:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1027:B 0.000251236 -2 *982:A 0.000103319 -3 *1125:Q 4.00777e-05 -4 *241:5 0.000394634 -5 *1027:B *1120:RESET_B 0.000217873 -6 *1027:B *296:263 2.42273e-05 -7 *241:5 *296:263 2.05191e-05 -8 *1004:A *982:A 7.73327e-05 -9 *1004:A *1027:B 0 -10 *230:10 *1027:B 2.99287e-05 -*RES -1 *1125:Q *241:5 10.2498 -2 *241:5 *982:A 21.3269 -3 *241:5 *1027:B 25.2386 -*END - -*D_NET *242 0.015426 -*CONN -*I *1060:S I *D sky130_fd_sc_hd__mux2_1 -*I *1064:S I *D sky130_fd_sc_hd__mux2_1 -*I *1085:S I *D sky130_fd_sc_hd__mux2_1 -*I *1077:S I *D sky130_fd_sc_hd__mux2_1 -*I *1083:S I *D sky130_fd_sc_hd__mux2_1 -*I *1080:S I *D sky130_fd_sc_hd__mux2_1 -*I *1075:A1 I *D sky130_fd_sc_hd__mux2_4 -*I *970:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *954:A I *D sky130_fd_sc_hd__inv_2 -*I *1121:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *1060:S 0.000488744 -2 *1064:S 0 -3 *1085:S 0 -4 *1077:S 0.000332621 -5 *1083:S 0 -6 *1080:S 0.000258151 -7 *1075:A1 0 -8 *970:A1 0.000373617 -9 *954:A 5.341e-05 -10 *1121:Q 0.000108948 -11 *242:90 0.000660104 -12 *242:44 0.000625101 -13 *242:41 0.000537109 -14 *242:37 0.000886978 -15 *242:30 0.00138559 -16 *242:17 0.000842761 -17 *242:14 0.00103309 -18 *242:8 0.000717513 -19 *954:A *296:250 6.92705e-05 -20 *1060:S *332:DIODE 0.000169107 -21 *1060:S *1105:RESET_B 0.000216299 -22 *1060:S *1105:CLK 4.11983e-05 -23 *1060:S *1108:D 3.04084e-05 -24 *1060:S *243:29 4.21651e-05 -25 *1060:S *243:32 1.39717e-06 -26 *1060:S *300:19 5.63702e-05 -27 *242:14 *243:15 0.000366903 -28 *242:14 *296:293 0 -29 *242:17 *296:250 0.00015511 -30 *242:30 *296:282 2.94562e-05 -31 *242:90 *243:15 5.7135e-05 -32 *319:DIODE *1060:S 0.000103983 -33 *319:DIODE *242:90 1.41976e-05 -34 *949:A *1077:S 1.91391e-05 -35 *953:B *1077:S 0 -36 *953:B *242:30 0 -37 *958:A2 *242:30 0 -38 *964:B *1080:S 2.83365e-05 -39 *965:B1_N *1080:S 1.02267e-05 -40 *980:A *242:30 2.45462e-05 -41 *1004:A *242:17 2.16355e-05 -42 *1004:B *954:A 1.80379e-05 -43 *1004:B *242:17 9.19886e-06 -44 *1004:B *242:30 5.56367e-05 -45 *1005:B *242:17 5.56461e-05 -46 *1007:A *242:17 0.000224381 -47 *1009:B *1060:S 0.000347644 -48 *1027:A *242:17 5.36612e-05 -49 *1060:A0 *1060:S 0.00016553 -50 *1060:A1 *1060:S 1.78942e-05 -51 *1079:S *1077:S 0.000261781 -52 *1080:A0 *1080:S 6.27782e-05 -53 *1082:A0 *242:37 0.000126048 -54 *1082:S *242:37 0.00108063 -55 *1084:A0 *242:14 3.39219e-05 -56 *1085:A1 *242:14 0.000108707 -57 *1132:D *1077:S 0.000166041 -58 *1132:D *242:30 0.000200656 -59 *19:10 *970:A1 1.07248e-05 -60 *19:10 *242:44 0.000322551 -61 *19:17 *242:41 1.50924e-05 -62 *19:45 *242:37 0.000104639 -63 *19:45 *242:41 3.74146e-05 -64 *43:18 *1077:S 0 -65 *149:15 *954:A 2.11341e-05 -66 *149:15 *242:30 5.77352e-05 -67 *150:51 *1077:S 8.96342e-05 -68 *152:13 *242:37 0.000112361 -69 *152:13 *242:41 0.000109427 -70 *152:31 *242:37 9.96048e-05 -71 *182:5 *242:17 0.000195776 -72 *182:15 *242:17 0.000134672 -73 *219:14 *1077:S 6.49603e-05 -74 *219:87 *242:8 7.48918e-05 -75 *221:14 *1060:S 0 -76 *221:35 *242:90 0.000118166 -77 *221:40 *242:90 0.000277502 -78 *221:131 *970:A1 8.33549e-05 -79 *221:131 *1080:S 4.9e-05 -80 *221:131 *242:44 1.29948e-05 -81 *223:35 *1077:S 0.000261781 -82 *223:65 *970:A1 0.000423805 -83 *223:65 *242:44 0 -84 *223:127 *242:8 0 -*RES -1 *1121:Q *242:8 21.3269 -2 *242:8 *242:14 21.9507 -3 *242:14 *242:17 18.4948 -4 *242:17 *954:A 11.5761 -5 *242:17 *242:30 10.8998 -6 *242:30 *242:37 23.0189 -7 *242:37 *242:41 5.778 -8 *242:41 *242:44 7.13257 -9 *242:44 *970:A1 24.6449 -10 *242:44 *1075:A1 13.7491 -11 *242:41 *1080:S 19.3748 -12 *242:37 *1083:S 9.24915 -13 *242:30 *1077:S 24.3365 -14 *242:14 *1085:S 9.24915 -15 *242:8 *242:90 5.71483 -16 *242:90 *1064:S 9.24915 -17 *242:90 *1060:S 35.8055 -*END - -*D_NET *243 0.0132875 -*CONN -*I *1108:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1110:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1071:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1107:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1106:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1109:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *1105:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1029:Y O *D sky130_fd_sc_hd__o21bai_2 -*CAP -1 *1108:CLK 0 -2 *1110:CLK 7.66789e-05 -3 *1071:A1 0.000259413 -4 *1107:CLK 0 -5 *1106:CLK 8.82064e-05 -6 *1109:CLK 2.59893e-05 -7 *1105:CLK 0.000169319 -8 *1029:Y 0.000826627 -9 *243:66 0.00213601 -10 *243:56 0.002053 -11 *243:46 0.000640028 -12 *243:41 0.000589624 -13 *243:32 0.000442336 -14 *243:29 0.000448122 -15 *243:15 0.00116525 -16 *1071:A1 *1071:S 6.36477e-05 -17 *1071:A1 *1101:CLK 2.83365e-05 -18 *1071:A1 *276:27 7.76969e-05 -19 *1105:CLK *300:19 1.03403e-05 -20 *1106:CLK *296:599 0 -21 *1109:CLK *293:13 2.57847e-05 -22 *1110:CLK *1106:SET_B 7.80439e-05 -23 *1110:CLK *296:599 0 -24 *243:15 *296:293 0.000222586 -25 *243:29 *332:DIODE 1.1718e-05 -26 *243:29 *300:19 1.41976e-05 -27 *243:32 *332:DIODE 0 -28 *243:41 *1106:SET_B 3.06627e-05 -29 *243:46 *1106:D 8.51149e-05 -30 *243:56 *296:599 0 -31 *243:66 *943:B 0 -32 *243:66 *1100:SET_B 0 -33 *243:66 *1100:CLK 0.000238954 -34 *243:66 *1101:D 0.000180124 -35 *243:66 *1159:A 1.59362e-06 -36 *243:66 *249:12 0 -37 *243:66 *296:495 0 -38 *243:66 *296:502 7.2815e-05 -39 *243:66 *296:504 5.85596e-05 -40 *243:66 *296:509 4.62703e-05 -41 *243:66 *296:785 1.15862e-05 -42 *243:66 *296:793 4.8463e-06 -43 *319:DIODE *243:15 1.15389e-05 -44 *319:DIODE *243:29 6.50727e-05 -45 *946:B1 *243:66 3.16788e-05 -46 *997:B_N *1106:CLK 1.41205e-05 -47 *997:B_N *243:46 4.58003e-05 -48 *999:A *1106:CLK 1.77537e-06 -49 *999:A *243:56 1.72464e-05 -50 *999:B *1110:CLK 6.65668e-05 -51 *999:B *243:32 0.000109978 -52 *999:B *243:41 0.00025389 -53 *999:C *243:41 0 -54 *1003:A1 *1106:CLK 0 -55 *1009:A *1105:CLK 9.14834e-05 -56 *1013:B *243:15 0.000107496 -57 *1029:A2 *243:15 6.49761e-05 -58 *1060:S *1105:CLK 4.11983e-05 -59 *1060:S *243:29 4.21651e-05 -60 *1060:S *243:32 1.39717e-06 -61 *1085:A1 *243:15 0.000525911 -62 *1088:A1 *243:66 1.95129e-05 -63 *1133:D *243:66 0.000154424 -64 *1148:D *243:56 8.88202e-05 -65 *1148:D *243:66 7.04331e-05 -66 *1148:CLK *243:56 0.000198557 -67 *1148:CLK *243:66 0.00013534 -68 *7:15 *243:66 0 -69 *221:14 *1105:CLK 1.07248e-05 -70 *221:14 *243:32 0 -71 *221:16 *1105:CLK 0.000169093 -72 *221:33 *243:15 1.57614e-05 -73 *221:33 *243:29 0 -74 *221:35 *243:15 4.33655e-05 -75 *221:40 *243:15 0.0002817 -76 *223:13 *243:56 0 -77 *242:14 *243:15 0.000366903 -78 *242:90 *243:15 5.7135e-05 -*RES -1 *1029:Y *243:15 45.282 -2 *243:15 *1105:CLK 23.7141 -3 *243:15 *243:29 4.48505 -4 *243:29 *243:32 10.8998 -5 *243:32 *1109:CLK 14.4725 -6 *243:32 *243:41 4.73876 -7 *243:41 *243:46 14.7148 -8 *243:46 *1106:CLK 15.8987 -9 *243:46 *243:56 5.98452 -10 *243:56 *1107:CLK 13.7491 -11 *243:56 *243:66 46.1059 -12 *243:66 *1071:A1 24.7109 -13 *243:41 *1110:CLK 15.9964 -14 *243:29 *1108:CLK 9.24915 -*END - -*D_NET *244 0.000723218 -*CONN -*I *1108:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1105:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1108:D 0.000292948 -2 *1105:Q 0.000292948 -3 *1108:D *1105:RESET_B 9.53368e-05 -4 *1108:D *296:646 0 -5 *1108:D *300:19 1.15766e-05 -6 *1060:S *1108:D 3.04084e-05 -*RES -1 *1105:Q *1108:D 32.8267 -*END - -*D_NET *245 0.00072462 -*CONN -*I *1109:D I *D sky130_fd_sc_hd__dfstp_4 -*I *1106:Q O *D sky130_fd_sc_hd__dfstp_1 -*CAP -1 *1109:D 0.000273429 -2 *1106:Q 0.000273429 -3 *1109:D *1106:D 0.000123204 -4 *999:B *1109:D 5.45575e-05 -*RES -1 *1106:Q *1109:D 32.9647 -*END - -*D_NET *246 0.000584482 -*CONN -*I *1110:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1107:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *1110:D 0.000158269 -2 *1107:Q 0.000158269 -3 *1110:D *1110:RESET_B 0.000120636 -4 *223:13 *1110:D 0.000147308 -*RES -1 *1107:Q *1110:D 33.242 -*END - -*D_NET *247 0.021183 -*CONN -*I *884:S I *D sky130_fd_sc_hd__mux2_1 -*I *1054:B I *D sky130_fd_sc_hd__nor3_1 -*I *944:A I *D sky130_fd_sc_hd__clkinv_4 -*I *891:B1 I *D sky130_fd_sc_hd__o21a_1 -*I *1095:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1057:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1058:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *893:B1 I *D sky130_fd_sc_hd__o21ai_1 -*I *923:B1 I *D sky130_fd_sc_hd__o211a_1 -*I *1061:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1062:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *929:B1 I *D sky130_fd_sc_hd__o211ai_4 -*I *882:S I *D sky130_fd_sc_hd__mux2_1 -*I *1114:Q O *D sky130_fd_sc_hd__dfrtp_4 -*CAP -1 *884:S 0 -2 *1054:B 0 -3 *944:A 0 -4 *891:B1 0.000130673 -5 *1095:D 0.000306548 -6 *1057:A1 0 -7 *1058:A1 0.000275719 -8 *893:B1 6.38627e-05 -9 *923:B1 0 -10 *1061:A1 0.000355746 -11 *1062:A1 0.000465298 -12 *929:B1 0 -13 *882:S 5.69277e-05 -14 *1114:Q 0.000535529 -15 *247:91 0.000945304 -16 *247:87 0.000360494 -17 *247:76 0.000547634 -18 *247:68 0.000790554 -19 *247:62 0.00133081 -20 *247:60 0.000829371 -21 *247:56 0.00108759 -22 *247:48 0.00107634 -23 *247:38 0.000655489 -24 *247:24 0.000667195 -25 *247:19 0.00120504 -26 *247:10 0.0014316 -27 *882:S *249:25 0.000151947 -28 *891:B1 *267:30 3.31733e-05 -29 *891:B1 *307:11 4.43535e-05 -30 *893:B1 *268:93 0.000161707 -31 *1058:A1 *907:C 2.80056e-05 -32 *1058:A1 *248:65 0 -33 *1058:A1 *249:38 2.54688e-05 -34 *1058:A1 *268:43 1.81484e-05 -35 *1061:A1 *1062:S 0 -36 *1061:A1 *248:74 0 -37 *1061:A1 *248:92 0 -38 *1062:A1 *1062:S 4.15559e-05 -39 *1095:D *248:46 0 -40 *247:10 *1111:CLK 0 -41 *247:10 *1114:RESET_B 0 -42 *247:10 *250:10 2.81678e-06 -43 *247:19 *1054:C 0.000606783 -44 *247:19 *250:11 0.000893419 -45 *247:19 *250:13 0.000179699 -46 *247:19 *251:23 6.78364e-06 -47 *247:24 *882:A1 5.10339e-05 -48 *247:24 *251:7 0.000114594 -49 *247:24 *251:23 2.74378e-05 -50 *247:48 *1031:A 0.000258128 -51 *247:56 *1145:RESET_B 9.13394e-06 -52 *247:56 *268:8 5.67857e-05 -53 *247:60 *248:46 0 -54 *247:62 *248:46 0.00012936 -55 *247:62 *248:52 9.54357e-06 -56 *247:68 *248:52 3.46213e-05 -57 *247:76 *929:A1 6.36477e-05 -58 *247:76 *268:93 0.000172406 -59 *247:91 *1062:S 0 -60 *247:91 *248:74 0 -61 *882:A0 *882:S 0.000112361 -62 *884:A0 *247:19 1.79839e-05 -63 *888:B *1095:D 0 -64 *892:B1 *891:B1 0.000107729 -65 *895:A *893:B1 0.000118056 -66 *895:A *247:76 3.20264e-05 -67 *896:A1 *1095:D 0.000117212 -68 *900:A_N *247:48 2.41483e-05 -69 *900:C *247:48 2.88829e-05 -70 *900:C *247:56 5.20546e-06 -71 *904:A *247:48 1.39873e-05 -72 *908:A1 *1058:A1 4.7798e-05 -73 *910:A1 *247:68 0.000604863 -74 *923:C1 *247:87 7.06708e-05 -75 *923:C1 *247:91 1.03594e-05 -76 *926:A *893:B1 1.90335e-05 -77 *929:C1 *247:87 1.50924e-05 -78 *933:B *1061:A1 2.79605e-05 -79 *947:A *247:38 3.16904e-05 -80 *947:C *247:38 0.000125653 -81 *948:B *247:38 7.77309e-06 -82 *1032:A1_N *247:38 6.08697e-06 -83 *1032:A2_N *247:38 2.85139e-05 -84 *1032:B2 *247:48 0.000385448 -85 *1058:A0 *1058:A1 6.27718e-05 -86 *1061:S *1061:A1 0.000261128 -87 *1068:A0 *247:76 8.95297e-05 -88 *1068:S *247:76 0.000112211 -89 *1070:A0 *1058:A1 0.000254162 -90 *1070:A0 *247:62 0.000123137 -91 *1070:A0 *247:68 6.08467e-05 -92 *1091:A1 *247:24 1.35317e-05 -93 *1091:A1 *247:38 3.34839e-05 -94 *1145:CLK *1095:D 0 -95 *1146:D *247:19 6.50727e-05 -96 *1157:A *247:60 9.91783e-05 -97 *1157:A *247:62 0.000307806 -98 *4:7 *1061:A1 0.000111356 -99 *4:11 *1095:D 0 -100 *4:11 *247:56 0.000173071 -101 *18:7 *247:68 0.000161956 -102 *18:20 *247:68 1.60442e-05 -103 *45:7 *247:68 0.000107496 -104 *106:8 *891:B1 7.14746e-05 -105 *109:42 *247:68 3.96696e-05 -106 *111:11 *891:B1 0.000113244 -107 *115:61 *891:B1 0.000115154 -108 *140:5 *247:38 0.000118166 -109 *140:18 *247:38 0.000313678 -110 *219:34 *1095:D 0 -111 *219:34 *247:60 0 -112 *220:36 *247:24 1.6732e-05 -113 *220:40 *247:24 2.19092e-05 -114 *220:84 *1095:D 0.000123361 -*RES -1 *1114:Q *247:10 30.3581 -2 *247:10 *247:19 22.0395 -3 *247:19 *247:24 12.4593 -4 *247:24 *882:S 16.1605 -5 *247:24 *247:38 14.8114 -6 *247:38 *247:48 11.6467 -7 *247:48 *247:56 18.7268 -8 *247:56 *247:60 8.4405 -9 *247:60 *247:62 10.7063 -10 *247:62 *247:68 16.8942 -11 *247:68 *247:76 13.9177 -12 *247:76 *929:B1 9.24915 -13 *247:76 *247:87 10.5437 -14 *247:87 *247:91 2.98005 -15 *247:91 *1062:A1 20.5732 -16 *247:91 *1061:A1 23.858 -17 *247:87 *923:B1 13.7491 -18 *247:68 *893:B1 16.8269 -19 *247:62 *1058:A1 25.0964 -20 *247:60 *1057:A1 9.24915 -21 *247:56 *1095:D 21.0659 -22 *247:48 *891:B1 23.4354 -23 *247:38 *944:A 9.24915 -24 *247:19 *1054:B 9.24915 -25 *247:10 *884:S 9.24915 -*END - -*D_NET *248 0.0213382 -*CONN -*I *1065:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1030:A I *D sky130_fd_sc_hd__nor2_1 -*I *887:A I *D sky130_fd_sc_hd__or2b_1 -*I *886:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *1096:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1070:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *893:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1085:A1 I *D sky130_fd_sc_hd__mux2_1 *I *1086:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1196:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *977:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *1197:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *957:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *1200:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *1125:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *1085:A1 0.000167097 +2 *1086:A1 0 +3 *1196:A 2.06324e-05 +4 *977:A2 1.56811e-05 +5 *1197:A 0.000250492 +6 *957:A2 3.84817e-05 +7 *1200:A 0.000182832 +8 *1125:Q 0 +9 *234:47 0.000532904 +10 *234:45 0.000970235 +11 *234:33 0.00297135 +12 *234:19 0.00232305 +13 *234:17 0.00161462 +14 *234:4 0.00155731 +15 *977:A2 *977:A1 1.05106e-05 +16 *977:A2 *1191:A 0 +17 *1085:A1 *309:234 0.00023344 +18 *1085:A1 *317:27 0 +19 *1197:A *983:A1 0 +20 *1197:A *1191:A 0 +21 *1197:A *235:52 2.32625e-05 +22 *1197:A *308:7 7.34948e-06 +23 *1197:A *313:22 0.000110306 +24 *1200:A *305:8 8.39223e-05 +25 *234:17 *1121:D 4.86446e-05 +26 *234:17 *1125:D 0 +27 *234:17 *235:15 0.00014642 +28 *234:17 *305:8 0.000136705 +29 *234:17 *305:12 9.55247e-06 +30 *234:17 *325:11 0 +31 *234:19 *235:15 9.97706e-05 +32 *234:33 *235:15 0.000113968 +33 *234:33 *254:41 8.90652e-06 +34 *234:33 *317:27 0.000272349 +35 *234:33 *317:36 0.000189305 +36 *234:45 *957:A1 6.96846e-05 +37 *234:45 *1093:A1 6.50727e-05 +38 *234:45 *235:28 4.91804e-05 +39 *234:45 *235:40 0.000414767 +40 *234:45 *235:50 1.19721e-05 +41 *234:47 *235:50 0.000130076 +42 *234:47 *235:52 2.99287e-05 +43 *399:DIODE *234:17 0 +44 *953:B1 *1085:A1 1.87469e-05 +45 *953:B1 *234:33 1.69932e-05 +46 *961:A *234:33 2.02035e-05 +47 *961:B *234:33 0.000426726 +48 *980:C *234:33 3.31733e-05 +49 *983:C1 *1197:A 0.000168973 +50 *984:A2 *234:33 0 +51 *984:B1_N *234:33 1.2693e-05 +52 *1033:A *1197:A 0.000127164 +53 *1086:A0 *1085:A1 2.72403e-05 +54 *1086:A0 *234:33 4.40531e-05 +55 *1093:A0 *234:45 0.000113968 +56 *1093:S *234:45 0.000106567 +57 *1198:A *234:45 3.52807e-05 +58 *14:8 *234:17 0 +59 *19:21 *234:33 1.91391e-05 +60 *19:40 *234:45 0.000910472 +61 *19:52 *234:45 0.00016553 +62 *47:7 *234:47 6.08467e-05 +63 *48:43 *1085:A1 6.08467e-05 +64 *49:16 *234:45 0.000108266 +65 *176:16 *234:33 0.000161243 +66 *176:33 *234:33 0.000375848 +67 *179:11 *234:45 1.34424e-05 +68 *231:91 *1085:A1 2.65667e-05 +69 *233:8 *234:17 0.000438266 +70 *233:20 *234:17 0.000182685 +71 *233:24 *234:17 0.000524317 +72 *233:26 *234:17 0.000196428 +73 *233:44 *234:33 1.39795e-05 +74 *233:68 *234:33 1.21461e-06 +*RES +1 *1125:Q *234:4 9.24915 +2 *234:4 *1200:A 13.8789 +3 *234:4 *234:17 39.5391 +4 *234:17 *234:19 1.278 +5 *234:19 *234:33 35.0363 +6 *234:33 *957:A2 10.2378 +7 *234:33 *234:45 31.5211 +8 *234:45 *234:47 6.82404 +9 *234:47 *1197:A 24.7403 +10 *234:47 *977:A2 9.82786 +11 *234:45 *1196:A 9.82786 +12 *234:19 *1086:A1 9.24915 +13 *234:17 *1085:A1 23.2961 +*END + +*D_NET *235 0.0160913 +*CONN +*I *1199:A I *D sky130_fd_sc_hd__clkbuf_2 *I *1087:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *923:A1 I *D sky130_fd_sc_hd__o211a_1 -*I *929:A1 I *D sky130_fd_sc_hd__o211ai_4 -*I *1069:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *891:A1 I *D sky130_fd_sc_hd__o21a_1 -*I *1115:Q O *D sky130_fd_sc_hd__dfstp_4 +*I *977:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *1032:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1031:B I *D sky130_fd_sc_hd__nor3_1 +*I *957:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1126:Q O *D sky130_fd_sc_hd__dfrtp_4 *CAP -1 *1065:A0 0.000612349 -2 *1030:A 0.000112671 -3 *887:A 0 -4 *886:B_N 0 -5 *1096:D 0.000427782 -6 *1070:A1 0 -7 *893:A1 0 -8 *1086:A1 0.000262392 -9 *1087:A1 4.32612e-05 -10 *923:A1 3.41624e-05 -11 *929:A1 0.000178613 -12 *1069:A1 0.000168932 -13 *891:A1 0.000226138 -14 *1115:Q 0 -15 *248:125 0.000517632 -16 *248:92 0.000431445 -17 *248:74 0.000357757 -18 *248:69 0.000390456 -19 *248:65 0.000392002 -20 *248:52 0.00071196 -21 *248:46 0.000602114 -22 *248:42 0.000654521 -23 *248:38 0.000751725 -24 *248:26 0.00176342 -25 *248:5 0.00253119 -26 *248:4 0.00201205 -27 *923:A1 *923:A2 0.000265176 -28 *929:A1 *929:A2 8.48982e-05 -29 *1030:A *1030:B 0.000116502 -30 *1030:A *249:8 0.0001195 -31 *1065:A0 *1111:CLK 0.000250243 -32 *1087:A1 *1074:S 0.000111802 -33 *1087:A1 *1087:S 0.000110458 -34 *1087:A1 *249:52 9.07294e-05 -35 *1096:D *264:8 2.08133e-05 -36 *248:5 *1115:D 7.18872e-05 -37 *248:5 *1115:CLK 8.61472e-05 -38 *248:5 *249:8 0.000136683 -39 *248:5 *296:469 1.4091e-06 -40 *248:26 *1116:D 9.63981e-05 -41 *248:26 *266:8 0.000267793 -42 *248:26 *296:353 0.000195881 -43 *248:26 *296:452 0.000197276 -44 *248:26 *296:458 4.95938e-05 -45 *248:26 *296:469 5.56549e-06 -46 *248:46 *886:A 1.03594e-05 -47 *248:46 *268:19 0.00023155 -48 *248:65 *249:38 0 -49 *248:65 *249:47 0 -50 *248:69 *893:A2 1.92172e-05 -51 *248:69 *249:77 5.97576e-05 -52 *248:74 *923:A2 4.67463e-06 -53 *248:74 *929:A2 2.81678e-06 -54 *248:74 *249:77 0.000125359 -55 *248:92 *249:77 5.4704e-05 -56 *248:92 *268:93 4.0786e-05 -57 *248:125 *886:A 4.19841e-05 -58 *248:125 *887:B_N 6.08467e-05 -59 *892:B1 *1096:D 2.08133e-05 -60 *892:B1 *248:38 0.000798219 -61 *892:B1 *248:42 0.000359621 -62 *892:B1 *248:125 0.000107496 -63 *896:A1 *1096:D 0.000204605 -64 *896:B1 *248:46 0 -65 *902:A *248:65 0.00013491 -66 *902:A *248:69 0.000111722 -67 *910:A1 *1069:A1 3.30446e-05 -68 *910:A1 *248:52 0.000160047 -69 *910:A1 *248:65 5.46384e-06 -70 *1057:A0 *248:46 3.00174e-06 -71 *1058:A1 *248:65 0 -72 *1061:A1 *248:74 0 -73 *1061:A1 *248:92 0 -74 *1061:S *1086:A1 0 -75 *1065:S *1065:A0 4.14089e-05 -76 *1070:A0 *248:52 0.000352401 -77 *1086:A0 *1086:A1 7.25549e-05 -78 *1086:S *1086:A1 8.55471e-05 -79 *1087:A0 *1086:A1 0.000176187 -80 *1095:D *248:46 0 -81 *1098:CLK *1096:D 0 -82 *1142:D *1069:A1 3.17869e-05 -83 *1144:CLK *248:26 6.3657e-05 -84 *1145:CLK *1096:D 0.00016123 -85 *1145:CLK *248:46 4.80787e-05 -86 *1154:A *248:46 2.30367e-05 -87 *1154:A *248:65 5.04829e-06 -88 *1157:A *248:46 0.000160047 -89 *4:7 *248:92 0 -90 *4:11 *248:65 0.000278641 -91 *4:11 *248:69 1.5613e-05 -92 *8:7 *1065:A0 0 -93 *108:5 *248:69 6.08467e-05 -94 *109:27 *923:A1 0.000265176 -95 *115:10 *1069:A1 0.000285737 -96 *115:10 *248:65 0.000165414 -97 *214:8 *248:46 5.46384e-06 -98 *217:7 *248:65 0.000216467 -99 *220:16 *891:A1 6.56672e-05 -100 *220:79 *891:A1 0.000248101 -101 *220:79 *248:26 0.00022057 -102 *247:60 *248:46 0 -103 *247:62 *248:46 0.00012936 -104 *247:62 *248:52 9.54357e-06 -105 *247:68 *248:52 3.46213e-05 -106 *247:76 *929:A1 6.36477e-05 -107 *247:91 *248:74 0 +1 *1199:A 0.000295625 +2 *1087:A1 0 +3 *977:A1 1.90278e-05 +4 *1032:B1 7.87522e-05 +5 *1031:B 9.37535e-05 +6 *957:A1 6.34313e-05 +7 *1126:Q 1.09091e-05 +8 *235:52 0.000253408 +9 *235:50 0.00111442 +10 *235:41 0.0011191 +11 *235:40 0.000370566 +12 *235:28 0.00106371 +13 *235:15 0.00184742 +14 *235:7 0.00141964 +15 *957:A1 *309:154 0.000120544 +16 *1031:B *1032:A2 0.000466373 +17 *1031:B *313:22 3.14978e-05 +18 *1031:B *313:24 0.000193707 +19 *1199:A *1162:SET_B 6.83043e-05 +20 *1199:A *262:8 1.85511e-05 +21 *1199:A *309:448 0 +22 *1199:A *317:15 0.000667288 +23 *1199:A *325:11 0.000119938 +24 *235:7 *255:69 6.3657e-05 +25 *235:7 *309:384 6.3657e-05 +26 *235:15 *1122:D 4.78554e-05 +27 *235:15 *1124:CLK 0 +28 *235:15 *254:8 0.000116986 +29 *235:15 *255:69 0 +30 *235:15 *309:221 1.75625e-05 +31 *235:15 *309:234 5.82465e-05 +32 *235:15 *317:15 0.000148144 +33 *235:28 *309:154 7.15574e-05 +34 *235:28 *309:212 0.000118166 +35 *235:50 *1032:A2 0 +36 *235:52 *1032:A2 0.000113968 +37 *235:52 *313:22 5.99527e-05 +38 *953:B1 *235:15 0 +39 *963:A1 *235:50 0.000114584 +40 *963:B1_N *235:50 6.50586e-05 +41 *977:A2 *977:A1 1.05106e-05 +42 *977:B1 *235:50 0.000254532 +43 *977:B1 *235:52 4.17605e-05 +44 *985:A *235:28 0.000269504 +45 *985:B *235:28 1.43848e-05 +46 *998:A2 *235:15 0.000114584 +47 *998:B1 *235:15 1.37189e-05 +48 *1025:B1 *235:28 0.000701281 +49 *1033:B *1032:B1 8.28203e-05 +50 *1082:A0 *1031:B 2.07365e-05 +51 *1093:S *235:50 4.56667e-05 +52 *1094:A0 *235:50 6.50586e-05 +53 *1141:D *235:28 0.000681402 +54 *1164:D *1199:A 1.41976e-05 +55 *1197:A *235:52 2.32625e-05 +56 *1198:A *957:A1 6.50023e-06 +57 *1198:A *235:28 0.000173569 +58 *19:40 *235:50 0.000179042 +59 *19:52 *235:50 7.16893e-05 +60 *48:25 *235:15 4.44214e-05 +61 *48:43 *235:15 0.000108506 +62 *176:16 *957:A1 7.86825e-06 +63 *179:11 *235:28 0.000485826 +64 *179:11 *235:50 0.000268366 +65 *179:16 *235:50 0.000213676 +66 *187:12 *1032:B1 0.000189666 +67 *188:5 *235:28 2.69811e-05 +68 *199:11 *235:15 1.92172e-05 +69 *231:48 *235:28 3.31733e-05 +70 *231:57 *235:28 0 +71 *233:26 *235:15 0.0002646 +72 *233:44 *235:15 8.36074e-05 +73 *234:17 *235:15 0.00014642 +74 *234:19 *235:15 9.97706e-05 +75 *234:33 *235:15 0.000113968 +76 *234:45 *957:A1 6.96846e-05 +77 *234:45 *235:28 4.91804e-05 +78 *234:45 *235:40 0.000414767 +79 *234:45 *235:50 1.19721e-05 +80 *234:47 *235:50 0.000130076 +81 *234:47 *235:52 2.99287e-05 *RES -1 *1115:Q *248:4 9.24915 -2 *248:4 *248:5 21.2437 -3 *248:5 *248:26 33.6119 -4 *248:26 *891:A1 20.0512 -5 *248:26 *248:38 13.5424 -6 *248:38 *248:42 8.55102 -7 *248:42 *248:46 15.2295 -8 *248:46 *248:52 12.4574 -9 *248:52 *1069:A1 19.3184 -10 *248:52 *248:65 15.811 -11 *248:65 *248:69 7.99641 -12 *248:69 *248:74 3.98154 -13 *248:74 *929:A1 18.3808 -14 *248:74 *923:A1 16.691 -15 *248:69 *248:92 3.493 -16 *248:92 *1087:A1 16.1364 -17 *248:92 *1086:A1 20.7627 -18 *248:65 *893:A1 9.24915 -19 *248:46 *1070:A1 9.24915 -20 *248:42 *248:125 7.35821 -21 *248:125 *1096:D 30.0378 -22 *248:125 *886:B_N 9.24915 -23 *248:38 *887:A 9.24915 -24 *248:5 *1030:A 21.7744 -25 *248:4 *1065:A0 34.232 +1 *1126:Q *235:7 14.4725 +2 *235:7 *235:15 34.2621 +3 *235:15 *235:28 42.7588 +4 *235:28 *957:A1 15.9964 +5 *235:28 *235:40 18.3548 +6 *235:40 *235:41 127.479 +7 *235:41 *235:50 32.3737 +8 *235:50 *235:52 3.49641 +9 *235:52 *1031:B 14.964 +10 *235:52 *1032:B1 21.7421 +11 *235:50 *977:A1 9.82786 +12 *235:15 *1087:A1 9.24915 +13 *235:7 *1199:A 25.6148 *END -*D_NET *249 0.0183344 +*D_NET *236 0.00792985 *CONN -*I *1030:B I *D sky130_fd_sc_hd__nor2_1 -*I *1088:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *1066:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *891:A2 I *D sky130_fd_sc_hd__o21a_1 -*I *1067:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *889:B I *D sky130_fd_sc_hd__nor2_1 -*I *1097:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *893:A2 I *D sky130_fd_sc_hd__o21ai_1 -*I *929:A2 I *D sky130_fd_sc_hd__o211ai_4 -*I *923:A2 I *D sky130_fd_sc_hd__o211a_1 -*I *1073:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1074:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *890:B I *D sky130_fd_sc_hd__and2_1 -*I *1116:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *986:C I *D sky130_fd_sc_hd__nor3_1 +*I *1012:C I *D sky130_fd_sc_hd__nand3_1 +*I *1021:C_N I *D sky130_fd_sc_hd__nor3b_1 +*I *1043:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1044:B I *D sky130_fd_sc_hd__xnor2_1 +*I *990:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1138:Q O *D sky130_fd_sc_hd__dfstp_2 *CAP -1 *1030:B 0.000107904 -2 *1088:A0 0.000128679 -3 *1066:A1 0 -4 *891:A2 3.61087e-05 -5 *1067:A1 0.000148206 -6 *889:B 5.25986e-05 -7 *1097:D 0 -8 *893:A2 1.4552e-05 -9 *929:A2 0.0001167 -10 *923:A2 0.000169154 -11 *1073:A1 0.000105557 -12 *1074:A1 3.15114e-05 -13 *890:B 0 -14 *1116:Q 0.000333397 -15 *249:77 0.000421711 -16 *249:73 0.000263025 -17 *249:52 0.000291005 -18 *249:50 0.000438655 -19 *249:48 0.000570758 -20 *249:47 0.000547699 -21 *249:38 0.00119582 -22 *249:33 0.00158955 -23 *249:30 0.000528451 -24 *249:25 0.000914183 -25 *249:12 0.00122411 -26 *249:8 0.000772924 -27 *929:A2 *268:93 0.000207694 -28 *1067:A1 *1048:A 4.89392e-05 -29 *1067:A1 *1067:S 0.00024932 -30 *249:8 *1116:RESET_B 1.92368e-05 -31 *249:8 *296:469 5.04829e-06 -32 *249:8 *296:495 0.000145533 -33 *249:12 *253:10 0.000142393 -34 *249:38 *1047:B 0.000138483 -35 *249:47 *890:A 8.62787e-05 -36 *249:48 *889:A 6.27782e-05 -37 *249:48 *265:7 0.000112361 -38 *249:50 *1087:S 7.92757e-06 -39 *249:52 *1074:S 6.25404e-05 -40 *249:73 *268:93 4.56549e-05 -41 *249:77 *268:93 0.000204562 -42 *882:A0 *1088:A0 0.000130315 -43 *882:A0 *249:25 0.000145936 -44 *882:S *249:25 0.000151947 -45 *883:A *249:25 0.000314858 -46 *892:A1 *889:B 2.13488e-05 -47 *892:A1 *249:48 5.04829e-06 -48 *895:B *923:A2 8.70622e-06 -49 *905:B *249:30 1.36815e-05 -50 *906:A2 *1067:A1 0.000157441 -51 *908:A1 *249:38 7.60183e-05 -52 *923:A1 *923:A2 0.000265176 -53 *926:A *249:73 0.000182044 -54 *929:A1 *929:A2 8.48982e-05 -55 *937:B *1073:A1 7.26748e-05 -56 *937:B *1074:A1 6.27718e-05 -57 *937:B *249:52 0.000263116 -58 *946:B1 *249:12 8.72115e-06 -59 *948:A *249:12 0.000118041 -60 *948:B *249:12 0 -61 *1030:A *1030:B 0.000116502 -62 *1030:A *249:8 0.0001195 -63 *1058:A0 *249:38 2.77625e-06 -64 *1058:A1 *249:38 2.54688e-05 -65 *1061:S *1073:A1 8.96342e-05 -66 *1061:S *1074:A1 0.000112367 -67 *1061:S *249:52 4.76794e-05 -68 *1087:A1 *249:52 9.07294e-05 -69 *1091:S *1030:B 7.16754e-05 -70 *1091:S *249:12 4.5726e-05 -71 *1097:CLK *249:48 7.26929e-06 -72 *1097:CLK *249:50 3.35824e-05 -73 *1098:CLK *249:38 0.000299154 -74 *1098:CLK *249:47 0.000242575 -75 *1147:D *249:25 5.8767e-05 -76 *4:7 *1073:A1 8.72115e-06 -77 *4:11 *893:A2 6.08467e-05 -78 *4:11 *249:77 0.000160617 -79 *18:43 *249:38 0.000358496 -80 *44:5 *1088:A0 0.00026012 -81 *45:7 *923:A2 0.000164044 -82 *46:24 *1073:A1 2.41274e-06 -83 *47:5 *249:25 6.97525e-05 -84 *47:15 *249:25 1.4106e-05 -85 *47:15 *249:30 4.17972e-05 -86 *47:15 *249:33 0.000479647 -87 *47:15 *249:38 0.000558978 -88 *109:27 *923:A2 4.38138e-05 -89 *115:61 *891:A2 6.96979e-05 -90 *115:61 *249:30 0.000134613 -91 *139:18 *249:12 0.0001195 -92 *214:8 *249:38 2.16355e-05 -93 *219:34 *1067:A1 0.000274479 -94 *220:16 *891:A2 5.36381e-05 -95 *220:16 *249:30 4.70559e-05 -96 *243:66 *249:12 0 -97 *248:5 *249:8 0.000136683 -98 *248:65 *249:38 0 -99 *248:65 *249:47 0 -100 *248:69 *893:A2 1.92172e-05 -101 *248:69 *249:77 5.97576e-05 -102 *248:74 *923:A2 4.67463e-06 -103 *248:74 *929:A2 2.81678e-06 -104 *248:74 *249:77 0.000125359 -105 *248:92 *249:77 5.4704e-05 +1 *986:C 7.84606e-06 +2 *1012:C 0.00105711 +3 *1021:C_N 0.000164658 +4 *1043:A 0 +5 *1044:B 0.000257816 +6 *990:A1 0 +7 *1138:Q 0.000229161 +8 *236:36 0.00133344 +9 *236:33 0.000217336 +10 *236:27 0.000178215 +11 *236:11 0.000567378 +12 *986:C *237:41 6.50727e-05 +13 *1012:C *1096:A1 0.000172744 +14 *1012:C *238:5 1.74989e-05 +15 *1012:C *238:9 3.75692e-05 +16 *1021:C_N *1021:A 0.000217771 +17 *1021:C_N *1021:B 6.36477e-05 +18 *1044:B *1044:A 0.000134497 +19 *1044:B *272:15 0.000256146 +20 *236:11 *988:S 0.000252885 +21 *236:11 *990:S 2.53191e-05 +22 *236:11 *237:13 0.000324714 +23 *236:11 *237:25 5.57138e-05 +24 *236:27 *990:S 1.88422e-05 +25 *236:27 *237:25 0.000377259 +26 *236:33 *237:25 0.000271602 +27 *236:33 *237:41 0.000311512 +28 *236:36 *1096:A1 9.99386e-06 +29 *986:A *986:C 4.95892e-05 +30 *986:A *236:33 0.000112367 +31 *987:B *1012:C 4.47123e-05 +32 *987:B *236:36 0.000111608 +33 *988:A0 *1044:B 3.00073e-05 +34 *988:A0 *236:11 2.29454e-05 +35 *989:A *236:11 7.621e-05 +36 *990:A0 *1044:B 1.07248e-05 +37 *991:A *236:11 7.93242e-05 +38 *1095:A0 *236:27 4.25952e-05 +39 *1095:S *1044:B 0.000200236 +40 *1096:A0 *1044:B 4.8345e-05 +41 *1140:D *1012:C 0.000213516 +42 *1190:A *236:33 0.000261925 +43 *228:8 *1012:C 0 *RES -1 *1116:Q *249:8 23.9268 -2 *249:8 *249:12 14.2218 -3 *249:12 *249:25 21.6778 -4 *249:25 *249:30 8.7192 -5 *249:30 *249:33 10.2148 -6 *249:33 *249:38 25.767 -7 *249:38 *890:B 13.7491 -8 *249:38 *249:47 8.82351 -9 *249:47 *249:48 9.0967 -10 *249:48 *249:50 3.99676 -11 *249:50 *249:52 5.71483 -12 *249:52 *1074:A1 10.5271 -13 *249:52 *1073:A1 20.8045 -14 *249:50 *249:73 12.493 -15 *249:73 *249:77 10.2409 -16 *249:77 *923:A2 19.6904 -17 *249:77 *929:A2 17.6574 -18 *249:73 *893:A2 9.97254 -19 *249:48 *1097:D 9.24915 -20 *249:47 *889:B 10.5513 -21 *249:33 *1067:A1 25.2599 -22 *249:30 *891:A2 15.1659 -23 *249:25 *1066:A1 9.24915 -24 *249:12 *1088:A0 14.0477 -25 *249:8 *1030:B 17.2697 +1 *1138:Q *236:11 20.1724 +2 *236:11 *990:A1 9.24915 +3 *236:11 *1044:B 27.5907 +4 *236:11 *236:27 4.05102 +5 *236:27 *1043:A 9.24915 +6 *236:27 *236:33 6.26943 +7 *236:33 *236:36 7.37013 +8 *236:36 *1021:C_N 19.1045 +9 *236:36 *1012:C 31.3606 +10 *236:33 *986:C 9.97254 *END -*D_NET *250 0.00408215 +*D_NET *237 0.00520416 *CONN -*I *1050:A I *D sky130_fd_sc_hd__clkinv_2 -*I *884:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1051:B I *D sky130_fd_sc_hd__xnor2_1 -*I *943:C_N I *D sky130_fd_sc_hd__nor3b_2 -*I *1054:C I *D sky130_fd_sc_hd__nor3_1 -*I *1146:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *1010:B I *D sky130_fd_sc_hd__nor2_1 +*I *986:B I *D sky130_fd_sc_hd__nor3_1 +*I *1021:B I *D sky130_fd_sc_hd__nor3b_1 +*I *1044:A I *D sky130_fd_sc_hd__xnor2_1 +*I *988:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1139:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *1050:A 0.00015409 -2 *884:A1 0 -3 *1051:B 0 -4 *943:C_N 1.8176e-05 -5 *1054:C 0.000134157 -6 *1146:Q 0 -7 *250:13 0.000188839 -8 *250:11 0.000277889 -9 *250:10 0.000576221 -10 *250:4 0.000488928 -11 *1054:C *943:A 2.91008e-06 -12 *1054:C *943:B 0.000211546 -13 *1054:C *1051:A 7.41781e-05 -14 *1054:C *251:7 9.9774e-06 -15 *1054:C *251:23 1.17054e-05 -16 *250:11 *1051:A 2.99287e-05 -17 *250:13 *1051:A 9.25026e-05 -18 *884:A0 *250:11 1.41181e-05 -19 *885:A *250:11 0.000114271 -20 *1146:D *250:10 0 -21 *247:10 *250:10 2.81678e-06 -22 *247:19 *1054:C 0.000606783 -23 *247:19 *250:11 0.000893419 -24 *247:19 *250:13 0.000179699 +1 *1010:B 0.000291096 +2 *986:B 0 +3 *1021:B 0.000193418 +4 *1044:A 0.00018808 +5 *988:A1 0 +6 *1139:Q 7.29075e-05 +7 *237:41 0.000459734 +8 *237:25 0.000628693 +9 *237:13 0.00048965 +10 *237:8 0.00010784 +11 *1010:B *365:DIODE 0.000232975 +12 *1010:B *310:20 6.46424e-05 +13 *1021:B *1021:A 2.29454e-05 +14 *1021:B *1096:A1 2.42295e-05 +15 *1044:A *309:37 0.00023866 +16 *986:A *1010:B 0.000111352 +17 *986:A *237:41 1.60442e-05 +18 *986:C *237:41 6.50727e-05 +19 *988:A0 *1044:A 7.50872e-05 +20 *988:A0 *237:13 0.00031772 +21 *988:A0 *237:25 6.50727e-05 +22 *1021:C_N *1021:B 6.36477e-05 +23 *1044:B *1044:A 0.000134497 +24 *236:11 *237:13 0.000324714 +25 *236:11 *237:25 5.57138e-05 +26 *236:27 *237:25 0.000377259 +27 *236:33 *237:25 0.000271602 +28 *236:33 *237:41 0.000311512 *RES -1 *1146:Q *250:4 9.24915 -2 *250:4 *250:10 14.4664 -3 *250:10 *250:11 10.1517 -4 *250:11 *250:13 2.38721 -5 *250:13 *1054:C 17.0859 -6 *250:13 *943:C_N 9.82786 -7 *250:11 *1051:B 9.24915 -8 *250:10 *884:A1 9.24915 -9 *250:4 *1050:A 12.203 +1 *1139:Q *237:8 20.0811 +2 *237:8 *988:A1 9.24915 +3 *237:8 *237:13 3.49641 +4 *237:13 *1044:A 25.3723 +5 *237:13 *237:25 8.48785 +6 *237:25 *1021:B 22.9077 +7 *237:25 *237:41 5.18434 +8 *237:41 *986:B 9.24915 +9 *237:41 *1010:B 18.8462 *END -*D_NET *251 0.00196753 +*D_NET *238 0.00319938 *CONN -*I *1051:A I *D sky130_fd_sc_hd__xnor2_1 -*I *943:A I *D sky130_fd_sc_hd__nor3b_2 -*I *1054:A I *D sky130_fd_sc_hd__nor3_1 -*I *882:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1147:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *1010:A I *D sky130_fd_sc_hd__nor2_1 +*I *987:A I *D sky130_fd_sc_hd__xor2_1 +*I *1021:A I *D sky130_fd_sc_hd__nor3b_1 +*I *1140:Q O *D sky130_fd_sc_hd__dfrtp_1 *CAP -1 *1051:A 0.00026299 -2 *943:A 1.47215e-05 -3 *1054:A 0 -4 *882:A1 0.000103386 -5 *1147:Q 4.26663e-05 -6 *251:23 0.000379491 -7 *251:7 0.000247832 -8 *943:A *943:B 4.76161e-06 -9 *1051:A *943:B 4.61271e-05 -10 *251:23 *943:B 4.89392e-05 -11 *1054:C *943:A 2.91008e-06 -12 *1054:C *1051:A 7.41781e-05 -13 *1054:C *251:7 9.9774e-06 -14 *1054:C *251:23 1.17054e-05 -15 *1088:A1 *1051:A 0.000112361 -16 *1091:A1 *882:A1 6.4309e-05 -17 *139:8 *1051:A 1.50924e-05 -18 *139:8 *251:23 0.000114271 -19 *220:36 *882:A1 6.08467e-05 -20 *220:40 *882:A1 2.86829e-05 -21 *247:19 *251:23 6.78364e-06 -22 *247:24 *882:A1 5.10339e-05 -23 *247:24 *251:7 0.000114594 -24 *247:24 *251:23 2.74378e-05 -25 *250:11 *1051:A 2.99287e-05 -26 *250:13 *1051:A 9.25026e-05 +1 *1010:A 0.000128224 +2 *987:A 0 +3 *1021:A 8.0555e-05 +4 *1140:Q 0.000189768 +5 *238:9 0.000876138 +6 *238:5 0.00111358 +7 *1010:A *309:639 0 +8 *1010:A *310:20 1.83992e-05 +9 *1021:A *1096:A1 0.000396579 +10 *238:9 *1096:A1 1.80887e-05 +11 *1012:A *1010:A 2.65831e-05 +12 *1012:C *238:5 1.74989e-05 +13 *1012:C *238:9 3.75692e-05 +14 *1021:B *1021:A 2.29454e-05 +15 *1021:C_N *1021:A 0.000217771 +16 *1140:D *238:9 5.56856e-05 *RES -1 *1147:Q *251:7 11.5158 -2 *251:7 *882:A1 21.9137 -3 *251:7 *1054:A 9.24915 -4 *251:7 *251:23 3.49641 -5 *251:23 *943:A 9.82786 -6 *251:23 *1051:A 17.2065 +1 *1140:Q *238:5 12.191 +2 *238:5 *238:9 12.2495 +3 *238:9 *1021:A 14.6023 +4 *238:9 *987:A 9.24915 +5 *238:5 *1010:A 21.2198 *END -*D_NET *252 0.00233239 +*D_NET *239 0.00293994 *CONN -*I *943:B I *D sky130_fd_sc_hd__nor3b_2 -*I *1055:A I *D sky130_fd_sc_hd__xor2_1 -*I *1148:Q O *D sky130_fd_sc_hd__dfrtp_1 -*CAP -1 *943:B 0.000202543 -2 *1055:A 0 -3 *1148:Q 0.000700642 -4 *252:8 0.000903185 -5 *252:8 *296:533 0.000162551 -6 *252:8 *296:544 1.83695e-05 -7 *943:A *943:B 4.76161e-06 -8 *1051:A *943:B 4.61271e-05 -9 *1054:C *943:B 0.000211546 -10 *1055:B *943:B 3.07208e-05 -11 *1148:D *943:B 0 -12 *1148:D *252:8 0 -13 *223:13 *252:8 3.00174e-06 -14 *243:66 *943:B 0 -15 *251:23 *943:B 4.89392e-05 -*RES -1 *1148:Q *252:8 26.1424 -2 *252:8 *1055:A 13.7491 -3 *252:8 *943:B 20.2081 -*END - -*D_NET *253 0.000880053 -*CONN -*I *945:A I *D sky130_fd_sc_hd__inv_2 -*I *1091:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1105:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1013:A I *D sky130_fd_sc_hd__xnor2_1 *I *1133:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *945:A 0 -2 *1091:A0 5.21495e-05 -3 *1133:Q 8.6886e-05 -4 *253:10 0.000139035 -5 *946:B1 *253:10 0.000136545 -6 *947:C *1091:A0 3.66984e-05 -7 *948:A *1091:A0 0.000107496 -8 *948:B *1091:A0 0.000112411 -9 *1091:A1 *1091:A0 6.64392e-05 -10 *249:12 *253:10 0.000142393 +1 *1105:A0 0.000180523 +2 *1013:A 0.00086211 +3 *1133:Q 0 +4 *239:4 0.00104263 +5 *1013:A *1133:SET_B 4.11245e-05 +6 *1013:A *309:171 4.69057e-05 +7 *1105:A0 *309:171 0.000283266 +8 *892:A *1013:A 3.00174e-06 +9 *892:B *1013:A 0.000165511 +10 *900:A1 *1013:A 1.00901e-05 +11 *1133:CLK *1013:A 9.80846e-05 +12 *1169:A *1013:A 0.000206696 *RES -1 *1133:Q *253:10 21.3577 -2 *253:10 *1091:A0 12.191 -3 *253:10 *945:A 9.24915 +1 *1133:Q *239:4 9.24915 +2 *239:4 *1013:A 34.2824 +3 *239:4 *1105:A0 14.5902 *END -*D_NET *254 0.00549201 +*D_NET *240 0.0045299 *CONN -*I *928:B I *D sky130_fd_sc_hd__nand2_1 -*I *1043:B I *D sky130_fd_sc_hd__xnor2_1 -*I *925:C_N I *D sky130_fd_sc_hd__nor3b_2 -*I *1044:B I *D sky130_fd_sc_hd__nor2_1 -*I *940:A I *D sky130_fd_sc_hd__inv_2 +*I *1036:B I *D sky130_fd_sc_hd__xnor2_1 +*I *982:B I *D sky130_fd_sc_hd__nand2_1 +*I *979:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *1037:B I *D sky130_fd_sc_hd__nor2_1 +*I *1007:A I *D sky130_fd_sc_hd__inv_2 *I *1134:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *928:B 0.000179663 -2 *1043:B 0.000118656 -3 *925:C_N 0 -4 *1044:B 1.09622e-05 -5 *940:A 0.000150124 -6 *1134:Q 5.05562e-05 -7 *254:42 0.000487342 -8 *254:28 3.22456e-05 -9 *254:26 0.000956002 -10 *254:7 0.000946375 -11 *928:B *927:A 4.38162e-05 -12 *928:B *927:B 9.54357e-06 -13 *928:B *256:21 4.66492e-05 -14 *1043:B *361:DIODE 6.50727e-05 -15 *1044:B *255:13 0.000114271 -16 *254:26 *1136:RESET_B 5.1674e-06 -17 *254:26 *255:5 6.43468e-05 -18 *254:26 *255:13 4.59344e-05 -19 *254:26 *296:8 5.18062e-06 -20 *254:26 *296:10 5.1674e-06 -21 *254:42 *255:13 5.23947e-05 -22 *254:42 *255:33 7.92757e-06 -23 *254:42 *256:21 0.000107496 -24 *928:A *928:B 0.000114584 -25 *930:A2 *254:26 4.18215e-06 -26 *933:A *940:A 9.54356e-05 -27 *933:A *254:26 4.39919e-05 -28 *935:A *254:26 0.000341612 -29 *941:A *940:A 4.3937e-05 -30 *1073:A0 *1043:B 0.000227188 -31 *1134:D *254:7 0.000109421 -32 *1135:D *254:26 5.26719e-05 -33 *4:7 *1043:B 0.000227188 -34 *58:10 *940:A 0.000160617 -35 *131:17 *940:A 0.000137335 -36 *131:17 *254:26 0.000428952 +1 *1036:B 0 +2 *982:B 0.000171736 +3 *979:C_N 0 +4 *1037:B 6.36082e-05 +5 *1007:A 0 +6 *1134:Q 0.000400637 +7 *240:32 0.000325962 +8 *240:21 0.000638977 +9 *240:19 0.00111886 +10 *240:9 0.00109836 +11 *982:B *254:25 2.01874e-05 +12 *240:9 *1062:A 0 +13 *393:DIODE *240:9 0 +14 *982:A *982:B 6.50727e-05 +15 *984:A1 *982:B 6.50727e-05 +16 *1008:A *240:19 1.92336e-05 +17 *1008:B *240:19 0.000230786 +18 *1038:B *1037:B 1.61631e-05 +19 *1087:A0 *982:B 0.000128064 +20 *1087:A0 *1037:B 2.41483e-05 +21 *1087:A0 *240:32 0.000143032 +22 *1177:A *240:9 0 +23 *231:64 *982:B 0 *RES -1 *1134:Q *254:7 15.0271 -2 *254:7 *940:A 18.823 -3 *254:7 *254:26 24.8316 -4 *254:26 *254:28 0.578717 -5 *254:28 *1044:B 10.5271 -6 *254:28 *925:C_N 9.24915 -7 *254:26 *254:42 4.62973 -8 *254:42 *1043:B 23.2961 -9 *254:42 *928:B 22.7471 +1 *1134:Q *240:9 27.7301 +2 *240:9 *1007:A 9.24915 +3 *240:9 *240:19 16.7104 +4 *240:19 *240:21 10.1517 +5 *240:21 *1037:B 11.1059 +6 *240:21 *240:32 7.993 +7 *240:32 *979:C_N 13.7491 +8 *240:32 *982:B 18.823 +9 *240:19 *1036:B 9.24915 *END -*D_NET *255 0.00271674 +*D_NET *241 0.00483698 *CONN -*I *927:B I *D sky130_fd_sc_hd__nor2_1 -*I *925:B I *D sky130_fd_sc_hd__nor3b_2 -*I *1043:A I *D sky130_fd_sc_hd__xnor2_1 -*I *938:C I *D sky130_fd_sc_hd__nand3_1 -*I *1044:A I *D sky130_fd_sc_hd__nor2_1 +*I *1036:A I *D sky130_fd_sc_hd__xnor2_1 +*I *979:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1037:A I *D sky130_fd_sc_hd__nor2_1 +*I *981:B I *D sky130_fd_sc_hd__nor2_1 +*I *1005:C I *D sky130_fd_sc_hd__nand3_1 *I *1135:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *927:B 0.000135885 -2 *925:B 1.59041e-05 -3 *1043:A 3.37983e-05 -4 *938:C 0.000105214 -5 *1044:A 2.1308e-05 -6 *1135:Q 5.14533e-05 -7 *255:33 0.0002124 -8 *255:18 0.000228084 -9 *255:13 0.000310114 -10 *255:5 0.000233193 -11 *925:B *256:21 4.76161e-06 -12 *927:B *927:A 0.000113254 -13 *927:B *256:21 3.31745e-05 -14 *938:C *361:DIODE 7.09148e-05 -15 *938:C *256:16 0.000511218 -16 *1043:A *361:DIODE 1.41291e-05 -17 *1043:A *256:16 6.27782e-05 -18 *255:18 *256:16 9.43419e-05 -19 *255:33 *256:21 2.16355e-05 -20 *928:A *927:B 3.28388e-05 -21 *928:B *927:B 9.54357e-06 -22 *939:A *938:C 2.57986e-05 -23 *939:B *938:C 9.75148e-06 -24 *1044:B *255:13 0.000114271 -25 *1135:D *938:C 1.37189e-05 -26 *4:7 *255:18 5.80109e-06 -27 *46:8 *927:B 6.08467e-05 -28 *254:26 *255:5 6.43468e-05 -29 *254:26 *255:13 4.59344e-05 -30 *254:42 *255:13 5.23947e-05 -31 *254:42 *255:33 7.92757e-06 +1 *1036:A 0.000121966 +2 *979:B 0 +3 *1037:A 0.000186333 +4 *981:B 0.000214689 +5 *1005:C 0 +6 *1135:Q 0.000521205 +7 *241:27 0.000401022 +8 *241:25 0.000123898 +9 *241:13 0.000133188 +10 *241:10 7.33128e-05 +11 *241:9 0.000456888 +12 *241:5 0.00103604 +13 *981:B *979:A 7.27836e-05 +14 *241:5 *360:DIODE 8.20787e-05 +15 *241:5 *1135:SET_B 0.000110568 +16 *241:25 *979:A 0 +17 *1005:A *241:25 8.3746e-05 +18 *1005:B *241:25 1.49001e-05 +19 *1006:A *241:9 6.78364e-06 +20 *1006:A *241:25 0 +21 *1006:B *241:9 9.81468e-05 +22 *1006:B *241:13 6.65788e-05 +23 *1006:B *241:25 2.41483e-05 +24 *1083:S *241:5 0.000353438 +25 *1085:A0 *1036:A 1.79672e-05 +26 *1087:A0 *1037:A 2.63056e-05 +27 *1135:D *241:5 2.65831e-05 +28 *1135:D *241:9 0.000168269 +29 *231:64 *981:B 3.35379e-05 +30 *231:64 *241:13 2.15341e-05 +31 *231:64 *241:25 0.000361075 *RES -1 *1135:Q *255:5 10.8044 -2 *255:5 *1044:A 9.82786 -3 *255:5 *255:13 4.60562 -4 *255:13 *255:18 11.2472 -5 *255:18 *938:C 14.964 -6 *255:18 *1043:A 10.5513 -7 *255:13 *255:33 1.278 -8 *255:33 *925:B 9.82786 -9 *255:33 *927:B 13.3243 +1 *1135:Q *241:5 18.5689 +2 *241:5 *241:9 16.0732 +3 *241:9 *241:10 81.1229 +4 *241:10 *241:13 9.97254 +5 *241:13 *1005:C 9.24915 +6 *241:13 *241:25 5.93185 +7 *241:25 *241:27 4.5 +8 *241:27 *981:B 17.9107 +9 *241:27 *1037:A 17.6574 +10 *241:25 *979:B 9.24915 +11 *241:5 *1036:A 20.9116 *END -*D_NET *256 0.00400742 +*D_NET *242 0.00206917 *CONN -*I *1045:A I *D sky130_fd_sc_hd__xor2_1 -*I *927:A I *D sky130_fd_sc_hd__nor2_1 -*I *925:A I *D sky130_fd_sc_hd__nor3b_2 -*I *934:C I *D sky130_fd_sc_hd__nand3_1 +*I *981:A I *D sky130_fd_sc_hd__nor2_1 +*I *979:A I *D sky130_fd_sc_hd__nor3b_2 +*I *1038:A I *D sky130_fd_sc_hd__xor2_1 +*I *1001:C I *D sky130_fd_sc_hd__nand3_1 *I *1136:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *1045:A 0.000178069 -2 *927:A 1.83961e-05 -3 *925:A 0 -4 *934:C 2.73955e-05 -5 *1136:Q 0.000302241 -6 *256:21 8.93293e-05 -7 *256:16 0.000816386 -8 *256:5 0.000897021 -9 *1045:A *296:388 7.19887e-05 -10 *925:B *256:21 4.76161e-06 -11 *927:B *927:A 0.000113254 -12 *927:B *256:21 3.31745e-05 -13 *928:B *927:A 4.38162e-05 -14 *928:B *256:21 4.66492e-05 -15 *934:B *256:5 6.27782e-05 -16 *935:B *256:5 2.42273e-05 -17 *938:A *256:5 3.96508e-05 -18 *938:A *256:16 1.88422e-05 -19 *938:B *256:16 6.64392e-05 -20 *938:C *256:16 0.000511218 -21 *939:A *256:16 7.5301e-06 -22 *1043:A *256:16 6.27782e-05 -23 *1135:D *256:16 9.80242e-07 -24 *1136:D *256:5 2.23124e-05 -25 *4:7 *1045:A 0.000185026 -26 *4:7 *256:16 0.000139684 -27 *254:42 *256:21 0.000107496 -28 *255:18 *256:16 9.43419e-05 -29 *255:33 *256:21 2.16355e-05 +1 *981:A 0 +2 *979:A 7.46308e-05 +3 *1038:A 0.000189947 +4 *1001:C 9.73438e-05 +5 *1136:Q 0 +6 *242:23 0.00019415 +7 *242:8 0.0003805 +8 *242:4 0.000212729 +9 *981:B *979:A 7.27836e-05 +10 *1001:B *1001:C 0.000116755 +11 *1001:B *242:8 4.47713e-05 +12 *1002:A *1001:C 6.78596e-05 +13 *1005:A *979:A 0.00032688 +14 *1005:A *242:23 6.75302e-05 +15 *1005:B *242:8 1.07248e-05 +16 *1005:B *242:23 1.00981e-05 +17 *231:64 *979:A 8.94796e-05 +18 *231:64 *242:23 0.000112985 +19 *241:25 *979:A 0 *RES -1 *1136:Q *256:5 13.8548 -2 *256:5 *934:C 9.82786 -3 *256:5 *256:16 15.6503 -4 *256:16 *256:21 6.91132 -5 *256:21 *925:A 9.24915 -6 *256:21 *927:A 10.5271 -7 *256:16 *1045:A 19.0748 +1 *1136:Q *242:4 9.24915 +2 *242:4 *242:8 11.6625 +3 *242:8 *1001:C 12.191 +4 *242:8 *1038:A 13.8548 +5 *242:4 *242:23 4.34038 +6 *242:23 *979:A 15.4221 +7 *242:23 *981:A 9.24915 *END -*D_NET *257 0.00824762 +*D_NET *243 0.0057787 *CONN -*I *1048:B I *D sky130_fd_sc_hd__nor2_1 -*I *898:B I *D sky130_fd_sc_hd__nand2_1 -*I *1047:B I *D sky130_fd_sc_hd__xnor2_1 -*I *1046:A I *D sky130_fd_sc_hd__clkinv_2 -*I *909:C I *D sky130_fd_sc_hd__nand3_1 -*I *1141:Q O *D sky130_fd_sc_hd__dfrtp_2 +*I *1039:A I *D sky130_fd_sc_hd__clkinv_2 +*I *951:B I *D sky130_fd_sc_hd__nand2_1 +*I *966:B I *D sky130_fd_sc_hd__nand3_1 +*I *1040:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1041:B I *D sky130_fd_sc_hd__nor2_1 +*I *1145:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *1048:B 7.75889e-05 -2 *898:B 0.000133612 -3 *1047:B 0.000391445 -4 *1046:A 2.06324e-05 -5 *909:C 8.99924e-05 -6 *1141:Q 7.13481e-05 -7 *257:36 0.000807004 -8 *257:33 0.000834908 -9 *257:19 0.00125587 -10 *257:5 0.000921205 -11 *1047:B *905:C 0.000134149 -12 *257:19 *367:DIODE 1.43983e-05 -13 *257:19 *258:8 6.51313e-05 -14 *257:33 *367:DIODE 8.47748e-05 -15 *257:33 *1049:A 7.61406e-05 -16 *257:33 *1143:RESET_B 1.91114e-05 -17 *257:33 *259:5 0.000186192 -18 *257:36 *1048:A 0.000368052 -19 *905:B *898:B 0.000141123 -20 *906:B1_N *257:36 9.29919e-05 -21 *1049:B *1048:B 2.99287e-05 -22 *1057:A0 *257:19 7.34948e-06 -23 *1057:A0 *257:33 0.000176388 -24 *1058:A0 *1047:B 0.000160047 -25 *1067:A0 *257:36 7.26748e-05 -26 *1141:D *909:C 0 -27 *1142:D *257:19 9.70267e-05 -28 *1143:D *257:36 0.000122068 -29 *1151:A *898:B 2.76794e-05 -30 *1151:A *257:36 5.1674e-06 -31 *1156:A *257:33 7.20028e-05 -32 *1157:A *898:B 6.27718e-05 -33 *7:18 *257:33 2.32766e-05 -34 *47:15 *1047:B 0.000798125 -35 *111:11 *898:B 6.27718e-05 -36 *115:49 *1047:B 6.08467e-05 -37 *115:49 *257:36 2.8797e-05 -38 *214:8 *1047:B 6.27718e-05 -39 *217:10 *909:C 0.000141081 -40 *217:11 *257:5 5.56461e-05 -41 *217:11 *257:19 1.92172e-05 -42 *219:7 *257:33 1.4106e-05 -43 *219:14 *257:33 1.3347e-05 -44 *219:34 *257:33 0.000138373 -45 *219:34 *257:36 0 -46 *219:57 *1048:B 2.40615e-05 -47 *219:57 *257:33 1.00766e-05 -48 *220:16 *898:B 3.00174e-06 -49 *220:16 *257:36 3.48647e-05 -50 *249:38 *1047:B 0.000138483 +1 *1039:A 8.80101e-05 +2 *951:B 8.06738e-05 +3 *966:B 0.000349237 +4 *1040:B 2.47141e-05 +5 *1041:B 0.000254615 +6 *1145:Q 0 +7 *243:39 0.000661805 +8 *243:36 0.00061283 +9 *243:6 0.000340816 +10 *243:4 0.000354412 +11 *966:B *950:B 0.000223456 +12 *966:B *244:33 0 +13 *966:B *317:36 0 +14 *1039:A *309:151 4.62974e-05 +15 *1040:B *244:22 2.95757e-05 +16 *1040:B *244:33 2.1818e-05 +17 *1041:B *1040:A 6.08467e-05 +18 *1041:B *1041:A 0.000270981 +19 *1041:B *1092:A1 4.91225e-06 +20 *1041:B *254:59 0.000281159 +21 *1041:B *254:64 6.50727e-05 +22 *1041:B *254:73 0.000160381 +23 *1041:B *305:89 5.05252e-05 +24 *1041:B *305:93 3.31733e-05 +25 *243:6 *244:22 0.000165481 +26 *243:36 *244:22 6.50586e-05 +27 *243:36 *309:135 0.000143803 +28 *243:36 *309:151 4.41404e-05 +29 *951:A *966:B 7.02172e-06 +30 *965:B1_N *1040:B 3.92918e-05 +31 *965:B1_N *1041:B 7.02493e-05 +32 *965:B1_N *243:6 0.000169063 +33 *966:A *966:B 1.77537e-06 +34 *967:B1_N *966:B 9.89388e-06 +35 *1089:A0 *1039:A 9.06988e-05 +36 *1090:A0 *243:39 5.96936e-05 +37 *1092:A0 *1041:B 6.36477e-05 +38 *1145:D *243:39 0.000143032 +39 *1145:CLK *1039:A 4.16683e-05 +40 *19:40 *951:B 0 +41 *19:40 *966:B 1.36815e-05 +42 *19:52 *1041:B 6.92705e-05 +43 *49:16 *951:B 1.8099e-05 +44 *49:16 *243:39 3.69999e-05 +45 *172:8 *951:B 7.34948e-06 +46 *176:16 *966:B 3.0676e-05 +47 *192:14 *1041:B 0.000158357 +48 *233:68 *951:B 3.14613e-05 +49 *233:68 *966:B 0.000171273 +50 *233:68 *1041:B 0.000111708 +51 *233:68 *243:39 0 *RES -1 *1141:Q *257:5 10.5271 -2 *257:5 *909:C 20.9116 -3 *257:5 *257:19 14.6367 -4 *257:19 *1046:A 9.82786 -5 *257:19 *257:33 27.1109 -6 *257:33 *257:36 15.0523 -7 *257:36 *1047:B 29.1093 -8 *257:36 *898:B 17.9655 -9 *257:33 *1048:B 11.6605 +1 *1145:Q *243:4 9.24915 +2 *243:4 *243:6 7.57775 +3 *243:6 *1041:B 34.8722 +4 *243:6 *1040:B 14.7506 +5 *243:4 *243:36 8.48785 +6 *243:36 *243:39 10.4845 +7 *243:39 *966:B 29.3261 +8 *243:39 *951:B 15.8893 +9 *243:36 *1039:A 12.7697 *END -*D_NET *258 0.0069096 +*D_NET *244 0.0040104 *CONN -*I *1047:A I *D sky130_fd_sc_hd__xnor2_1 -*I *907:C I *D sky130_fd_sc_hd__nand3_1 -*I *1048:A I *D sky130_fd_sc_hd__nor2_1 -*I *897:B I *D sky130_fd_sc_hd__nor2_1 -*I *1142:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *950:B I *D sky130_fd_sc_hd__nor2_1 +*I *964:B I *D sky130_fd_sc_hd__nand3_1 +*I *1040:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1041:A I *D sky130_fd_sc_hd__nor2_1 +*I *1146:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *1047:A 3.75862e-05 -2 *907:C 0.000345891 -3 *1048:A 0.000294617 -4 *897:B 0 -5 *1142:Q 0.000156975 -6 *258:31 0.000515348 -7 *258:13 0.000574381 -8 *258:8 0.000568609 -9 *907:C *268:25 4.66492e-05 -10 *907:C *268:43 6.08467e-05 -11 *1047:A *268:25 0.000216467 -12 *258:8 *369:DIODE 0 -13 *906:A2 *1048:A 0.000186609 -14 *906:A2 *258:13 0.000751992 -15 *906:B1_N *1048:A 0.000109421 -16 *908:A1 *907:C 0.000232767 -17 *908:A2 *907:C 0.000110297 -18 *908:B1_N *907:C 0.000159917 -19 *1049:B *1048:A 0 -20 *1058:A0 *907:C 0.000205681 -21 *1058:A0 *1047:A 0.000250415 -22 *1058:A1 *907:C 2.80056e-05 -23 *1067:A0 *1048:A 2.30636e-05 -24 *1067:A1 *1048:A 4.89392e-05 -25 *1069:S *258:13 0.000753637 -26 *1098:CLK *258:8 0.000129263 -27 *1098:CLK *258:31 0.000163887 -28 *1143:CLK *1048:A 0.000187987 -29 *1150:A *258:13 6.53173e-05 -30 *18:31 *907:C 7.31592e-05 -31 *18:43 *907:C 1.37939e-05 -32 *18:43 *1047:A 1.88014e-05 -33 *115:49 *1048:A 7.56374e-05 -34 *115:49 *258:13 7.04558e-05 -35 *257:19 *258:8 6.51313e-05 -36 *257:36 *1048:A 0.000368052 +1 *950:B 0.00018592 +2 *964:B 6.52069e-05 +3 *1040:A 3.78853e-05 +4 *1041:A 0.000392841 +5 *1146:Q 0 +6 *244:33 0.000386716 +7 *244:22 0.000513795 +8 *244:4 0.00073316 +9 *950:B *950:A 2.79849e-05 +10 *964:B *950:A 1.43848e-05 +11 *1040:A *254:59 4.7372e-05 +12 *1041:A *983:B1 0 +13 *1041:A *309:135 4.31237e-05 +14 *244:22 *370:DIODE 0 +15 *244:22 *309:135 6.86864e-05 +16 *244:33 *317:36 8.92568e-06 +17 *951:A *950:B 7.35866e-05 +18 *964:C *950:B 0.000269504 +19 *964:C *964:B 1.51284e-05 +20 *965:A1 *1041:A 4.53353e-05 +21 *965:B1_N *244:22 9.2346e-06 +22 *965:B1_N *244:33 3.37319e-05 +23 *966:B *950:B 0.000223456 +24 *966:B *244:33 0 +25 *966:C *950:B 5.94675e-05 +26 *967:A2 *244:33 0 +27 *967:B1_N *244:33 0 +28 *1040:B *244:22 2.95757e-05 +29 *1040:B *244:33 2.1818e-05 +30 *1041:B *1040:A 6.08467e-05 +31 *1041:B *1041:A 0.000270981 +32 *1092:A0 *1041:A 7.29011e-05 +33 *19:52 *1041:A 5.17071e-05 +34 *233:68 *1040:A 1.65872e-05 +35 *233:68 *244:22 0 +36 *243:6 *244:22 0.000165481 +37 *243:36 *244:22 6.50586e-05 *RES -1 *1142:Q *258:8 17.5517 -2 *258:8 *258:13 18.558 -3 *258:13 *897:B 9.24915 -4 *258:13 *1048:A 29.6698 -5 *258:8 *258:31 7.993 -6 *258:31 *907:C 20.7029 -7 *258:31 *1047:A 12.191 +1 *1146:Q *244:4 9.24915 +2 *244:4 *1041:A 28.4818 +3 *244:4 *244:22 13.2912 +4 *244:22 *1040:A 15.0271 +5 *244:22 *244:33 7.57775 +6 *244:33 *964:B 10.9612 +7 *244:33 *950:B 16.1214 *END -*D_NET *259 0.00322032 +*D_NET *245 0.0027812 *CONN -*I *1049:A I *D sky130_fd_sc_hd__xor2_1 -*I *905:C I *D sky130_fd_sc_hd__nand3_1 -*I *897:A I *D sky130_fd_sc_hd__nor2_1 -*I *1143:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *962:B I *D sky130_fd_sc_hd__nand3_1 +*I *950:A I *D sky130_fd_sc_hd__nor2_1 +*I *1042:A I *D sky130_fd_sc_hd__xor2_1 +*I *1147:Q O *D sky130_fd_sc_hd__dfrtp_1 *CAP -1 *1049:A 0.000540027 -2 *905:C 0.000283187 -3 *897:A 0 -4 *1143:Q 0.00026856 -5 *259:8 0.000386426 -6 *259:5 0.000911826 -7 *1049:A *1143:RESET_B 0.000231227 -8 *898:A *905:C 3.93047e-05 -9 *1047:B *905:C 0.000134149 -10 *1057:A0 *259:8 0 -11 *1150:A *905:C 3.06627e-05 -12 *1150:A *259:8 0.00013262 -13 *257:33 *1049:A 7.61406e-05 -14 *257:33 *259:5 0.000186192 +1 *962:B 8.88481e-05 +2 *950:A 0.000233674 +3 *1042:A 9.94481e-05 +4 *1147:Q 0 +5 *245:8 0.000540573 +6 *245:4 0.000296299 +7 *950:A *305:84 0.00025183 +8 *950:A *305:89 0.000369399 +9 *962:B *1147:RESET_B 2.58757e-05 +10 *245:8 *1094:S 4.08072e-05 +11 *245:8 *1195:A 2.97579e-05 +12 *245:8 *317:36 9.98029e-06 +13 *950:B *950:A 2.79849e-05 +14 *951:A *950:A 6.1478e-06 +15 *962:A *962:B 4.03096e-05 +16 *963:B1_N *962:B 0.000211546 +17 *963:B1_N *245:8 0.000132627 +18 *964:B *950:A 1.43848e-05 +19 *964:C *950:A 5.95369e-05 +20 *966:A *950:A 0.000114594 +21 *966:C *950:A 3.15947e-05 +22 *983:C1 *1042:A 0 +23 *1093:A0 *245:8 0.000101148 +24 *1094:A0 *245:8 1.22289e-05 +25 *192:14 *1042:A 3.86121e-05 +26 *233:82 *950:A 3.99086e-06 *RES -1 *1143:Q *259:5 13.8548 -2 *259:5 *259:8 7.1625 -3 *259:8 *897:A 13.7491 -4 *259:8 *905:C 20.4627 -5 *259:5 *1049:A 19.3043 +1 *1147:Q *245:4 9.24915 +2 *245:4 *245:8 14.9845 +3 *245:8 *1042:A 11.6605 +4 *245:8 *950:A 17.7611 +5 *245:4 *962:B 12.7697 *END -*D_NET *260 0.00140215 +*D_NET *246 0.00214759 *CONN -*I *911:B I *D sky130_fd_sc_hd__nor3b_1 -*I *919:A_N I *D sky130_fd_sc_hd__and2b_1 -*I *921:A2 I *D sky130_fd_sc_hd__a21o_1 -*I *913:B I *D sky130_fd_sc_hd__nor2_1 -*I *1138:Q O *D sky130_fd_sc_hd__dfrtn_1 +*I *1028:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1030:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1034:B I *D sky130_fd_sc_hd__nor2_1 +*I *973:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1142:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *911:B 1.53007e-05 -2 *919:A_N 1.77555e-05 -3 *921:A2 2.25618e-05 -4 *913:B 4.11703e-05 -5 *1138:Q 0.00015401 -6 *260:20 0.000169397 -7 *260:15 0.000188316 -8 *260:5 0.000239117 -9 *260:5 *296:25 1.83992e-05 -10 *260:5 *296:34 5.23947e-05 -11 *915:A2 *911:B 4.94594e-05 -12 *915:A2 *260:15 0.000110458 -13 *916:B1 *911:B 1.41307e-05 -14 *916:B1 *260:15 2.41274e-06 -15 *921:A1 *921:A2 5.04829e-06 -16 *921:A1 *260:20 0.000144531 -17 *921:B1 *260:20 1.6169e-05 -18 *120:7 *913:B 5.04829e-06 -19 *120:7 *260:15 1.19705e-05 -20 *217:23 *919:A_N 6.3657e-05 -21 *217:23 *921:A2 6.08467e-05 +1 *1028:A 6.7931e-05 +2 *1030:B 6.27306e-05 +3 *1034:B 6.15892e-05 +4 *973:A0 0.000153772 +5 *1142:Q 0 +6 *246:17 0.000197479 +7 *246:7 0.000362534 +8 *246:4 0.000203533 +9 *973:A0 *1029:A 8.50796e-05 +10 *973:A0 *309:78 6.52332e-05 +11 *973:A0 *309:80 2.99929e-05 +12 *1028:A *309:107 2.99287e-05 +13 *1030:B *976:B 2.4562e-05 +14 *1030:B *247:26 5.92342e-05 +15 *1030:B *247:33 0.000116454 +16 *1030:B *309:78 9.02862e-05 +17 *1034:B *247:26 0.000271044 +18 *246:7 *309:99 4.28856e-07 +19 *246:7 *309:107 7.16334e-06 +20 *246:17 *1029:A 4.87198e-05 +21 *246:17 *247:26 2.95757e-05 +22 *246:17 *309:78 5.79399e-05 +23 *972:A *1034:B 0.000122378 *RES -1 *1138:Q *260:5 13.3002 -2 *260:5 *913:B 10.5513 -3 *260:5 *260:15 1.8326 -4 *260:15 *260:20 12.493 -5 *260:20 *921:A2 9.97254 -6 *260:20 *919:A_N 9.97254 -7 *260:15 *911:B 9.97254 +1 *1142:Q *246:4 9.24915 +2 *246:4 *246:7 6.3326 +3 *246:7 *973:A0 17.9655 +4 *246:7 *246:17 2.6625 +5 *246:17 *1034:B 16.691 +6 *246:17 *1030:B 17.2421 +7 *246:4 *1028:A 11.3711 *END -*D_NET *261 0.00233764 +*D_NET *247 0.00299319 *CONN -*I *918:A I *D sky130_fd_sc_hd__inv_2 -*I *912:B I *D sky130_fd_sc_hd__nor2_2 -*I *913:A I *D sky130_fd_sc_hd__nor2_1 -*I *1139:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *976:B I *D sky130_fd_sc_hd__nor2_1 +*I *1030:A I *D sky130_fd_sc_hd__xnor2_1 +*I *971:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1034:A I *D sky130_fd_sc_hd__nor2_1 +*I *1143:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *918:A 3.70909e-05 -2 *912:B 0.000360973 -3 *913:A 0 -4 *1139:Q 4.42903e-05 -5 *261:8 0.000527712 -6 *261:5 0.00024812 -7 *912:B *912:A 5.09367e-05 -8 *912:B *262:15 3.84478e-05 -9 *912:B *296:34 4.68256e-05 -10 *261:5 *1139:SET_B 6.04569e-05 -11 *261:8 *296:34 0.000337895 -12 *261:8 *296:36 5.37077e-05 -13 *916:A1 *912:B 2.32865e-05 -14 *916:A2 *912:B 7.57307e-05 -15 *921:A1 *261:8 1.64943e-05 -16 *921:B1 *912:B 2.94933e-05 -17 *921:B1 *261:8 9.50078e-05 -18 *1139:D *918:A 9.91783e-05 -19 *1139:D *261:5 0.000185736 -20 *131:8 *912:B 6.25883e-06 +1 *976:B 0.000419499 +2 *1030:A 5.84094e-05 +3 *971:A0 0 +4 *1034:A 3.51992e-05 +5 *1143:Q 0.000277292 +6 *247:33 0.000520262 +7 *247:26 0.000199544 +8 *247:14 0.000469681 +9 *976:B *363:DIODE 2.57434e-05 +10 *976:B *976:A 7.83896e-05 +11 *976:B *1032:A2 0 +12 *976:B *309:78 2.67898e-05 +13 *247:14 *1143:SET_B 4.55124e-05 +14 *247:14 *309:78 0 +15 *247:14 *309:80 0 +16 *247:26 *1029:A 8.52802e-05 +17 *247:33 *1029:A 0.000104731 +18 *247:33 *308:17 0 +19 *968:S *976:B 0 +20 *972:A *1034:A 6.5807e-05 +21 *972:A *247:26 1.41853e-05 +22 *991:A *976:B 1.37566e-05 +23 *1030:B *976:B 2.4562e-05 +24 *1030:B *247:26 5.92342e-05 +25 *1030:B *247:33 0.000116454 +26 *1034:B *247:26 0.000271044 +27 *1082:A0 *1030:A 2.23124e-05 +28 *1142:D *247:14 0 +29 *187:12 *976:B 2.99287e-05 +30 *228:28 *247:14 0 +31 *246:17 *247:26 2.95757e-05 *RES -1 *1139:Q *261:5 11.3591 -2 *261:5 *261:8 11.315 -3 *261:8 *913:A 13.7491 -4 *261:8 *912:B 21.9282 -5 *261:5 *918:A 10.5271 +1 *1143:Q *247:14 25.0656 +2 *247:14 *1034:A 10.5151 +3 *247:14 *247:26 9.96776 +4 *247:26 *971:A0 13.7491 +5 *247:26 *247:33 2.24725 +6 *247:33 *1030:A 15.0271 +7 *247:33 *976:B 24.4999 *END -*D_NET *262 0.00125999 +*D_NET *248 0.00188383 *CONN -*I *912:A I *D sky130_fd_sc_hd__nor2_2 -*I *916:B2 I *D sky130_fd_sc_hd__a22o_1 -*I *1140:Q O *D sky130_fd_sc_hd__dfrtn_1 +*I *968:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *976:A I *D sky130_fd_sc_hd__nor2_1 +*I *1035:A I *D sky130_fd_sc_hd__xor2_1 +*I *1144:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *912:A 6.26582e-06 -2 *916:B2 0 -3 *1140:Q 0.000415764 -4 *262:15 0.00042203 -5 *912:B *912:A 5.09367e-05 -6 *912:B *262:15 3.84478e-05 -7 *916:A2 *912:A 6.64392e-05 -8 *916:A2 *262:15 6.3657e-05 -9 *916:B1 *262:15 1.03594e-05 -10 *932:B *262:15 3.15828e-05 -11 *941:A *262:15 3.00829e-05 -12 *941:B *262:15 4.89392e-05 -13 *109:9 *262:15 7.04376e-05 -14 *109:27 *262:15 5.04829e-06 +1 *968:A0 3.48301e-05 +2 *976:A 0.000294859 +3 *1035:A 0.000140704 +4 *1144:Q 0 +5 *248:15 0.000451922 +6 *248:4 0.000262937 +7 *976:A *363:DIODE 9.29777e-05 +8 *1035:A *309:78 0 +9 *248:15 *309:65 6.92991e-05 +10 *248:15 *309:77 1.88422e-05 +11 *968:A1 *248:15 3.13805e-06 +12 *969:A *248:15 0.000257968 +13 *976:B *976:A 7.83896e-05 +14 *991:A *976:A 5.04829e-06 +15 *1035:B *1035:A 1.79196e-05 +16 *228:15 *968:A0 0.000110458 +17 *228:15 *248:15 4.45315e-05 +18 *228:28 *1035:A 0 *RES -1 *1140:Q *262:15 28.0974 -2 *262:15 *916:B2 9.24915 -3 *262:15 *912:A 9.97254 +1 *1144:Q *248:4 9.24915 +2 *248:4 *1035:A 21.7421 +3 *248:4 *248:15 5.03966 +4 *248:15 *976:A 24.0116 +5 *248:15 *968:A0 10.5271 *END -*D_NET *263 0.000669591 +*D_NET *249 0.000324538 *CONN -*I *888:C I *D sky130_fd_sc_hd__nand3_1 -*I *1095:Q O *D sky130_fd_sc_hd__dfxtp_1 +*I *994:C I *D sky130_fd_sc_hd__nand3_1 +*I *1108:Q O *D sky130_fd_sc_hd__dfxtp_1 *CAP -1 *888:C 1.96398e-05 -2 *1095:Q 9.37315e-05 -3 *263:8 7.03693e-05 -4 *263:7 0.000144461 -5 *220:84 *888:C 6.65788e-05 -6 *220:84 *263:7 0.000274811 +1 *994:C 0.000110353 +2 *1108:Q 0.000110353 +3 *994:C *332:DIODE 1.03403e-05 +4 *994:C *1062:A 9.34919e-05 +5 *14:8 *994:C 0 *RES -1 *1095:Q *263:7 21.4401 -2 *263:7 *263:8 57.9449 -3 *263:8 *888:C 19.2217 +1 *1108:Q *994:C 30.0537 *END -*D_NET *264 0.00130958 +*D_NET *250 0.000451737 *CONN -*I *887:B_N I *D sky130_fd_sc_hd__or2b_1 -*I *886:A I *D sky130_fd_sc_hd__or2b_1 -*I *1096:Q O *D sky130_fd_sc_hd__dfxtp_1 +*I *993:A I *D sky130_fd_sc_hd__or2b_1 +*I *992:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *1109:Q O *D sky130_fd_sc_hd__dfxtp_1 *CAP -1 *887:B_N 7.84898e-05 -2 *886:A 3.86486e-05 -3 *1096:Q 0.000224332 -4 *264:8 0.000341471 -5 *888:A *887:B_N 6.46815e-05 -6 *892:B1 *887:B_N 9.00181e-05 -7 *896:A1 *264:8 0.000154145 -8 *1096:D *264:8 2.08133e-05 -9 *1145:CLK *886:A 7.26606e-05 -10 *1145:CLK *264:8 0.000111135 -11 *248:46 *886:A 1.03594e-05 -12 *248:125 *886:A 4.19841e-05 -13 *248:125 *887:B_N 6.08467e-05 +1 *993:A 6.54166e-05 +2 *992:B_N 6.8796e-05 +3 *1109:Q 4.27457e-05 +4 *250:7 0.000176958 +5 *250:7 *1109:D 6.36477e-05 +6 *233:8 *992:B_N 1.93714e-05 +7 *233:8 *993:A 1.48017e-05 *RES -1 *1096:Q *264:8 18.3836 -2 *264:8 *886:A 15.1659 -3 *264:8 *887:B_N 16.691 +1 *1109:Q *250:7 14.4725 +2 *250:7 *992:B_N 15.1659 +3 *250:7 *993:A 15.1659 *END -*D_NET *265 0.000697319 +*D_NET *251 0.00171889 *CONN -*I *889:A I *D sky130_fd_sc_hd__nor2_1 -*I *890:A I *D sky130_fd_sc_hd__and2_1 -*I *1097:Q O *D sky130_fd_sc_hd__dfxtp_1 +*I *996:B I *D sky130_fd_sc_hd__and2_1 +*I *995:B I *D sky130_fd_sc_hd__nor2_1 +*I *1110:Q O *D sky130_fd_sc_hd__dfxtp_1 *CAP -1 *889:A 1.82638e-05 -2 *890:A 0.000126273 -3 *1097:Q 7.34135e-05 -4 *265:7 0.000217951 -5 *249:47 *890:A 8.62787e-05 -6 *249:48 *889:A 6.27782e-05 -7 *249:48 *265:7 0.000112361 +1 *996:B 4.16729e-05 +2 *995:B 0.000414304 +3 *1110:Q 0 +4 *251:4 0.000455977 +5 *995:B *1110:D 0.000240492 +6 *995:B *305:70 0.000135385 +7 *996:B *317:27 0.000122978 +8 *975:A *995:B 0.000112361 +9 *997:A1 *995:B 7.27408e-05 +10 *174:11 *996:B 0.000122978 *RES -1 *1097:Q *265:7 11.5158 -2 *265:7 *890:A 21.7421 -3 *265:7 *889:A 9.97254 +1 *1110:Q *251:4 9.24915 +2 *251:4 *995:B 20.0036 +3 *251:4 *996:B 20.4964 *END -*D_NET *266 0.00239586 +*D_NET *252 0.00104317 *CONN -*I *899:A I *D sky130_fd_sc_hd__inv_2 -*I *1031:A I *D sky130_fd_sc_hd__xnor2_1 -*I *1144:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *1024:A I *D sky130_fd_sc_hd__xnor2_1 +*I *952:A I *D sky130_fd_sc_hd__inv_2 +*I *1148:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *899:A 3.07699e-05 -2 *1031:A 0.000159792 -3 *1144:Q 0.000331294 -4 *266:8 0.000521855 -5 *899:A *1072:S 3.96696e-05 -6 *1031:A *296:344 0.000212229 -7 *266:8 *296:344 0.000106293 -8 *900:A_N *1031:A 0.000111722 -9 *900:C *1031:A 5.46928e-05 -10 *904:A *899:A 0.000161956 -11 *1032:B1 *899:A 6.27782e-05 -12 *1032:B1 *1031:A 7.68903e-05 -13 *247:48 *1031:A 0.000258128 -14 *248:26 *266:8 0.000267793 +1 *1024:A 7.55178e-05 +2 *952:A 0.000214654 +3 *1148:Q 0 +4 *252:4 0.000290172 +5 *952:A *318:10 3.55422e-05 +6 *1024:A *318:10 0.000122393 +7 *954:C *952:A 4.89251e-05 +8 *177:42 *952:A 6.0471e-05 +9 *177:42 *1024:A 0.000195493 *RES -1 *1144:Q *266:8 20.3247 -2 *266:8 *1031:A 20.5992 -3 *266:8 *899:A 15.5817 +1 *1148:Q *252:4 9.24915 +2 *252:4 *952:A 24.1602 +3 *252:4 *1024:A 14.1321 *END -*D_NET *267 0.00488863 +*D_NET *253 0.00308479 *CONN -*I *1031:B I *D sky130_fd_sc_hd__xnor2_1 -*I *924:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1137:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *978:A I *D sky130_fd_sc_hd__inv_2 +*I *1024:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1141:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *1031:B 0 -2 *924:A 0 -3 *1137:Q 0.000233109 -4 *267:30 0.00112124 -5 *267:11 0.00135435 -6 *267:30 *1103:D 0.000187395 -7 *267:30 *1104:RESET_B 6.1472e-05 -8 *267:30 *1172:A 0.000347499 -9 *267:30 *274:10 6.28581e-05 -10 *267:30 *296:359 2.72092e-05 -11 *267:30 *307:11 0.000158782 -12 *891:B1 *267:30 3.31733e-05 -13 *892:B1 *267:30 3.5217e-05 -14 *1072:A0 *267:30 0.000260159 -15 *1098:D *267:30 0.000168711 -16 *1098:CLK *267:11 6.78549e-05 -17 *1098:CLK *267:30 0.000101873 -18 *1103:CLK *267:30 7.28323e-05 -19 *1152:A *267:11 8.3426e-06 -20 *114:67 *267:30 0.000586549 +1 *978:A 0.000107694 +2 *1024:B 0.000388733 +3 *1141:Q 0.000713057 +4 *253:5 0.00120948 +5 *1024:B *366:DIODE 9.43222e-06 +6 *1024:B *1141:CLK 3.17474e-05 +7 *1024:B *318:10 5.04829e-06 +8 *253:5 *366:DIODE 5.85283e-05 +9 *253:5 *309:208 0.000111672 +10 *954:C *1024:B 2.26713e-06 +11 *954:C *253:5 1.89746e-05 +12 *997:A1 *253:5 1.10348e-05 +13 *1025:B2 *1024:B 2.58616e-05 +14 *1141:D *978:A 0 +15 *1141:D *253:5 9.46208e-05 +16 *177:42 *978:A 3.44935e-05 +17 *177:42 *1024:B 0.000262139 *RES -1 *1137:Q *267:11 22.7751 -2 *267:11 *924:A 9.24915 -3 *267:11 *267:30 42.1492 -4 *267:30 *1031:B 9.24915 +1 *1141:Q *253:5 20.7873 +2 *253:5 *1024:B 28.3169 +3 *253:5 *978:A 20.9116 *END -*D_NET *268 0.012319 +*D_NET *254 0.017434 *CONN -*I *1067:S I *D sky130_fd_sc_hd__mux2_1 -*I *1070:S I *D sky130_fd_sc_hd__mux2_1 -*I *1058:S I *D sky130_fd_sc_hd__mux2_1 -*I *1068:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1087:S I *D sky130_fd_sc_hd__mux2_1 -*I *1074:S I *D sky130_fd_sc_hd__mux2_1 -*I *1062:S I *D sky130_fd_sc_hd__mux2_1 -*I *894:A I *D sky130_fd_sc_hd__clkinv_4 -*I *915:A1 I *D sky130_fd_sc_hd__o21bai_1 -*I *911:A I *D sky130_fd_sc_hd__nor3b_1 -*I *1145:Q O *D sky130_fd_sc_hd__dfrtp_4 +*I *1084:S I *D sky130_fd_sc_hd__mux2_1 +*I *1086:S I *D sky130_fd_sc_hd__mux2_1 +*I *1088:S I *D sky130_fd_sc_hd__mux2_1 +*I *955:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1090:S I *D sky130_fd_sc_hd__mux2_1 +*I *1092:S I *D sky130_fd_sc_hd__mux2_1 +*I *1094:S I *D sky130_fd_sc_hd__mux2_1 +*I *1068:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *1082:S I *D sky130_fd_sc_hd__mux2_1 +*I *1081:S I *D sky130_fd_sc_hd__mux2_1 +*I *1080:S I *D sky130_fd_sc_hd__mux2_1 +*I *1137:Q O *D sky130_fd_sc_hd__dfrtp_4 *CAP -1 *1067:S 0.000177977 -2 *1070:S 0 -3 *1058:S 0 -4 *1068:A1 0 -5 *1087:S 9.00179e-05 -6 *1074:S 0.000191963 -7 *1062:S 0.000216118 -8 *894:A 2.41032e-05 -9 *915:A1 0 -10 *911:A 3.75837e-05 -11 *1145:Q 0.000211838 -12 *268:93 0.000591777 -13 *268:73 0.000593602 -14 *268:56 5.73347e-05 -15 *268:53 0.00107724 -16 *268:48 0.00110845 -17 *268:43 0.000762974 -18 *268:25 0.000821548 -19 *268:19 0.0007082 -20 *268:8 0.000944895 -21 *268:8 *1145:RESET_B 8.62625e-06 -22 *893:B1 *268:93 0.000161707 -23 *896:B1 *268:25 0.000125972 -24 *898:A *268:19 3.82228e-05 -25 *905:B *268:8 0 -26 *906:A2 *268:25 2.75449e-05 -27 *906:B1_N *1067:S 7.0179e-06 -28 *907:A *268:43 2.30636e-05 -29 *907:C *268:25 4.66492e-05 -30 *907:C *268:43 6.08467e-05 -31 *908:A1 *268:43 8.36586e-06 -32 *911:C_N *911:A 5.75336e-05 -33 *915:A2 *911:A 0.0001837 -34 *916:B1 *911:A 1.37189e-05 -35 *919:B *268:56 1.91246e-05 -36 *919:B *268:73 1.66626e-05 -37 *920:B1 *268:73 3.16583e-06 -38 *926:A *268:93 3.89332e-06 -39 *929:A2 *268:93 0.000207694 -40 *1047:A *268:25 0.000216467 -41 *1058:A1 *268:43 1.81484e-05 -42 *1061:A1 *1062:S 0 -43 *1061:S *1074:S 3.00829e-05 -44 *1062:A1 *1062:S 4.15559e-05 -45 *1067:A1 *1067:S 0.00024932 -46 *1068:A0 *268:93 0.000171677 -47 *1068:S *268:48 9.03858e-06 -48 *1068:S *268:93 8.76278e-06 -49 *1069:A0 *268:43 6.93171e-05 -50 *1069:S *268:43 0.000437559 -51 *1070:A0 *268:43 2.45317e-05 -52 *1087:A1 *1074:S 0.000111802 -53 *1087:A1 *1087:S 0.000110458 -54 *1097:CLK *1087:S 1.0759e-05 -55 *1098:CLK *268:43 6.86445e-05 -56 *1151:A *1067:S 0.000158637 -57 *1157:A *268:19 0.000162284 -58 *18:43 *268:25 0.000263272 -59 *18:43 *268:43 6.08467e-05 -60 *109:27 *894:A 6.36477e-05 -61 *114:8 *1062:S 0 -62 *115:17 *268:43 0.000420412 -63 *115:49 *1067:S 4.24215e-05 -64 *219:34 *1067:S 3.10396e-05 -65 *219:34 *268:8 6.75039e-05 -66 *219:34 *268:19 1.94614e-05 -67 *247:56 *268:8 5.67857e-05 -68 *247:76 *268:93 0.000172406 -69 *247:91 *1062:S 0 -70 *248:46 *268:19 0.00023155 -71 *248:92 *268:93 4.0786e-05 -72 *249:50 *1087:S 7.92757e-06 -73 *249:52 *1074:S 6.25404e-05 -74 *249:73 *268:93 4.56549e-05 -75 *249:77 *268:93 0.000204562 +1 *1084:S 9.19281e-05 +2 *1086:S 0 +3 *1088:S 0 +4 *955:A 0 +5 *1090:S 0 +6 *1092:S 0 +7 *1094:S 0.000455036 +8 *1068:A1 0 +9 *1082:S 0.000222019 +10 *1081:S 0 +11 *1080:S 9.20471e-05 +12 *1137:Q 0.000121233 +13 *254:89 0.000397395 +14 *254:81 0.00054224 +15 *254:77 0.000349245 +16 *254:74 0.00014545 +17 *254:73 0.000411971 +18 *254:64 0.000864644 +19 *254:59 0.00034231 +20 *254:49 0.000519662 +21 *254:41 0.00114052 +22 *254:25 0.00189939 +23 *254:9 0.00109286 +24 *254:8 0.000301141 +25 *1080:S *309:119 0.00015321 +26 *1082:S *314:11 0.000124086 +27 *1094:S *372:DIODE 2.30636e-05 +28 *1094:S *1096:A1 0.000110458 +29 *1094:S *305:89 0 +30 *1094:S *305:130 0.000159038 +31 *1094:S *310:20 1.02917e-05 +32 *254:8 *309:234 8.62321e-06 +33 *254:25 *317:27 4.20211e-05 +34 *254:49 *1090:A1 3.91558e-05 +35 *254:49 *309:154 0 +36 *254:49 *315:8 0.000115772 +37 *254:59 *1090:A1 2.23124e-05 +38 *254:59 *1092:A1 0.000201759 +39 *254:64 *1092:A1 3.02923e-05 +40 *961:B *254:41 0.000205985 +41 *963:A1 *1094:S 0.000288523 +42 *963:B1_N *1094:S 2.04806e-05 +43 *967:A1 *254:59 6.41184e-05 +44 *967:B1_N *254:59 0.000116 +45 *968:S *1082:S 0 +46 *973:S *1080:S 0 +47 *973:S *254:89 0 +48 *982:A *254:25 5.98402e-05 +49 *982:A *254:41 0.000206327 +50 *982:B *254:25 2.01874e-05 +51 *1000:B *254:41 4.81849e-05 +52 *1005:A *254:41 0.000107496 +53 *1033:B *1082:S 0.00014964 +54 *1040:A *254:59 4.7372e-05 +55 *1041:B *254:59 0.000281159 +56 *1041:B *254:64 6.50727e-05 +57 *1041:B *254:73 0.000160381 +58 *1068:A0 *254:77 0.000171273 +59 *1068:A0 *254:81 0.000114523 +60 *1086:A0 *254:25 6.48865e-05 +61 *1093:A0 *1094:S 0 +62 *1094:A0 *1094:S 0.000263976 +63 *1145:D *254:59 0.000324137 +64 *1198:A *254:49 8.01546e-06 +65 *19:21 *254:41 7.68279e-05 +66 *19:21 *254:49 0.000362198 +67 *19:21 *254:59 0.000572574 +68 *19:52 *1094:S 0 +69 *19:52 *254:73 0.000215254 +70 *19:52 *254:77 4.81834e-05 +71 *21:7 *254:81 0.000474209 +72 *21:17 *254:89 0 +73 *48:43 *254:25 0.000217951 +74 *176:33 *254:41 0.000113968 +75 *176:33 *254:49 0.000213725 +76 *176:38 *254:41 0 +77 *179:8 *254:49 1.42919e-05 +78 *192:14 *1094:S 1.90218e-05 +79 *192:14 *254:49 4.31988e-05 +80 *192:14 *254:59 0.000415484 +81 *192:14 *254:64 1.77537e-06 +82 *192:21 *254:49 2.65831e-05 +83 *192:43 *254:41 0.000276077 +84 *203:25 *254:25 6.36387e-05 +85 *203:45 *254:25 1.41181e-05 +86 *231:81 *254:25 1.65351e-05 +87 *233:26 *1084:S 0.000319761 +88 *233:26 *254:9 0.000264586 +89 *233:26 *254:25 9.82896e-06 +90 *233:44 *254:25 0.000105009 +91 *233:46 *254:41 0.000305756 +92 *233:46 *254:49 0.000213725 +93 *233:68 *254:49 3.09374e-06 +94 *233:68 *254:59 4.31939e-05 +95 *234:33 *254:41 8.90652e-06 +96 *235:15 *254:8 0.000116986 +97 *245:8 *1094:S 4.08072e-05 *RES -1 *1145:Q *268:8 19.4217 -2 *268:8 *268:19 18.729 -3 *268:19 *268:25 15.0196 -4 *268:25 *268:43 28.2317 -5 *268:43 *268:48 6.57186 -6 *268:48 *268:53 8.24077 -7 *268:53 *268:56 4.87861 -8 *268:56 *911:A 11.6605 -9 *268:56 *915:A1 9.24915 -10 *268:53 *268:73 7.51118 -11 *268:73 *894:A 14.4725 -12 *268:73 *1062:S 19.0748 -13 *268:48 *268:93 16.9209 -14 *268:93 *1074:S 14.4335 -15 *268:93 *1087:S 11.6605 -16 *268:43 *1068:A1 9.24915 -17 *268:25 *1058:S 9.24915 -18 *268:19 *1070:S 9.24915 -19 *268:8 *1067:S 20.3565 +1 *1137:Q *254:8 21.3269 +2 *254:8 *254:9 2.94181 +3 *254:9 *254:25 26.9459 +4 *254:25 *254:41 29.0644 +5 *254:41 *254:49 18.9312 +6 *254:49 *254:59 16.0835 +7 *254:59 *254:64 6.22489 +8 *254:64 *254:73 20.7661 +9 *254:73 *254:74 81.1229 +10 *254:74 *254:77 11.6364 +11 *254:77 *254:81 12.4332 +12 *254:81 *1080:S 16.8269 +13 *254:81 *254:89 3.90826 +14 *254:89 *1081:S 13.7491 +15 *254:89 *1082:S 20.5642 +16 *254:77 *1068:A1 9.24915 +17 *254:64 *1094:S 28.2103 +18 *254:59 *1092:S 9.24915 +19 *254:49 *1090:S 9.24915 +20 *254:41 *955:A 9.24915 +21 *254:25 *1088:S 9.24915 +22 *254:9 *1086:S 9.24915 +23 *254:8 *1084:S 12.7456 *END -*D_NET *269 0.00965046 +*D_NET *255 0.0112079 *CONN -*I *1115:CLK I *D sky130_fd_sc_hd__dfstp_4 -*I *1111:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1112:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1114:CLK I *D sky130_fd_sc_hd__dfrtp_4 -*I *1113:CLK I *D sky130_fd_sc_hd__dfrtp_1 -*I *1116:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1123:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1124:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1125:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *1121:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1122:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1069:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1126:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1025:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *1123:CLK 0 +2 *1124:CLK 0.000280471 +3 *1125:CLK 0 +4 *1121:CLK 0.000301779 +5 *1122:CLK 0 +6 *1069:A1 0 +7 *1126:CLK 9.3156e-05 +8 *1025:Y 0.00025055 +9 *255:69 0.000581456 +10 *255:54 0.000622462 +11 *255:46 0.000110077 +12 *255:42 0.000342587 +13 *255:28 0.00156189 +14 *255:16 0.00184871 +15 *255:9 0.000696416 +16 *1121:CLK *996:A 1.22289e-05 +17 *1121:CLK *1122:D 4.77834e-05 +18 *1121:CLK *310:68 2.51424e-05 +19 *1124:CLK *1122:SET_B 2.27645e-05 +20 *1124:CLK *309:384 1.88014e-05 +21 *1124:CLK *309:400 0.000183922 +22 *1124:CLK *309:448 1.13359e-05 +23 *1126:CLK *309:187 1.19856e-05 +24 *1126:CLK *309:193 1.43848e-05 +25 *1126:CLK *309:352 2.41274e-06 +26 *255:9 *348:DIODE 6.64392e-05 +27 *255:9 *1126:D 0.000107136 +28 *255:9 *305:70 0.000111097 +29 *255:9 *305:79 9.57678e-05 +30 *255:9 *310:7 6.69545e-05 +31 *255:9 *310:13 0.000464224 +32 *255:9 *310:20 0.000305735 +33 *255:16 *305:70 0 +34 *255:28 *385:DIODE 0 +35 *255:28 *886:A1 6.14023e-05 +36 *255:28 *1026:B 0 +37 *255:28 *1069:S 1.83321e-05 +38 *255:28 *1117:CLK 4.63668e-05 +39 *255:28 *261:40 9.81304e-05 +40 *255:28 *277:8 1.13359e-05 +41 *255:28 *288:21 2.20471e-05 +42 *255:42 *1123:D 6.24176e-05 +43 *255:42 *1126:D 7.25699e-05 +44 *255:42 *1141:SET_B 0 +45 *255:42 *309:196 0 +46 *255:42 *310:7 0.000155239 +47 *255:46 *1123:D 0.00037204 +48 *255:46 *1201:A 6.27718e-05 +49 *255:46 *317:15 0.000147759 +50 *255:54 *1123:D 4.19058e-05 +51 *255:54 *317:15 0.000112361 +52 *255:69 *1122:D 3.00174e-06 +53 *255:69 *309:360 4.58003e-05 +54 *255:69 *309:366 2.36813e-05 +55 *255:69 *309:384 0.000102011 +56 *255:69 *317:15 3.07997e-05 +57 *255:69 *317:27 0 +58 resetb_sync *255:28 0.000162834 +59 *896:B1 *255:28 0 +60 *904:C *255:28 0 +61 *908:C *255:28 9.82083e-05 +62 *953:B1 *1121:CLK 0.000114659 +63 *1027:B1 *255:28 2.10465e-05 +64 *1069:A0 *255:28 0.000456146 +65 *1104:A0 *255:28 0 +66 *1118:CLK *255:16 7.77309e-06 +67 *1118:CLK *255:28 0.000102494 +68 *146:66 *255:28 0.000214357 +69 *231:42 *1126:CLK 0.000113968 +70 *231:43 *255:16 0.000105133 +71 *235:7 *255:69 6.3657e-05 +72 *235:15 *1124:CLK 0 +73 *235:15 *255:69 0 +*RES +1 *1025:Y *255:9 22.3667 +2 *255:9 *255:16 9.31204 +3 *255:16 *1126:CLK 16.1364 +4 *255:16 *255:28 46.2341 +5 *255:28 *1069:A1 9.24915 +6 *255:9 *255:42 17.0184 +7 *255:42 *255:46 4.62973 +8 *255:46 *1122:CLK 9.24915 +9 *255:46 *255:54 5.778 +10 *255:54 *1121:CLK 21.0304 +11 *255:54 *255:69 12.5986 +12 *255:69 *1125:CLK 9.24915 +13 *255:69 *1124:CLK 25.7876 +14 *255:42 *1123:CLK 9.24915 +*END + +*D_NET *256 0.000710209 +*CONN +*I *1124:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1121:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1124:D 0.000292081 +2 *1121:Q 0.000292081 +3 *1124:D *349:DIODE 2.02354e-05 +4 *1124:D *1121:D 8.27643e-05 +5 *1124:D *1121:RESET_B 1.33911e-05 +6 *1124:D *309:400 9.65752e-06 +*RES +1 *1121:Q *1124:D 32.8267 +*END + +*D_NET *257 0.000678361 +*CONN +*I *1125:D I *D sky130_fd_sc_hd__dfstp_4 +*I *1122:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1125:D 0.000289638 +2 *1122:Q 0.000289638 +3 *1125:D *1122:SET_B 0 +4 *1125:D *1125:SET_B 5.67857e-05 +5 *1125:D *309:384 2.41274e-06 +6 *1125:D *309:436 3.98869e-05 +7 *1125:D *309:448 0 +8 *234:17 *1125:D 0 +*RES +1 *1122:Q *1125:D 34.3792 +*END + +*D_NET *258 0.00139126 +*CONN +*I *1126:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1123:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1126:D 0.000591217 +2 *1123:Q 0.000591217 +3 *1126:D *348:DIODE 5.04829e-06 +4 *1126:D *309:196 1.47102e-05 +5 *1126:D *309:301 9.35753e-06 +6 *255:9 *1126:D 0.000107136 +7 *255:42 *1126:D 7.25699e-05 +*RES +1 *1123:Q *1126:D 37.2635 +*END + +*D_NET *259 0.0236968 +*CONN +*I *945:A I *D sky130_fd_sc_hd__clkinv_4 +*I *895:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1103:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1046:A I *D sky130_fd_sc_hd__xnor2_1 +*I *897:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1071:A1 I *D sky130_fd_sc_hd__mux2_1 *I *1072:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1032:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1048:A I *D sky130_fd_sc_hd__nor3_1 +*I *924:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *930:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1049:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1111:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1102:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *886:S I *D sky130_fd_sc_hd__mux2_1 +*I *888:S I *D sky130_fd_sc_hd__mux2_1 +*I *1064:B I *D sky130_fd_sc_hd__nor3_1 +*I *1130:Q O *D sky130_fd_sc_hd__dfrtp_4 *CAP -1 *1115:CLK 0.000187213 -2 *1111:CLK 0.000553988 -3 *1112:CLK 0 -4 *1114:CLK 0.000257946 -5 *1113:CLK 1.97543e-05 -6 *1116:CLK 0 -7 *1072:A1 0.00051721 -8 *1032:Y 0 -9 *269:56 0.000553988 -10 *269:45 0.000408371 -11 *269:43 0.00120991 -12 *269:28 0.00133703 -13 *269:16 0.000712303 -14 *269:4 0.000784513 -15 *1111:CLK *1112:D 0.000664036 -16 *1114:CLK *1114:RESET_B 5.92342e-05 -17 *1114:CLK *1115:SET_B 5.04324e-05 -18 *1114:CLK *296:735 0.000174403 -19 *1115:CLK *1115:D 0.000169122 -20 *269:16 *1116:RESET_B 0 -21 *269:28 *1116:D 0.000118485 -22 *269:28 *1116:RESET_B 0 -23 *269:28 *296:771 3.28898e-06 -24 *269:28 *296:782 1.66079e-05 -25 *269:28 *299:13 2.92544e-05 -26 *269:43 *324:DIODE 0.00011818 -27 *269:43 *299:13 0.000599211 -28 *269:45 *1115:SET_B 6.60967e-05 -29 *904:A *1072:A1 0.00070349 -30 *1065:A0 *1111:CLK 0.000250243 -31 *247:10 *1111:CLK 0 -32 *248:5 *1115:CLK 8.61472e-05 +1 *945:A 2.1308e-05 +2 *895:B1 1.87128e-05 +3 *1103:A1 0.000136395 +4 *1046:A 0 +5 *897:B1 0.000276781 +6 *1071:A1 0 +7 *1072:A1 0.000370802 +8 *1048:A 3.84395e-05 +9 *924:B1 9.13753e-05 +10 *930:B1 2.13038e-05 +11 *1049:A1 0.000139059 +12 *1111:D 0.000452016 +13 *1102:A1 8.46328e-05 +14 *886:S 0.000360227 +15 *888:S 0.000107068 +16 *1064:B 0.000138639 +17 *1130:Q 0.000172834 +18 *259:151 0.000727183 +19 *259:139 0.000856212 +20 *259:120 0.000695938 +21 *259:99 0.000202118 +22 *259:97 0.000424881 +23 *259:94 0.000841849 +24 *259:89 0.00102918 +25 *259:83 0.00177771 +26 *259:69 0.00146454 +27 *259:58 0.000647869 +28 *259:46 0.000739727 +29 *259:44 0.000860194 +30 *259:20 0.000666005 +31 *259:18 0.00120351 +32 *259:6 0.000710443 +33 *886:S *1104:A1 3.81396e-05 +34 *895:B1 *260:29 6.51299e-06 +35 *897:B1 *897:A2 5.04829e-06 +36 *897:B1 *261:89 0.000112367 +37 *897:B1 *261:95 0.000363038 +38 *924:B1 *924:A2 5.15877e-06 +39 *924:B1 *261:119 4.40236e-05 +40 *1048:A *1048:C 5.04829e-06 +41 *1049:A1 *260:83 0.000168334 +42 *1049:A1 *280:39 6.08467e-05 +43 *1064:B *352:DIODE 1.78942e-05 +44 *1064:B *944:A 6.3657e-05 +45 *1072:A1 *1072:S 6.90268e-06 +46 *1103:A1 *1103:S 6.27718e-05 +47 *1111:D *1161:RESET_B 0.000201445 +48 *1111:D *269:56 3.29352e-05 +49 *1111:D *309:318 0.000182062 +50 *259:6 *1079:A1 3.0676e-05 +51 *259:18 *262:37 2.72092e-05 +52 *259:44 *260:13 2.29454e-05 +53 *259:44 *262:45 7.45459e-05 +54 *259:46 *260:13 0.00036024 +55 *259:46 *260:29 4.63117e-05 +56 *259:58 *902:B 6.27332e-05 +57 *259:58 *260:29 0.000216083 +58 *259:58 *261:29 0.000121898 +59 *259:69 *269:56 1.95194e-05 +60 *259:83 *269:13 2.26713e-06 +61 *259:89 *891:B_N 9.36743e-05 +62 *259:89 *260:53 0.000101253 +63 *259:89 *260:55 0.00047514 +64 *259:89 *260:61 0.000496861 +65 *259:89 *276:8 7.86847e-05 +66 *259:94 *260:61 6.64392e-05 +67 *259:94 *260:72 9.54357e-06 +68 *259:97 *930:A1 6.46815e-05 +69 *259:97 *260:119 1.37189e-05 +70 *259:97 *260:130 2.76911e-05 +71 *259:139 *260:102 1.66626e-05 +72 *259:139 *280:51 2.29386e-05 +73 *259:151 *898:A 2.16355e-05 +74 *259:151 *1072:S 6.3974e-05 +75 *259:151 *260:102 9.49244e-05 +76 *259:151 *280:51 9.01349e-05 +77 *886:A0 *886:S 4.90834e-05 +78 *888:A0 *259:44 4.77444e-05 +79 *896:B1 *259:58 0.000185373 +80 *900:A1 *259:89 6.34658e-05 +81 *904:C *259:58 2.24484e-05 +82 *913:B *897:B1 8.94093e-05 +83 *920:A1 *259:94 5.82465e-05 +84 *920:S *259:94 3.7635e-06 +85 *924:C1 *1049:A1 2.57986e-05 +86 *924:C1 *259:97 2.57986e-05 +87 *924:C1 *259:99 4.87301e-05 +88 *924:C1 *259:120 0.000157906 +89 *924:C1 *259:139 2.94331e-05 +90 *943:A1 *1072:A1 5.04829e-06 +91 *1027:A1_N *259:44 6.49003e-05 +92 *1027:B1 *259:44 0.000263107 +93 *1050:A *924:B1 0 +94 *1050:B *259:139 0 +95 *1067:S *897:B1 9.94169e-05 +96 *1067:S *259:94 0.000185026 +97 *1071:A0 *1072:A1 0.000108132 +98 *1071:S *1072:A1 4.17531e-06 +99 *1071:S *259:151 1.78942e-05 +100 *1072:A0 *1072:A1 0.000258128 +101 *1097:A0 *259:94 4.84848e-05 +102 *1102:A0 *1102:A1 4.60884e-05 +103 *1102:S *1102:A1 6.36477e-05 +104 *1102:S *259:58 0.000361439 +105 *1103:A0 *1103:A1 6.27718e-05 +106 *1104:S *886:S 0.000117376 +107 *1104:S *259:20 2.15348e-05 +108 *1157:CLK *259:83 4.23181e-05 +109 *1162:CLK *888:S 1.3262e-05 +110 *1164:D *259:18 0.000159103 +111 *1164:CLK *259:18 5.07314e-05 +112 *1164:CLK *259:20 5.481e-05 +113 *1172:A *1111:D 1.87611e-05 +114 *7:15 *259:6 0 +115 *7:15 *259:44 0 +116 *20:7 *1049:A1 6.27782e-05 +117 *137:7 *259:58 0.00016553 +118 *139:8 *897:B1 0.000317682 +119 *229:8 *897:B1 1.21985e-05 +120 *229:10 *897:B1 1.19513e-05 +121 *229:15 *259:94 0.000216535 +122 *232:40 *888:S 2.7363e-05 +123 *232:49 *259:44 6.48988e-05 +124 *232:56 *259:44 3.91877e-05 +125 *232:81 *259:83 0.000529789 *RES -1 *1032:Y *269:4 9.24915 -2 *269:4 *1072:A1 18.3157 -3 *269:4 *269:16 10.1043 -4 *269:16 *1116:CLK 13.7491 -5 *269:16 *269:28 12.9014 -6 *269:28 *1113:CLK 9.82786 -7 *269:28 *269:43 22.0832 -8 *269:43 *269:45 3.90826 -9 *269:45 *1114:CLK 21.9871 -10 *269:45 *269:56 4.5 -11 *269:56 *1112:CLK 9.24915 -12 *269:56 *1111:CLK 35.7648 -13 *269:43 *1115:CLK 19.464 +1 *1130:Q *259:6 17.6574 +2 *259:6 *1064:B 16.7198 +3 *259:6 *259:18 11.324 +4 *259:18 *259:20 2.94181 +5 *259:20 *888:S 20.4964 +6 *259:20 *886:S 18.3398 +7 *259:18 *259:44 24.7125 +8 *259:44 *259:46 7.37864 +9 *259:46 *259:58 24.8042 +10 *259:58 *1102:A1 11.6605 +11 *259:58 *259:69 6.332 +12 *259:69 *1111:D 24.9397 +13 *259:69 *259:83 24.6977 +14 *259:83 *259:89 25.3702 +15 *259:89 *259:94 14.3962 +16 *259:94 *259:97 10.2148 +17 *259:97 *259:99 1.278 +18 *259:99 *1049:A1 22.7737 +19 *259:99 *930:B1 9.82786 +20 *259:97 *259:120 8.40826 +21 *259:120 *924:B1 16.2388 +22 *259:120 *259:139 8.80133 +23 *259:139 *1048:A 14.4725 +24 *259:139 *259:151 11.4922 +25 *259:151 *1072:A1 15.5186 +26 *259:151 *1071:A1 9.24915 +27 *259:94 *897:B1 26.3122 +28 *259:89 *1046:A 9.24915 +29 *259:83 *1103:A1 16.7198 +30 *259:46 *895:B1 9.82786 +31 *259:44 *945:A 9.82786 *END -*D_NET *270 0.000462777 +*D_NET *260 0.0229662 *CONN -*I *1114:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1111:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *1020:B I *D sky130_fd_sc_hd__nor2_1 +*I *1079:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1075:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1076:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *890:A I *D sky130_fd_sc_hd__or2b_1 +*I *891:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *1112:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *897:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *924:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *930:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *1073:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1074:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1048:C I *D sky130_fd_sc_hd__nor3_1 +*I *1049:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1046:B I *D sky130_fd_sc_hd__xnor2_1 +*I *895:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1131:Q O *D sky130_fd_sc_hd__dfstp_4 *CAP -1 *1114:D 0.000205037 -2 *1111:Q 0.000205037 -3 *1114:D *1114:RESET_B 0 -4 *1114:D *296:512 1.39717e-06 -5 *1133:CLK *1114:D 5.13058e-05 -6 *7:15 *1114:D 0 +1 *1020:B 0.000295905 +2 *1079:A1 0.000661933 +3 *1075:A1 0 +4 *1076:A1 0 +5 *890:A 0 +6 *891:B_N 0.00013531 +7 *1112:D 0 +8 *897:A2 0.000529377 +9 *924:A2 3.39649e-06 +10 *930:A2 3.64011e-05 +11 *1073:A1 7.23448e-05 +12 *1074:A1 4.75587e-05 +13 *1048:C 9.29055e-05 +14 *1049:A2 4.18965e-05 +15 *1046:B 0 +16 *895:A2 0 +17 *1131:Q 0 +18 *260:175 0.000973371 +19 *260:130 0.000674308 +20 *260:119 0.000305142 +21 *260:102 0.000280447 +22 *260:89 0.000470539 +23 *260:83 0.000514435 +24 *260:72 0.000962878 +25 *260:61 0.000861463 +26 *260:55 0.000245203 +27 *260:53 0.000526567 +28 *260:47 0.000585904 +29 *260:40 0.00128002 +30 *260:29 0.00134242 +31 *260:13 0.000629949 +32 *260:4 0.000253848 +33 *897:A2 *930:A1 5.03545e-06 +34 *897:A2 *261:89 7.92757e-06 +35 *924:A2 *261:119 5.27179e-06 +36 *1020:B *357:DIODE 1.88014e-05 +37 *1020:B *261:11 0.000191258 +38 *1020:B *284:11 0.000267394 +39 *1020:B *309:804 2.15992e-05 +40 *1020:B *309:806 1.34999e-05 +41 *1020:B *309:812 7.27245e-06 +42 *1048:C *261:119 7.71332e-05 +43 *1079:A1 *374:DIODE 0.000201947 +44 *1079:A1 *309:827 3.53886e-05 +45 *260:13 *385:DIODE 0.000175485 +46 *260:13 *895:A1 2.89547e-05 +47 *260:13 *281:49 7.02172e-06 +48 *260:29 *895:A1 0.00037907 +49 *260:40 *269:19 2.67928e-05 +50 *260:40 *280:17 1.03594e-05 +51 *260:47 *890:B_N 1.02267e-05 +52 *260:47 *269:19 8.03117e-05 +53 *260:47 *280:17 5.23577e-05 +54 *260:89 *280:51 5.62448e-05 +55 *260:102 *280:51 3.37886e-05 +56 *260:102 *280:60 2.36813e-05 +57 *260:102 *280:71 4.57077e-05 +58 *260:130 *930:A1 7.71949e-05 +59 *892:A *260:53 0 +60 *892:B *260:53 0.000149628 +61 *895:B1 *260:29 6.51299e-06 +62 *897:B1 *897:A2 5.04829e-06 +63 *924:B1 *924:A2 5.15877e-06 +64 *924:C1 *260:89 0.00015607 +65 *924:C1 *260:119 0.000244787 +66 *924:C1 *260:130 4.01357e-05 +67 *933:B *897:A2 1.99054e-05 +68 *1027:A1_N *260:13 0.000470585 +69 *1027:A2_N *260:13 0.000267328 +70 *1027:A2_N *260:175 9.90819e-05 +71 *1027:B1 *260:13 0.000151292 +72 *1048:A *1048:C 5.04829e-06 +73 *1049:A1 *260:83 0.000168334 +74 *1050:A *1048:C 3.18993e-05 +75 *1050:B *260:83 7.40248e-05 +76 *1073:A0 *1073:A1 0.000254262 +77 *1073:A0 *1074:A1 6.04829e-05 +78 *1073:S *1073:A1 0.000208627 +79 *1076:A0 *260:40 1.79386e-05 +80 *1102:S *260:40 2.652e-05 +81 *1112:CLK *260:61 0.000208507 +82 *1114:CLK *891:B_N 0 +83 *1161:D *260:47 9.29919e-05 +84 *1167:A *260:29 0.000368568 +85 *1167:A *260:40 6.50727e-05 +86 *1173:A *260:40 0.00126971 +87 *4:7 *897:A2 0 +88 *7:15 *1020:B 0 +89 *7:15 *1079:A1 0 +90 *8:10 *260:13 0.00107374 +91 *8:10 *260:29 3.93119e-05 +92 *8:10 *260:175 0.000114584 +93 *20:7 *1049:A2 6.50586e-05 +94 *52:22 *1073:A1 3.51569e-05 +95 *52:22 *1074:A1 0.000159032 +96 *82:8 *260:83 0.000119367 +97 *138:9 *260:47 4.25818e-05 +98 *140:11 *897:A2 0 +99 *140:33 *897:A2 0 +100 *140:42 *897:A2 6.7671e-06 +101 *154:9 *260:89 3.26503e-05 +102 *159:10 *260:89 0.000185616 +103 *159:10 *260:102 0.000348807 +104 *161:17 *897:A2 9.44631e-06 +105 *161:17 *1073:A1 2.30636e-05 +106 *229:15 *260:72 0.000399661 +107 *231:21 *260:40 3.57378e-06 +108 *231:21 *260:47 8.48738e-05 +109 *259:6 *1079:A1 3.0676e-05 +110 *259:44 *260:13 2.29454e-05 +111 *259:46 *260:13 0.00036024 +112 *259:46 *260:29 4.63117e-05 +113 *259:58 *260:29 0.000216083 +114 *259:89 *891:B_N 9.36743e-05 +115 *259:89 *260:53 0.000101253 +116 *259:89 *260:55 0.00047514 +117 *259:89 *260:61 0.000496861 +118 *259:94 *260:61 6.64392e-05 +119 *259:94 *260:72 9.54357e-06 +120 *259:97 *260:119 1.37189e-05 +121 *259:97 *260:130 2.76911e-05 +122 *259:139 *260:102 1.66626e-05 +123 *259:151 *260:102 9.49244e-05 *RES -1 *1111:Q *1114:D 32.6523 +1 *1131:Q *260:4 9.24915 +2 *260:4 *260:13 18.8565 +3 *260:13 *895:A2 9.24915 +4 *260:13 *260:29 12.0807 +5 *260:29 *260:40 31.0908 +6 *260:40 *260:47 14.1416 +7 *260:47 *260:53 15.7135 +8 *260:53 *260:55 5.28079 +9 *260:55 *260:61 6.89638 +10 *260:61 *1046:B 9.24915 +11 *260:61 *260:72 17.6116 +12 *260:72 *1049:A2 14.4725 +13 *260:72 *260:83 9.65401 +14 *260:83 *260:89 10.8224 +15 *260:89 *1048:C 16.1605 +16 *260:89 *260:102 10.8998 +17 *260:102 *1074:A1 11.6605 +18 *260:102 *1073:A1 13.3243 +19 *260:83 *260:119 3.49641 +20 *260:119 *930:A2 10.2378 +21 *260:119 *260:130 8.85575 +22 *260:130 *924:A2 13.936 +23 *260:130 *897:A2 23.3694 +24 *260:55 *1112:D 9.24915 +25 *260:53 *891:B_N 22.1574 +26 *260:47 *890:A 9.24915 +27 *260:40 *1076:A1 13.7491 +28 *260:29 *1075:A1 9.24915 +29 *260:4 *260:175 5.778 +30 *260:175 *1079:A1 26.8308 +31 *260:175 *1020:B 22.6755 *END -*D_NET *271 0.00153868 +*D_NET *261 0.0246895 *CONN -*I *1115:D I *D sky130_fd_sc_hd__dfstp_4 -*I *1112:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *1020:A I *D sky130_fd_sc_hd__nor2_1 +*I *1077:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1078:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *897:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1100:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1101:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1048:B I *D sky130_fd_sc_hd__nor3_1 +*I *1049:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *930:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *924:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *895:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1104:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *894:A I *D sky130_fd_sc_hd__and2_1 +*I *1113:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *893:A I *D sky130_fd_sc_hd__nor2_1 +*I *1132:Q O *D sky130_fd_sc_hd__dfrtp_4 *CAP -1 *1115:D 0.000529306 -2 *1112:Q 0.000529306 -3 *1115:D *1112:SET_B 0.000104821 -4 *1115:D *296:499 0.000134237 -5 *1115:CLK *1115:D 0.000169122 -6 *7:15 *1115:D 0 -7 *248:5 *1115:D 7.18872e-05 +1 *1020:A 0 +2 *1077:A1 0 +3 *1078:A1 0 +4 *897:A1 0 +5 *1100:A1 0.000280876 +6 *1101:A1 0.000534617 +7 *1048:B 0 +8 *1049:B1 0.000253352 +9 *930:A1 0.000136881 +10 *924:A1 1.52957e-05 +11 *895:A1 8.52962e-05 +12 *1104:A1 0.000441383 +13 *894:A 0 +14 *1113:D 0.000370794 +15 *893:A 0 +16 *1132:Q 0.000194171 +17 *261:135 0.000894287 +18 *261:119 0.000904043 +19 *261:95 0.00101336 +20 *261:91 0.000289288 +21 *261:89 0.00178484 +22 *261:79 0.00222488 +23 *261:77 0.000706821 +24 *261:41 0.000412043 +25 *261:40 0.000644076 +26 *261:29 0.000551837 +27 *261:22 0.000649719 +28 *261:20 0.0015302 +29 *261:11 0.00128357 +30 *1049:B1 *280:39 6.46815e-05 +31 *1113:D *894:B 5.05502e-05 +32 *1113:D *277:8 0.000269315 +33 *261:11 *357:DIODE 6.64392e-05 +34 *261:11 *284:11 1.76193e-05 +35 *261:11 *309:928 0 +36 *261:20 *901:B 2.07803e-05 +37 *261:20 *1119:D 0 +38 *261:20 *1160:SET_B 0.000322514 +39 *261:20 *1188:A 5.22654e-06 +40 *261:20 *284:11 0.000328363 +41 *261:20 *309:928 2.84115e-05 +42 *261:22 *901:B 0.000109951 +43 *261:29 *893:B 1.42031e-05 +44 *261:29 *902:B 0 +45 *261:40 *893:B 0.000277007 +46 *261:40 *277:8 2.75449e-05 +47 *261:41 *894:B 6.36477e-05 +48 *261:41 *277:8 1.88014e-05 +49 *261:77 *901:B 0.000354448 +50 *261:79 *901:B 0.000316119 +51 *261:79 *1058:A 1.65872e-05 +52 *261:89 *901:A 2.38092e-05 +53 *261:89 *1058:B 7.16615e-05 +54 *261:89 *1059:A 1.04731e-05 +55 *261:89 *1078:S 3.78809e-05 +56 *261:89 *1120:D 7.26606e-05 +57 *261:89 *269:19 8.15707e-05 +58 *261:89 *270:21 7.23063e-05 +59 *261:89 *270:38 9.54357e-06 +60 *886:S *1104:A1 3.81396e-05 +61 *896:A1 *261:29 0.000124443 +62 *896:A2 *1113:D 1.31891e-05 +63 *896:B1 *261:29 1.00766e-05 +64 *897:A2 *930:A1 5.03545e-06 +65 *897:A2 *261:89 7.92757e-06 +66 *897:B1 *261:89 0.000112367 +67 *897:B1 *261:95 0.000363038 +68 *902:A *261:22 3.66496e-05 +69 *902:A *261:29 3.06126e-05 +70 *904:C *261:22 6.26431e-05 +71 *904:C *261:29 2.97007e-05 +72 *908:B *261:20 1.31166e-05 +73 *908:B *261:77 1.65872e-05 +74 *909:B *261:89 3.00174e-06 +75 *911:B *261:89 2.92544e-05 +76 *924:A2 *261:119 5.27179e-06 +77 *924:B1 *261:119 4.40236e-05 +78 *927:A *1100:A1 5.04238e-05 +79 *927:A *261:95 0.000332399 +80 *927:A *261:135 0.000107643 +81 *927:B *1100:A1 5.79399e-05 +82 *931:A1 *1100:A1 0.00016386 +83 *932:A *1100:A1 3.19611e-05 +84 *1020:B *261:11 0.000191258 +85 *1048:C *261:119 7.71332e-05 +86 *1050:A *261:119 1.92561e-05 +87 *1050:B *1049:B1 1.64895e-05 +88 *1050:B *261:119 2.58616e-05 +89 *1067:S *930:A1 5.47065e-05 +90 *1067:S *261:95 5.42307e-05 +91 *1069:A0 *261:20 0.000260325 +92 *1070:A0 *261:20 0.000111802 +93 *1075:A0 *261:89 0.000360959 +94 *1078:A0 *261:79 0.00026 +95 *1098:A1 *1049:B1 0.000101301 +96 *1100:S *1100:A1 1.32509e-05 +97 *1100:S *1101:A1 4.95892e-05 +98 *1106:A0 *261:20 0.000128249 +99 *1106:A0 *261:22 6.93171e-05 +100 *1160:D *261:20 3.76697e-05 +101 *1160:CLK *261:20 0.00030279 +102 *8:10 *895:A1 0.000523576 +103 *51:7 *261:95 2.16355e-05 +104 *52:28 *1101:A1 0.000613431 +105 *82:8 *1049:B1 6.66484e-05 +106 *93:15 *261:89 2.58757e-05 +107 *140:11 *930:A1 1.37421e-05 +108 *140:11 *261:95 0.000109427 +109 *140:42 *261:89 0.000227148 +110 *142:8 *261:22 1.57723e-05 +111 *145:8 *261:89 3.71813e-06 +112 *145:14 *1101:A1 2.07087e-05 +113 *159:10 *1049:B1 0.000183 +114 *222:15 *261:89 0.000149781 +115 *229:8 *1100:A1 0 +116 *229:83 *1100:A1 0 +117 *232:77 *1113:D 0.000167817 +118 *255:28 *261:40 9.81304e-05 +119 *259:58 *261:29 0.000121898 +120 *259:97 *930:A1 6.46815e-05 +121 *260:13 *895:A1 2.89547e-05 +122 *260:29 *895:A1 0.00037907 +123 *260:130 *930:A1 7.71949e-05 *RES -1 *1112:Q *1115:D 37.5703 +1 *1132:Q *261:11 14.4817 +2 *261:11 *261:20 27.8945 +3 *261:20 *261:22 5.15401 +4 *261:22 *261:29 12.0628 +5 *261:29 *893:A 9.24915 +6 *261:29 *261:40 14.7409 +7 *261:40 *261:41 1.278 +8 *261:41 *1113:D 19.4792 +9 *261:41 *894:A 9.24915 +10 *261:40 *1104:A1 26.9346 +11 *261:22 *895:A1 20.0427 +12 *261:20 *261:77 9.10562 +13 *261:77 *261:79 7.37864 +14 *261:79 *261:89 40.8501 +15 *261:89 *261:91 4.5 +16 *261:91 *261:95 13.7022 +17 *261:95 *924:A1 9.69524 +18 *261:95 *930:A1 22.8808 +19 *261:95 *261:119 11.1885 +20 *261:119 *1049:B1 25.7876 +21 *261:119 *1048:B 9.24915 +22 *261:91 *261:135 2.24725 +23 *261:135 *1101:A1 22.7916 +24 *261:135 *1100:A1 21.4686 +25 *261:89 *897:A1 9.24915 +26 *261:79 *1078:A1 9.24915 +27 *261:77 *1077:A1 9.24915 +28 *261:11 *1020:A 9.24915 *END -*D_NET *272 0.00130891 +*D_NET *262 0.0059459 *CONN -*I *1116:D I *D sky130_fd_sc_hd__dfrtp_4 -*I *1113:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *1060:A I *D sky130_fd_sc_hd__clkinv_2 +*I *888:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1061:B I *D sky130_fd_sc_hd__xnor2_1 +*I *944:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *1064:C I *D sky130_fd_sc_hd__nor3_1 +*I *1162:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *1116:D 0.000455667 -2 *1113:Q 0.000455667 -3 *1116:D *296:458 9.14669e-05 -4 *1116:D *296:771 1.9547e-05 -5 *1116:D *308:7 7.16754e-05 -6 *248:26 *1116:D 9.63981e-05 -7 *269:28 *1116:D 0.000118485 +1 *1060:A 0 +2 *888:A1 0 +3 *1061:B 2.60118e-05 +4 *944:C_N 0.000379618 +5 *1064:C 1.97448e-05 +6 *1162:Q 0.0001152 +7 *262:50 7.67413e-05 +8 *262:49 0.000131682 +9 *262:45 0.000286207 +10 *262:37 0.000755479 +11 *262:17 0.00107551 +12 *262:8 0.000280614 +13 *944:C_N *944:A 1.3808e-05 +14 *944:C_N *1065:A 4.81452e-05 +15 *944:C_N *264:5 0.000368493 +16 *1061:B *263:27 0.000218376 +17 *1064:C *352:DIODE 2.15184e-05 +18 *1064:C *944:A 2.41483e-05 +19 *1064:C *1127:RESET_B 6.08467e-05 +20 *1064:C *1130:D 6.50586e-05 +21 *262:8 *309:448 8.36586e-06 +22 *262:8 *309:459 0.000111679 +23 *262:8 *325:11 3.79836e-05 +24 *262:17 *944:A 2.76495e-05 +25 *262:37 *944:A 9.05084e-06 +26 *262:37 *1164:RESET_B 5.71849e-05 +27 *262:45 *263:27 4.58003e-05 +28 *262:49 *389:DIODE 0.000165521 +29 *262:49 *263:27 0.000288364 +30 *888:A0 *262:45 0.000212309 +31 *1079:A0 *262:37 0.000211546 +32 *1162:CLK *262:45 9.2346e-06 +33 *1164:D *944:C_N 0.000206696 +34 *1164:D *262:8 0 +35 *1164:D *262:37 0.000143892 +36 *1164:CLK *262:37 4.38909e-05 +37 *1164:CLK *262:45 6.08467e-05 +38 *1199:A *262:8 1.85511e-05 +39 *232:32 *1061:B 0.000218376 +40 *259:18 *262:37 2.72092e-05 +41 *259:44 *262:45 7.45459e-05 *RES -1 *1113:Q *1116:D 37.293 +1 *1162:Q *262:8 16.8577 +2 *262:8 *1064:C 15.0271 +3 *262:8 *262:17 3.07775 +4 *262:17 *944:C_N 23.7802 +5 *262:17 *262:37 16.7007 +6 *262:37 *262:45 15.3249 +7 *262:45 *262:49 12.7456 +8 *262:49 *262:50 57.9449 +9 *262:50 *1061:B 20.8855 +10 *262:45 *888:A1 9.24915 +11 *262:37 *1060:A 9.24915 *END -*D_NET *273 0.000573236 +*D_NET *263 0.00448074 *CONN -*I *1090:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1104:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *944:A I *D sky130_fd_sc_hd__nor3b_2 +*I *1064:A I *D sky130_fd_sc_hd__nor3_1 +*I *1061:A I *D sky130_fd_sc_hd__xnor2_1 +*I *886:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1163:Q O *D sky130_fd_sc_hd__dfrtp_1 *CAP -1 *1090:A1 0.000255003 -2 *1104:Q 0.000255003 -3 *1090:A1 *1172:A 6.32294e-05 +1 *944:A 0.000288635 +2 *1064:A 0 +3 *1061:A 0 +4 *886:A1 0.000214816 +5 *1163:Q 0 +6 *263:27 0.000603292 +7 *263:17 0.000558202 +8 *263:4 0.000458361 +9 *944:A *352:DIODE 1.36871e-05 +10 *944:A *1130:D 0.000222149 +11 *944:A *1164:RESET_B 5.03545e-06 +12 *944:A *264:5 0.000114271 +13 *263:27 *352:DIODE 4.5332e-05 +14 *889:A *263:27 0.000228593 +15 *944:C_N *944:A 1.3808e-05 +16 *1061:B *263:27 0.000218376 +17 *1064:B *944:A 6.3657e-05 +18 *1064:C *944:A 2.41483e-05 +19 *1065:B *944:A 0.000271044 +20 *1164:D *944:A 0.000199203 +21 *7:16 *263:27 0.000223747 +22 *232:32 *886:A1 1.43983e-05 +23 *232:32 *263:17 7.17441e-05 +24 *232:32 *263:27 0.00019597 +25 *255:28 *886:A1 6.14023e-05 +26 *262:17 *944:A 2.76495e-05 +27 *262:37 *944:A 9.05084e-06 +28 *262:45 *263:27 4.58003e-05 +29 *262:49 *263:27 0.000288364 *RES -1 *1104:Q *1090:A1 33.2661 +1 *1163:Q *263:4 9.24915 +2 *263:4 *886:A1 23.2961 +3 *263:4 *263:17 4.48505 +4 *263:17 *1061:A 9.24915 +5 *263:17 *263:27 14.7814 +6 *263:27 *1064:A 9.24915 +7 *263:27 *944:A 28.8984 *END -*D_NET *274 0.00163604 +*D_NET *264 0.00158995 *CONN -*I *1158:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *1021:A0 I *D sky130_fd_sc_hd__mux2_2 -*I *1098:Q O *D sky130_fd_sc_hd__dfxtp_1 +*I *1065:A I *D sky130_fd_sc_hd__xor2_1 +*I *944:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1164:Q O *D sky130_fd_sc_hd__dfrtp_1 *CAP -1 *1158:A 7.95099e-05 -2 *1021:A0 1.15099e-05 -3 *1098:Q 0.000377088 -4 *274:10 0.000468108 -5 *1021:A0 *1021:S 6.27718e-05 -6 *1158:A *1021:S 9.81698e-05 -7 *1158:A *1104:D 6.90063e-05 -8 *1158:A *1170:A 0.000160779 -9 *274:10 *1104:D 4.39459e-05 -10 *274:10 *296:379 6.00124e-05 -11 *1021:A1 *1021:A0 6.27718e-05 -12 *1021:A1 *1158:A 6.27782e-05 -13 *1022:A *274:10 1.67286e-05 -14 *267:30 *274:10 6.28581e-05 +1 *1065:A 0.000320948 +2 *944:B 2.1308e-05 +3 *1164:Q 8.39448e-05 +4 *264:5 0.000426201 +5 *1065:A *1130:D 0.000157906 +6 *1065:A *325:11 4.87301e-05 +7 *944:A *264:5 0.000114271 +8 *944:C_N *1065:A 4.81452e-05 +9 *944:C_N *264:5 0.000368493 +10 *1164:D *1065:A 0 *RES -1 *1098:Q *274:10 26.6209 -2 *274:10 *1021:A0 9.97254 -3 *274:10 *1158:A 13.8548 +1 *1164:Q *264:5 13.3002 +2 *264:5 *944:B 9.82786 +3 *264:5 *1065:A 26.6503 *END -*D_NET *275 0.000593141 +*D_NET *265 0.00146717 *CONN -*I *1052:A I *D sky130_fd_sc_hd__clkinv_4 -*I *1161:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *946:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1107:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1149:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *1052:A 0.000280821 -2 *1161:X 0.000280821 -3 *376:DIODE *1052:A 3.14978e-05 -4 *1059:A1 *1052:A 0 -5 *229:6 *1052:A 0 +1 *946:A 0 +2 *1107:A0 0.000377127 +3 *1149:Q 0.000114288 +4 *265:5 0.000491416 +5 *1107:A0 *1128:D 5.33533e-05 +6 *1107:A0 *1131:D 4.61908e-05 +7 *1107:A0 *309:812 0 +8 *1107:A0 *309:814 0 +9 *265:5 *356:DIODE 1.19705e-05 +10 *265:5 *1149:SET_B 3.79772e-05 +11 *1107:A1 *1107:A0 0.00016553 +12 *168:9 *265:5 0.000169317 *RES -1 *1161:X *1052:A 34.2062 +1 *1149:Q *265:5 13.5775 +2 *265:5 *1107:A0 27.312 +3 *265:5 *946:A 9.24915 *END -*D_NET *276 0.00875628 +*D_NET *266 0.0043628 *CONN -*I *1018:A I *D sky130_fd_sc_hd__inv_4 -*I *1020:A I *D sky130_fd_sc_hd__inv_4 -*I *1019:A I *D sky130_fd_sc_hd__inv_4 -*I *1170:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1071:X O *D sky130_fd_sc_hd__mux2_1 +*I *926:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *929:B I *D sky130_fd_sc_hd__nand2_1 +*I *1054:B I *D sky130_fd_sc_hd__nor2_1 +*I *1053:B I *D sky130_fd_sc_hd__xnor2_1 +*I *941:A I *D sky130_fd_sc_hd__inv_2 +*I *1150:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *1018:A 0 -2 *1020:A 0.000284638 -3 *1019:A 2.12792e-05 -4 *1170:A 0.00157463 -5 *1071:X 0 -6 *276:31 0.000526407 -7 *276:27 0.000567996 -8 *276:4 0.00192214 -9 *1020:A *1100:D 0.000249328 -10 *1020:A *1159:A 0.00026179 -11 *1020:A *1171:A 0.000207894 -12 *1170:A *1104:D 6.1958e-05 -13 *1170:A *1172:A 0.00042822 -14 *1170:A *296:384 3.44501e-05 -15 *276:27 *325:DIODE 7.05301e-05 -16 *276:27 *1071:S 2.57847e-05 -17 *276:31 *325:DIODE 0.000368397 -18 *276:31 *1100:CLK 4.89392e-05 -19 *276:31 *1171:A 0.000144715 -20 resetb_sync *276:27 0.000511867 -21 resetb_sync *276:31 4.12914e-05 -22 user_clk *1170:A 0.000263038 -23 *1021:A1 *1170:A 0.00066333 -24 *1071:A0 *1170:A 0.000122337 -25 *1071:A0 *276:27 0.000114576 -26 *1071:A1 *276:27 7.76969e-05 -27 *1072:A0 *1170:A 2.26713e-06 -28 *1158:A *1170:A 0.000160779 +1 *926:C_N 2.20622e-05 +2 *929:B 4.45739e-05 +3 *1054:B 0.000160804 +4 *1053:B 0 +5 *941:A 0.000158049 +6 *1150:Q 0 +7 *266:27 0.000276365 +8 *266:24 0.000320447 +9 *266:15 0.000389426 +10 *266:4 0.000320077 +11 *926:C_N *1053:A 2.36259e-05 +12 *929:B *928:A 0.000101384 +13 *1054:B *1054:A 6.00269e-05 +14 *1054:B *309:591 1.23772e-05 +15 *266:15 *1150:RESET_B 4.24488e-05 +16 *266:24 *1053:A 8.92169e-05 +17 *266:27 *928:A 0.000152878 +18 *266:27 *935:C 0.000415306 +19 *929:A *929:B 1.88907e-05 +20 *934:B *266:15 7.621e-05 +21 *934:B *266:24 7.01935e-06 +22 *938:B *266:24 2.39189e-05 +23 *942:A *941:A 0.000230415 +24 *943:B1 *941:A 0 +25 *4:7 *1054:B 0 +26 *52:8 *926:C_N 5.35113e-05 +27 *52:8 *266:24 0.000333575 +28 *83:8 *941:A 0.000142408 +29 *159:17 *929:B 4.88955e-05 +30 *159:17 *266:27 0.000572395 +31 *159:41 *929:B 6.50727e-05 +32 *161:17 *266:24 0.000136276 +33 *161:20 *941:A 6.51423e-05 *RES -1 *1071:X *276:4 9.24915 -2 *276:4 *1170:A 39.6318 -3 *276:4 *276:27 11.4779 -4 *276:27 *276:31 9.06656 -5 *276:31 *1019:A 9.82786 -6 *276:31 *1020:A 21.0887 -7 *276:27 *1018:A 9.24915 +1 *1150:Q *266:4 9.24915 +2 *266:4 *941:A 23.8184 +3 *266:4 *266:15 4.48505 +4 *266:15 *1053:B 9.24915 +5 *266:15 *266:24 12.7324 +6 *266:24 *266:27 10.7694 +7 *266:27 *1054:B 22.1574 +8 *266:27 *929:B 11.5158 +9 *266:24 *926:C_N 14.7506 *END -*D_NET *277 0.00368446 +*D_NET *267 0.00375277 *CONN -*I *1171:A I *D sky130_fd_sc_hd__buf_2 -*I *1053:Y O *D sky130_fd_sc_hd__nor2_1 +*I *928:B I *D sky130_fd_sc_hd__nor2_1 +*I *1054:A I *D sky130_fd_sc_hd__nor2_1 +*I *926:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1053:A I *D sky130_fd_sc_hd__xnor2_1 +*I *939:C I *D sky130_fd_sc_hd__nand3_1 +*I *1151:Q O *D sky130_fd_sc_hd__dfstp_1 *CAP -1 *1171:A 0.00152832 -2 *1053:Y 0.00152832 -3 *1171:A *1159:A 0.000111359 -4 *377:DIODE *1171:A 0.00016386 -5 *1020:A *1171:A 0.000207894 -6 *276:31 *1171:A 0.000144715 +1 *928:B 3.82961e-05 +2 *1054:A 6.87693e-05 +3 *926:B 0 +4 *1053:A 0.000210196 +5 *939:C 0.000143182 +6 *1151:Q 0 +7 *267:41 0.00022544 +8 *267:33 0.00022745 +9 *267:19 0.000424138 +10 *267:4 0.000248049 +11 *928:B *928:A 2.89099e-05 +12 *928:B *268:13 4.76161e-06 +13 *939:C *1151:SET_B 0.000227779 +14 *1053:A *309:564 0 +15 *1054:A *268:11 2.29838e-05 +16 *1054:A *309:591 9.43419e-05 +17 *267:19 *1151:SET_B 8.7133e-05 +18 *267:33 *935:C 0.000177435 +19 *267:41 *928:A 2.15348e-05 +20 *267:41 *935:C 6.22737e-05 +21 *267:41 *268:11 6.08467e-05 +22 *926:C_N *1053:A 2.36259e-05 +23 *934:B *1053:A 8.96342e-05 +24 *935:A *267:19 1.02267e-05 +25 *936:B *267:19 0.000101553 +26 *938:B *1053:A 0 +27 *939:A *939:C 0.00030181 +28 *939:A *267:19 0.00020358 +29 *939:B *1053:A 3.20264e-05 +30 *1054:B *1054:A 6.00269e-05 +31 *9:5 *939:C 6.35347e-05 +32 *52:8 *1053:A 5.1674e-06 +33 *52:8 *267:33 8.66023e-05 +34 *145:14 *1053:A 0.000173578 +35 *159:17 *267:19 1.80122e-05 +36 *159:17 *267:33 6.89584e-06 +37 *161:17 *1053:A 0.000113758 +38 *266:24 *1053:A 8.92169e-05 *RES -1 *1053:Y *1171:A 43.5037 +1 *1151:Q *267:4 9.24915 +2 *267:4 *939:C 24.1308 +3 *267:4 *267:19 4.52122 +4 *267:19 *1053:A 25.4794 +5 *267:19 *267:33 4.24392 +6 *267:33 *926:B 9.24915 +7 *267:33 *267:41 3.52053 +8 *267:41 *1054:A 20.9116 +9 *267:41 *928:B 10.5513 *END -*D_NET *278 0.00275498 +*D_NET *268 0.00244011 *CONN -*I *1172:A I *D sky130_fd_sc_hd__clkbuf_1 -*I *1072:X O *D sky130_fd_sc_hd__mux2_1 +*I *1055:A I *D sky130_fd_sc_hd__xor2_1 +*I *926:A I *D sky130_fd_sc_hd__nor3b_2 +*I *928:A I *D sky130_fd_sc_hd__nor2_1 +*I *935:C I *D sky130_fd_sc_hd__nand3_1 +*I *1152:Q O *D sky130_fd_sc_hd__dfrtn_1 *CAP -1 *1172:A 0.000554071 -2 *1072:X 0.000554071 -3 *1172:A *1090:S 0.0001977 -4 *892:B1 *1172:A 6.36477e-05 -5 *904:C *1172:A 0.000113244 -6 *1072:A0 *1172:A 0.000160641 -7 *1090:A0 *1172:A 0.00014815 -8 *1090:A1 *1172:A 6.32294e-05 -9 *1144:D *1172:A 0.000124508 -10 *1170:A *1172:A 0.00042822 -11 *267:30 *1172:A 0.000347499 +1 *1055:A 0.000214777 +2 *926:A 0 +3 *928:A 4.41904e-05 +4 *935:C 9.03417e-05 +5 *1152:Q 5.26694e-05 +6 *268:13 0.000152777 +7 *268:11 0.000186829 +8 *268:7 0.00043603 +9 *268:7 *1152:RESET_B 3.16749e-06 +10 *268:11 *309:591 0.000114659 +11 *928:B *928:A 2.89099e-05 +12 *928:B *268:13 4.76161e-06 +13 *929:A *928:A 2.65831e-05 +14 *929:B *928:A 0.000101384 +15 *935:A *935:C 1.05512e-05 +16 *1054:A *268:11 2.29838e-05 +17 *1055:B *1055:A 3.30938e-05 +18 *1055:B *268:11 1.59362e-06 +19 *159:17 *935:C 2.45352e-05 +20 *266:27 *928:A 0.000152878 +21 *266:27 *935:C 0.000415306 +22 *267:33 *935:C 0.000177435 +23 *267:41 *928:A 2.15348e-05 +24 *267:41 *935:C 6.22737e-05 +25 *267:41 *268:11 6.08467e-05 *RES -1 *1072:X *1172:A 48.6654 +1 *1152:Q *268:7 14.4725 +2 *268:7 *268:11 9.5469 +3 *268:11 *268:13 0.578717 +4 *268:13 *935:C 14.9881 +5 *268:13 *928:A 12.2151 +6 *268:11 *926:A 9.24915 +7 *268:7 *1055:A 18.7989 *END -*D_NET *279 0.000725794 +*D_NET *269 0.0095294 *CONN -*I *1101:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1056:LO O *D sky130_fd_sc_hd__conb_1 +*I *902:B I *D sky130_fd_sc_hd__nand2_1 +*I *1056:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1057:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1058:B I *D sky130_fd_sc_hd__nor2_1 +*I *913:C I *D sky130_fd_sc_hd__nand3_1 +*I *1157:Q O *D sky130_fd_sc_hd__dfrtp_2 *CAP -1 *1101:D 0.000206635 -2 *1056:LO 0.000206635 -3 *1101:D *325:DIODE 0.000118017 -4 *1101:D *296:785 1.43832e-05 -5 *243:66 *1101:D 0.000180124 +1 *902:B 0.000221388 +2 *1056:A 0 +3 *1057:B 0 +4 *1058:B 0.000352316 +5 *913:C 0.000118088 +6 *1157:Q 0 +7 *269:56 0.00127299 +8 *269:19 0.000751839 +9 *269:13 0.00233237 +10 *269:4 0.000999327 +11 *902:B *329:8 7.01144e-05 +12 *913:C *382:DIODE 9.54357e-06 +13 *1058:B *909:C 0.00021217 +14 *1058:B *1174:A 1.64983e-05 +15 *1058:B *270:21 3.57631e-05 +16 *1058:B *270:38 0.000107613 +17 *269:19 *270:21 0.000208606 +18 *269:56 *1161:RESET_B 0.000352761 +19 *902:A *902:B 5.22654e-06 +20 *909:B *1058:B 3.54024e-05 +21 *910:A1 *1058:B 0.00016553 +22 *912:A1 *269:19 9.02e-05 +23 *913:A *913:C 5.28741e-05 +24 *913:A *269:13 0.000106549 +25 *913:B *913:C 0.000114594 +26 *1078:A0 *1058:B 0.000264586 +27 *1102:S *902:B 0.000210988 +28 *1111:D *269:56 3.29352e-05 +29 *1157:D *269:13 1.97895e-05 +30 *1157:CLK *269:13 7.621e-05 +31 *1161:D *269:19 3.20407e-05 +32 *1170:A *269:19 0.000155621 +33 *1173:A *902:B 0.000163309 +34 *1173:A *269:19 0.000156823 +35 *18:42 *269:19 0 +36 *93:15 *1058:B 9.19951e-05 +37 *231:21 *1058:B 6.11624e-05 +38 *232:77 *269:56 6.36477e-05 +39 *232:81 *269:13 2.41827e-05 +40 *232:81 *269:56 0.000199488 +41 *259:58 *902:B 6.27332e-05 +42 *259:69 *269:56 1.95194e-05 +43 *259:83 *269:13 2.26713e-06 +44 *260:40 *269:19 2.67928e-05 +45 *260:47 *269:19 8.03117e-05 +46 *261:29 *902:B 0 +47 *261:89 *1058:B 7.16615e-05 +48 *261:89 *269:19 8.15707e-05 *RES -1 *1056:LO *1101:D 34.3512 +1 *1157:Q *269:4 9.24915 +2 *269:4 *913:C 12.7697 +3 *269:4 *269:13 13.4793 +4 *269:13 *269:19 22.3547 +5 *269:19 *1058:B 31.0016 +6 *269:19 *1057:B 9.24915 +7 *269:13 *269:56 28.4541 +8 *269:56 *1056:A 9.24915 +9 *269:56 *902:B 25.3779 *END -*D_NET *280 0.000292005 +*D_NET *270 0.00773559 *CONN -*I *1139:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *901:B I *D sky130_fd_sc_hd__nor2_1 +*I *1058:A I *D sky130_fd_sc_hd__nor2_1 +*I *1057:A I *D sky130_fd_sc_hd__xnor2_1 +*I *911:C I *D sky130_fd_sc_hd__nand3_1 +*I *1158:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *901:B 0.000243511 +2 *1058:A 1.78357e-05 +3 *1057:A 0 +4 *911:C 0.000670076 +5 *1158:Q 0 +6 *270:38 0.000648343 +7 *270:21 0.000674458 +8 *270:4 0.000957538 +9 *901:B *901:A 6.08467e-05 +10 *901:B *1119:D 0 +11 *911:C *383:DIODE 1.07248e-05 +12 *270:38 *901:A 0.000405969 +13 *270:38 *1106:A1 0.000109978 +14 *270:38 *1174:A 6.64392e-05 +15 *908:B *901:B 0.000370801 +16 *912:A1 *270:21 7.45615e-05 +17 *1058:B *270:21 3.57631e-05 +18 *1058:B *270:38 0.000107613 +19 *1075:A0 *270:38 0.000604978 +20 *1078:A0 *901:B 3.72292e-05 +21 *1078:A0 *1058:A 6.08467e-05 +22 *1158:D *911:C 5.23236e-05 +23 *4:11 *911:C 1.88914e-05 +24 *4:11 *270:21 2.85303e-06 +25 *18:42 *270:21 0.000269551 +26 *93:15 *901:B 3.92299e-05 +27 *93:15 *911:C 0.000159354 +28 *142:8 *901:B 3.00174e-06 +29 *142:21 *901:B 6.27718e-05 +30 *145:8 *911:C 0 +31 *146:21 *911:C 9.58242e-05 +32 *222:15 *270:21 0.000543825 +33 *222:15 *270:38 0.000222112 +34 *261:20 *901:B 2.07803e-05 +35 *261:22 *901:B 0.000109951 +36 *261:77 *901:B 0.000354448 +37 *261:79 *901:B 0.000316119 +38 *261:79 *1058:A 1.65872e-05 +39 *261:89 *270:21 7.23063e-05 +40 *261:89 *270:38 9.54357e-06 +41 *269:19 *270:21 0.000208606 +*RES +1 *1158:Q *270:4 9.24915 +2 *270:4 *911:C 31.4715 +3 *270:4 *270:21 20.9245 +4 *270:21 *1057:A 9.24915 +5 *270:21 *270:38 23.1074 +6 *270:38 *1058:A 9.97254 +7 *270:38 *901:B 29.5924 +*END + +*D_NET *271 0.00797826 +*CONN +*I *909:C I *D sky130_fd_sc_hd__nand3_1 +*I *901:A I *D sky130_fd_sc_hd__nor2_1 +*I *1059:A I *D sky130_fd_sc_hd__xor2_1 +*I *1159:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *909:C 3.3115e-05 +2 *901:A 0.000384644 +3 *1059:A 0.00109443 +4 *1159:Q 0 +5 *271:30 0.000599907 +6 *271:4 0.00127658 +7 *901:A *1106:A1 6.36477e-05 +8 *901:A *1106:S 0.000205408 +9 *1059:A *1017:A0 7.67631e-05 +10 *901:B *901:A 6.08467e-05 +11 *904:A_N *901:A 4.76161e-06 +12 *904:C *901:A 5.11656e-06 +13 *909:B *901:A 2.91462e-05 +14 *909:B *909:C 0.00021217 +15 *909:B *271:30 0.000169093 +16 *912:A1 *1059:A 2.18704e-05 +17 *1023:A *901:A 4.85742e-05 +18 *1058:B *909:C 0.00021217 +19 *1075:A0 *901:A 1.60055e-05 +20 *1106:A0 *901:A 0.00070255 +21 *1114:D *1059:A 4.64935e-05 +22 *1159:D *1059:A 1.58114e-05 +23 *1165:A *271:30 3.31733e-05 +24 *1170:A *1059:A 0.00014186 +25 *4:11 *901:A 0.000138783 +26 *4:11 *271:30 0.000160467 +27 *55:14 *901:A 2.17834e-05 +28 *142:21 *901:A 0.000123007 +29 *145:54 *1059:A 7.98171e-06 +30 *145:54 *271:30 2.24484e-05 +31 *146:36 *1059:A 0.000107496 +32 *146:66 *1059:A 0.000163428 +33 *146:66 *271:30 0.000314044 +34 *222:15 *901:A 0.000354476 +35 *222:15 *1059:A 0.000669957 +36 *261:89 *901:A 2.38092e-05 +37 *261:89 *1059:A 1.04731e-05 +38 *270:38 *901:A 0.000405969 +*RES +1 *1159:Q *271:4 9.24915 +2 *271:4 *1059:A 40.945 +3 *271:4 *271:30 11.4894 +4 *271:30 *901:A 32.3264 +5 *271:30 *909:C 16.1364 +*END + +*D_NET *272 0.00418605 +*CONN +*I *1045:A I *D sky130_fd_sc_hd__clkinv_2 +*I *920:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1051:B I *D sky130_fd_sc_hd__nor2_1 +*I *1047:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1154:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1045:A 0 +2 *920:A0 0 +3 *1051:B 0.00022253 +4 *1047:B 6.27553e-05 +5 *1154:Q 7.4909e-05 +6 *272:15 0.000949272 +7 *272:9 0.000819022 +8 *272:8 0.000229945 +9 *1047:B *1047:A 0.000360307 +10 *1051:B *273:10 1.45799e-05 +11 *272:15 *1047:A 4.61271e-05 +12 *915:S *1051:B 2.10465e-05 +13 *918:S *1051:B 0.000127261 +14 *918:S *272:15 2.75725e-05 +15 *919:A *1047:B 0.000263038 +16 *920:A1 *272:8 0 +17 *920:A1 *272:9 4.66889e-05 +18 *921:A *272:15 5.28741e-05 +19 *1044:B *272:15 0.000256146 +20 *1096:A0 *272:15 0.000159032 +21 *1097:A0 *272:8 0.000102527 +22 *1098:A0 *1051:B 0.000328031 +23 *1154:D *272:15 1.36606e-05 +24 *228:8 *272:8 8.72115e-06 +*RES +1 *1154:Q *272:8 20.4964 +2 *272:8 *272:9 4.05102 +3 *272:9 *272:15 17.2651 +4 *272:15 *1047:B 13.3002 +5 *272:15 *1051:B 25.4794 +6 *272:9 *920:A0 9.24915 +7 *272:8 *1045:A 9.24915 +*END + +*D_NET *273 0.00314252 +*CONN +*I *923:B I *D sky130_fd_sc_hd__nor2_1 +*I *1051:A I *D sky130_fd_sc_hd__nor2_1 +*I *918:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1047:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1155:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *923:B 0.000187803 +2 *1051:A 9.28941e-05 +3 *918:A0 0 +4 *1047:A 0.000108031 +5 *1155:Q 0.000100157 +6 *273:21 0.000339244 +7 *273:10 0.000208183 +8 *273:7 0.000258856 +9 *918:A1 *273:10 0.000193823 +10 *918:A1 *273:21 7.50872e-05 +11 *918:S *1047:A 0.000208621 +12 *919:A *1047:A 2.30636e-05 +13 *1047:B *1047:A 0.000360307 +14 *1051:B *273:10 1.45799e-05 +15 *1052:B *923:B 2.40924e-05 +16 *1098:A0 *923:B 2.03171e-05 +17 *1098:A0 *1047:A 4.61271e-05 +18 *1098:A0 *1051:A 6.08467e-05 +19 *1098:A0 *273:10 0.000194077 +20 *1098:A0 *273:21 0.000110279 +21 *1099:A0 *923:B 5.23435e-05 +22 *20:7 *1051:A 0.000203756 +23 *154:9 *923:B 0.000160047 +24 *229:17 *273:7 5.38585e-05 +25 *272:15 *1047:A 4.61271e-05 +*RES +1 *1155:Q *273:7 15.3044 +2 *273:7 *273:10 8.82351 +3 *273:10 *1047:A 14.964 +4 *273:10 *918:A0 9.24915 +5 *273:7 *273:21 2.24725 +6 *273:21 *1051:A 16.7151 +7 *273:21 *923:B 19.0748 +*END + +*D_NET *274 0.0016882 +*CONN +*I *1052:A I *D sky130_fd_sc_hd__xor2_1 +*I *923:A I *D sky130_fd_sc_hd__nor2_1 +*I *915:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1156:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1052:A 0.000196697 +2 *923:A 0 +3 *915:A0 8.20669e-05 +4 *1156:Q 0.000218994 +5 *274:10 8.20669e-05 +6 *274:8 0.000415691 +7 *1052:A *309:10 7.67416e-05 +8 *274:8 *1156:RESET_B 0.000112361 +9 *274:8 *309:10 4.43331e-05 +10 *915:A1 *915:A0 8.85947e-05 +11 *915:S *915:A0 0.000110458 +12 *915:S *1052:A 2.86829e-05 +13 *915:S *274:8 0.000114659 +14 *942:B *1052:A 5.03545e-06 +15 *1052:B *1052:A 0.000111823 +*RES +1 *1156:Q *274:8 18.3836 +2 *274:8 *274:10 4.5 +3 *274:10 *915:A0 12.191 +4 *274:10 *923:A 9.24915 +5 *274:8 *1052:A 19.8392 +*END + +*D_NET *275 0.000332606 +*CONN +*I *892:C I *D sky130_fd_sc_hd__nand3_1 +*I *1111:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *892:C 8.21467e-05 +2 *1111:Q 8.21467e-05 +3 *1172:A *892:C 0.000168313 +*RES +1 *1111:Q *892:C 21.3195 +*END + +*D_NET *276 0.000590206 +*CONN +*I *890:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *891:A I *D sky130_fd_sc_hd__or2b_1 +*I *1112:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *890:B_N 0.000108508 +2 *891:A 0 +3 *1112:Q 2.58441e-05 +4 *276:8 0.000134352 +5 *892:B *890:B_N 6.50586e-05 +6 *900:A1 *890:B_N 6.27718e-05 +7 *900:A1 *276:8 7.86847e-05 +8 *138:9 *890:B_N 2.60765e-05 +9 *259:89 *276:8 7.86847e-05 +10 *260:47 *890:B_N 1.02267e-05 +*RES +1 *1112:Q *276:8 19.6659 +2 *276:8 *891:A 9.24915 +3 *276:8 *890:B_N 12.6491 +*END + +*D_NET *277 0.00182112 +*CONN +*I *893:B I *D sky130_fd_sc_hd__nor2_1 +*I *894:B I *D sky130_fd_sc_hd__and2_1 +*I *1113:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *893:B 0.000185665 +2 *894:B 7.53521e-05 +3 *1113:Q 0.000263123 +4 *277:8 0.00052414 +5 *896:A1 *893:B 4.04393e-05 +6 *1113:D *894:B 5.05502e-05 +7 *1113:D *277:8 0.000269315 +8 *255:28 *277:8 1.13359e-05 +9 *261:29 *893:B 1.42031e-05 +10 *261:40 *893:B 0.000277007 +11 *261:40 *277:8 2.75449e-05 +12 *261:41 *894:B 6.36477e-05 +13 *261:41 *277:8 1.88014e-05 +*RES +1 *1113:Q *277:8 18.6623 +2 *277:8 *894:B 16.1605 +3 *277:8 *893:B 19.7928 +*END + +*D_NET *278 0.00131767 +*CONN +*I *903:A I *D sky130_fd_sc_hd__inv_2 +*I *1026:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1160:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *903:A 0 +2 *1026:A 7.71995e-05 +3 *1160:Q 0.000221873 +4 *278:11 0.000299073 +5 *1026:A *281:21 3.49805e-05 +6 *278:11 *1160:SET_B 8.75727e-05 +7 *278:11 *281:21 2.20471e-05 +8 *1027:B1 *1026:A 2.57847e-05 +9 *1027:B2 *1026:A 8.85203e-05 +10 *1107:A1 *1026:A 2.30636e-05 +11 *1107:A1 *278:11 7.19887e-05 +12 *143:5 *1026:A 0.000365572 +*RES +1 *1160:Q *278:11 23.3297 +2 *278:11 *1026:A 13.8548 +3 *278:11 *903:A 9.24915 +*END + +*D_NET *279 0.00791166 +*CONN +*I *1026:B I *D sky130_fd_sc_hd__xnor2_1 +*I *925:A I *D sky130_fd_sc_hd__inv_2 +*I *1153:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1026:B 0.00048759 +2 *925:A 0.000590947 +3 *1153:Q 0 +4 *279:27 0.00266276 +5 *279:4 0.00276611 +6 *925:A *1153:SET_B 2.07237e-05 +7 *925:A *309:713 6.07721e-05 +8 *1026:B *385:DIODE 3.67259e-05 +9 *1026:B *309:928 2.8322e-05 +10 *279:27 *1117:D 4.89251e-05 +11 *279:27 *1119:D 8.85179e-05 +12 *279:27 *309:713 0.000100788 +13 *279:27 *309:719 0.00016195 +14 *279:27 *309:755 4.04827e-05 +15 *279:27 *309:763 5.81096e-06 +16 *279:27 *309:775 5.29412e-05 +17 *279:27 *309:781 2.23632e-05 +18 *279:27 *330:7 0.000114584 +19 *908:C *1026:B 0 +20 *1119:CLK *279:27 0.000412913 +21 *1120:CLK *279:27 6.77815e-05 +22 *1159:CLK *925:A 6.92705e-05 +23 *145:34 *925:A 8.61152e-06 +24 *145:54 *925:A 6.27718e-05 +25 *255:28 *1026:B 0 +*RES +1 *1153:Q *279:4 9.24915 +2 *279:4 *925:A 29.2882 +3 *279:4 *279:27 41.9357 +4 *279:27 *1026:B 25.1319 +*END + +*D_NET *280 0.0139757 +*CONN +*I *1078:S I *D sky130_fd_sc_hd__mux2_1 +*I *1076:S I *D sky130_fd_sc_hd__mux2_1 +*I *1103:S I *D sky130_fd_sc_hd__mux2_1 +*I *1097:S I *D sky130_fd_sc_hd__mux2_1 +*I *1067:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1098:S I *D sky130_fd_sc_hd__mux2_1 +*I *1101:S I *D sky130_fd_sc_hd__mux2_1 +*I *1074:S I *D sky130_fd_sc_hd__mux2_1 +*I *1072:S I *D sky130_fd_sc_hd__mux2_1 +*I *898:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1099:S I *D sky130_fd_sc_hd__mux2_1 +*I *1161:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1078:S 0.000430501 +2 *1076:S 0 +3 *1103:S 5.44807e-05 +4 *1097:S 0 +5 *1067:A1 0 +6 *1098:S 0 +7 *1101:S 0.000244611 +8 *1074:S 0 +9 *1072:S 0.000411824 +10 *898:A 0.000396458 +11 *1099:S 6.98384e-05 +12 *1161:Q 0.000191856 +13 *280:71 0.000356205 +14 *280:60 0.000563163 +15 *280:51 0.000643083 +16 *280:42 0.000461738 +17 *280:39 0.000533418 +18 *280:33 0.000571983 +19 *280:25 0.000751532 +20 *280:17 0.000944403 +21 *280:11 0.000498918 +22 *280:8 0.000759302 +23 *1078:S *1120:D 0.000135609 +24 *1101:S *309:591 3.31882e-05 +25 *280:8 *1161:RESET_B 0.00016386 +26 *914:A1 *280:25 6.08467e-05 +27 *920:A1 *280:33 0.000121537 +28 *920:A1 *280:39 1.00981e-05 +29 *920:S *280:39 4.89251e-05 +30 *934:B *1101:S 2.65831e-05 +31 *942:B *1072:S 6.50727e-05 +32 *943:A1 *1072:S 2.65667e-05 +33 *943:A2 *1072:S 0.000164815 +34 *1049:A1 *280:39 6.08467e-05 +35 *1049:B1 *280:39 6.46815e-05 +36 *1067:A0 *280:25 0.0002205 +37 *1067:A0 *280:33 0.000137651 +38 *1067:A0 *280:39 6.08467e-05 +39 *1067:S *280:33 5.58904e-05 +40 *1067:S *280:39 2.16355e-05 +41 *1071:A0 *1072:S 0.000206129 +42 *1071:S *1072:S 6.3657e-05 +43 *1072:A1 *1072:S 6.90268e-06 +44 *1075:A0 *1078:S 0 +45 *1076:A0 *280:11 6.27782e-05 +46 *1097:A0 *280:33 0.000111222 +47 *1098:A1 *280:39 3.83021e-05 +48 *1101:A0 *1101:S 0.000115934 +49 *1103:A0 *1103:S 2.08274e-05 +50 *1103:A0 *280:11 0.000562494 +51 *1103:A0 *280:17 0.000221195 +52 *1103:A0 *280:25 1.38544e-05 +53 *1103:A1 *1103:S 6.27718e-05 +54 *1114:CLK *280:25 0.000320102 +55 *1173:A *280:11 0.000288559 +56 *4:11 *898:A 0.00017933 +57 *4:11 *1078:S 0 +58 *18:24 *280:25 0.000295063 +59 *18:42 *280:25 3.40476e-05 +60 *20:7 *280:39 0.000559815 +61 *52:28 *1101:S 1.92336e-05 +62 *82:8 *280:39 7.72637e-05 +63 *145:14 *1101:S 0.000370829 +64 *154:9 *1099:S 0.00021377 +65 *159:10 *1101:S 4.98796e-05 +66 *159:10 *280:42 1.59362e-06 +67 *159:10 *280:51 1.35449e-05 +68 *159:10 *280:71 7.03112e-06 +69 *222:15 *1078:S 5.88419e-05 +70 *231:21 *280:17 1.75816e-05 +71 *232:81 *280:8 0.000161956 +72 *259:139 *280:51 2.29386e-05 +73 *259:151 *898:A 2.16355e-05 +74 *259:151 *1072:S 6.3974e-05 +75 *259:151 *280:51 9.01349e-05 +76 *260:40 *280:17 1.03594e-05 +77 *260:47 *280:17 5.23577e-05 +78 *260:89 *280:51 5.62448e-05 +79 *260:102 *280:51 3.37886e-05 +80 *260:102 *280:60 2.36813e-05 +81 *260:102 *280:71 4.57077e-05 +82 *261:89 *1078:S 3.78809e-05 +*RES +1 *1161:Q *280:8 19.0748 +2 *280:8 *280:11 12.4332 +3 *280:11 *280:17 15.7163 +4 *280:17 *280:25 24.1958 +5 *280:25 *280:33 7.45098 +6 *280:33 *280:39 13.1176 +7 *280:39 *280:42 8.40826 +8 *280:42 *1099:S 16.1364 +9 *280:42 *280:51 6.39977 +10 *280:51 *898:A 24.4554 +11 *280:51 *280:60 1.00149 +12 *280:60 *1072:S 25.5887 +13 *280:60 *280:71 2.6625 +14 *280:71 *1074:S 13.7491 +15 *280:71 *1101:S 21.7084 +16 *280:39 *1098:S 9.24915 +17 *280:33 *1067:A1 9.24915 +18 *280:25 *1097:S 9.24915 +19 *280:17 *1103:S 11.6846 +20 *280:11 *1076:S 9.24915 +21 *280:8 *1078:S 24.3014 +*END + +*D_NET *281 0.00932598 +*CONN +*I *1131:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *1132:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1130:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1127:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1128:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1070:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1129:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1027:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *1131:CLK 0 +2 *1132:CLK 0.000289104 +3 *1130:CLK 0 +4 *1127:CLK 0.000300766 +5 *1128:CLK 3.6352e-05 +6 *1070:A1 0 +7 *1129:CLK 0.000242736 +8 *1027:Y 0 +9 *281:52 0.000596177 +10 *281:51 0.000584515 +11 *281:49 0.00119138 +12 *281:21 0.00124836 +13 *281:10 0.00117964 +14 *281:4 0.00132904 +15 *1127:CLK *1127:D 0 +16 *1127:CLK *1130:D 0 +17 *1129:CLK *354:DIODE 2.54369e-05 +18 *1129:CLK *1070:S 0 +19 *1129:CLK *1188:A 0 +20 *281:49 *1131:D 0.000109095 +21 *281:49 *1131:SET_B 0.000110099 +22 *907:A2 *281:21 0.000130599 +23 *908:A *281:21 6.96846e-05 +24 *908:B *1129:CLK 6.3657e-05 +25 *908:B *281:21 0.000161252 +26 *1026:A *281:21 3.49805e-05 +27 *1027:A1_N *281:10 6.50727e-05 +28 *1027:A1_N *281:49 4.23874e-05 +29 *1027:A2_N *281:49 0.000155116 +30 *1027:B1 *281:21 0.000613299 +31 *1027:B2 *281:10 5.25024e-05 +32 *1027:B2 *281:21 0.000209504 +33 *1106:A0 *1129:CLK 0.000193016 +34 *1171:A *1129:CLK 5.04829e-06 +35 *7:15 *281:10 0 +36 *8:7 *1132:CLK 0 +37 *8:10 *281:49 1.92098e-05 +38 *16:10 *1127:CLK 0 +39 *55:14 *1129:CLK 4.38222e-05 +40 *55:14 *281:21 6.02065e-05 +41 *146:66 *1129:CLK 0.000123543 +42 *146:66 *281:21 1.13102e-05 +43 *260:13 *281:49 7.02172e-06 +44 *278:11 *281:21 2.20471e-05 +*RES +1 *1027:Y *281:4 9.24915 +2 *281:4 *281:10 12.3859 +3 *281:10 *281:21 28.1371 +4 *281:21 *1129:CLK 25.1287 +5 *281:21 *1070:A1 9.24915 +6 *281:10 *1128:CLK 10.2378 +7 *281:4 *281:49 19.8934 +8 *281:49 *281:51 4.5 +9 *281:51 *281:52 6.39977 +10 *281:52 *1127:CLK 20.9794 +11 *281:52 *1130:CLK 13.7491 +12 *281:51 *1132:CLK 20.5642 +13 *281:49 *1131:CLK 9.24915 +*END + +*D_NET *282 0.00167522 +*CONN +*I *1130:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1127:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1130:D 0.000539917 +2 *1127:Q 0.000539917 +3 *1130:D *1127:RESET_B 4.20084e-05 +4 *944:A *1130:D 0.000222149 +5 *1064:C *1130:D 6.50586e-05 +6 *1065:A *1130:D 0.000157906 +7 *1065:B *1130:D 0.000108266 +8 *1127:CLK *1130:D 0 +*RES +1 *1127:Q *1130:D 42.694 +*END + +*D_NET *283 0.000870852 +*CONN +*I *1131:D I *D sky130_fd_sc_hd__dfstp_4 +*I *1128:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1131:D 0.000223711 +2 *1128:Q 0.000223711 +3 *1027:A2_N *1131:D 8.608e-05 +4 *1107:A0 *1131:D 4.61908e-05 +5 *8:10 *1131:D 0.000182064 +6 *281:49 *1131:D 0.000109095 +*RES +1 *1128:Q *1131:D 35.3519 +*END + +*D_NET *284 0.00287374 +*CONN +*I *1132:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1129:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1132:D 0 +2 *1129:Q 0.000627117 +3 *284:11 0.000627117 +4 *284:11 *357:DIODE 2.99747e-05 +5 *284:11 *1129:D 0.000271959 +6 *284:11 *1132:RESET_B 2.84892e-05 +7 *284:11 *1160:SET_B 0 +8 *284:11 *309:895 6.50586e-05 +9 *284:11 *309:899 0.000119695 +10 *1020:B *284:11 0.000267394 +11 *1107:S *284:11 0.000489179 +12 *1160:CLK *284:11 1.77537e-06 +13 *261:11 *284:11 1.76193e-05 +14 *261:20 *284:11 0.000328363 +*RES +1 *1129:Q *284:11 41.215 +2 *284:11 *1132:D 9.24915 +*END + +*D_NET *285 0.0010242 +*CONN +*I *1106:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1120:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1106:A1 0.000391439 +2 *1120:Q 0.000391439 +3 *1106:A1 *1120:D 0 +4 *901:A *1106:A1 6.36477e-05 +5 *222:15 *1106:A1 6.76954e-05 +6 *270:38 *1106:A1 0.000109978 +*RES +1 *1120:Q *1106:A1 36.2825 +*END + +*D_NET *286 0.00274725 +*CONN +*I *1174:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1017:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *1114:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *1174:A 0.000287336 +2 *1017:A0 0.000336099 +3 *1114:Q 4.35533e-05 +4 *286:5 0.000666988 +5 *1017:A0 *1159:RESET_B 0.000193478 +6 *1017:A0 *309:733 0.000138468 +7 *909:A *1017:A0 0.000169078 +8 *1058:B *1174:A 1.64983e-05 +9 *1059:A *1017:A0 7.67631e-05 +10 *1159:D *1017:A0 0 +11 *1170:A *1017:A0 0.00014186 +12 *4:11 *1174:A 1.64983e-05 +13 *146:66 *1017:A0 4.55115e-05 +14 *222:15 *1174:A 0.000405724 +15 *222:15 *286:5 6.27782e-05 +16 *231:21 *1017:A0 8.01808e-05 +17 *270:38 *1174:A 6.64392e-05 +*RES +1 *1114:Q *286:5 9.97254 +2 *286:5 *1017:A0 30.4624 +3 *286:5 *1174:A 24.0251 +*END + +*D_NET *287 0.00194847 +*CONN +*I *1062:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1177:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *1062:A 0.000862364 +2 *1177:X 0.000862364 +3 *1062:A *324:13 1.7387e-05 +4 *994:B *1062:A 7.45283e-05 +5 *994:C *1062:A 9.34919e-05 +6 *14:8 *1062:A 0 +7 *15:10 *1062:A 3.83371e-05 +8 *240:9 *1062:A 0 +*RES +1 *1177:X *1062:A 49.0482 +*END + +*D_NET *288 0.00796382 +*CONN +*I *1016:A I *D sky130_fd_sc_hd__inv_4 +*I *1015:A I *D sky130_fd_sc_hd__inv_4 +*I *1014:A I *D sky130_fd_sc_hd__inv_4 +*I *1186:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1069:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1016:A 0.000263165 +2 *1015:A 2.12792e-05 +3 *1014:A 2.12792e-05 +4 *1186:A 0.00187316 +5 *1069:X 0 +6 *288:29 0.000511395 +7 *288:21 0.000493757 +8 *288:4 0.00211869 +9 *1016:A *1116:D 0.000272411 +10 *1016:A *1175:A 0.00031138 +11 *1016:A *1187:A 0.000343235 +12 *1186:A *1069:S 0.000185098 +13 *1186:A *1188:A 9.25772e-05 +14 *288:21 *1069:S 1.41976e-05 +15 *288:21 *1117:CLK 0.000112367 +16 *288:29 *1116:CLK 4.89392e-05 +17 *288:29 *1187:A 0.000237344 +18 resetb_sync *288:21 6.78831e-05 +19 resetb_sync *288:29 3.18656e-05 +20 *1018:A *1186:A 0.000348149 +21 *1069:A0 *288:21 1.65872e-05 +22 *1114:D *1186:A 6.3657e-05 +23 *1168:A *1186:A 0.000493359 +24 *255:28 *288:21 2.20471e-05 +*RES +1 *1069:X *288:4 9.24915 +2 *288:4 *1186:A 43.3211 +3 *288:4 *288:21 6.87226 +4 *288:21 *1014:A 9.82786 +5 *288:21 *288:29 7.93324 +6 *288:29 *1015:A 9.82786 +7 *288:29 *1016:A 21.6433 +*END + +*D_NET *289 0.00279025 +*CONN +*I *1187:A I *D sky130_fd_sc_hd__buf_2 +*I *1063:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1187:A 0.000914272 +2 *1063:Y 0.000914272 +3 *1187:A *1175:A 0.00031138 +4 *394:DIODE *1187:A 6.97525e-05 +5 *1016:A *1187:A 0.000343235 +6 *288:29 *1187:A 0.000237344 +*RES +1 *1063:Y *1187:A 43.6484 +*END + +*D_NET *290 0.00235043 +*CONN +*I *1188:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1070:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1188:A 0.000654787 +2 *1070:X 0.000654787 +3 *1188:A *1070:S 0 +4 *1188:A *1119:D 0 +5 *1188:A *309:739 0.000363007 +6 *1106:A0 *1188:A 1.75625e-05 +7 *1129:CLK *1188:A 0 +8 *1168:A *1188:A 0.000562485 +9 *1186:A *1188:A 9.25772e-05 +10 *261:20 *1188:A 5.22654e-06 +*RES +1 *1070:X *1188:A 46.9565 +*END + +*D_NET *291 0.000697517 +*CONN +*I *1117:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1066:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *1117:D 0.000246193 +2 *1066:LO 0.000246193 +3 *1117:D *1116:CLK 3.30578e-05 +4 *1117:D *309:781 0.000123148 +5 *279:27 *1117:D 4.89251e-05 +*RES +1 *1066:LO *1117:D 34.9058 +*END + +*D_NET *292 0.000231045 +*CONN +*I *1155:CLK I *D sky130_fd_sc_hd__dfstp_1 *I *917:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1139:CLK 0.000146002 -2 *917:Y 0.000146002 +1 *1155:CLK 2.17973e-05 +2 *917:Y 2.17973e-05 +3 *1155:D *1155:CLK 6.50727e-05 +4 *229:17 *1155:CLK 0.000122378 *RES -1 *917:Y *1139:CLK 30.8842 +1 *917:Y *1155:CLK 19.7763 *END -*D_NET *281 0.000368683 +*D_NET *293 0.00062695 *CONN -*I *1137:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1153:CLK I *D sky130_fd_sc_hd__dfstp_1 *I *922:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1137:CLK 0.000123656 -2 *922:Y 0.000123656 -3 *127:11 *1137:CLK 0.000121371 +1 *1153:CLK 0.000232615 +2 *922:Y 0.000232615 +3 *1153:CLK *1153:SET_B 1.43698e-05 +4 *922:A *1153:CLK 0.00014735 *RES -1 *922:Y *1137:CLK 30.8842 +1 *922:Y *1153:CLK 32.3015 *END -*D_NET *282 0.000465659 +*D_NET *294 0.000389531 +*CONN +*I *1151:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *937:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1151:CLK 0.000104228 +2 *937:Y 0.000104228 +3 *1151:D *1151:CLK 3.30578e-05 +4 *229:39 *1151:CLK 0.000148017 +*RES +1 *937:Y *1151:CLK 30.8842 +*END + +*D_NET *295 0.000378652 +*CONN +*I *1143:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *970:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1143:CLK 0.000189326 +2 *970:Y 0.000189326 +3 *1143:D *1143:CLK 0 +*RES +1 *970:Y *1143:CLK 31.0235 +*END + +*D_NET *296 0.000423809 +*CONN +*I *1141:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *975:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1141:CLK 0.000195443 +2 *975:Y 0.000195443 +3 *1141:CLK *305:70 1.17541e-06 +4 *1024:B *1141:CLK 3.17474e-05 +*RES +1 *975:Y *1141:CLK 31.0235 +*END + +*D_NET *297 0.000429128 *CONN *I *1135:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *936:Y O *D sky130_fd_sc_hd__inv_4 +*I *1003:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1135:CLK 0.000160185 -2 *936:Y 0.000160185 -3 *936:A *1135:CLK 2.23108e-05 -4 *9:5 *1135:CLK 0.000122978 +1 *1135:CLK 0.000144484 +2 *1003:Y 0.000144484 +3 *1085:A0 *1135:CLK 0 +4 *203:45 *1135:CLK 7.50872e-05 +5 *231:91 *1135:CLK 6.50727e-05 *RES -1 *936:Y *1135:CLK 31.0235 +1 *1003:Y *1135:CLK 30.4689 *END -*D_NET *283 0.00142097 +*D_NET *298 0.000179001 *CONN -*I *1127:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *976:Y O *D sky130_fd_sc_hd__inv_4 +*I *1063:A I *D sky130_fd_sc_hd__nor2_1 +*I *1178:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1127:CLK 0.000449332 -2 *976:Y 0.000449332 -3 *1127:CLK *296:67 9.34088e-05 -4 *216:50 *1127:CLK 0.000428897 +1 *1063:A 5.47792e-05 +2 *1178:X 5.47792e-05 +3 *394:DIODE *1063:A 6.94431e-05 *RES -1 *976:Y *1127:CLK 37.6732 +1 *1178:X *1063:A 20.2103 *END -*D_NET *284 0.00042004 +*D_NET *299 0.000776145 *CONN -*I *1125:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *980:Y O *D sky130_fd_sc_hd__inv_4 +*I *1115:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1016:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1125:CLK 0.000107901 -2 *980:Y 0.000107901 -3 *1125:CLK *984:A 6.50586e-05 -4 *1125:CLK *296:235 0 -5 *311:DIODE *1125:CLK 1.19856e-05 -6 *150:12 *1125:CLK 0.000127194 +1 *1115:CLK 0.000312274 +2 *1016:Y 0.000312274 +3 *1115:CLK *1115:D 6.27718e-05 +4 *1115:CLK *1115:SET_B 7.44553e-05 +5 *1115:CLK *309:795 1.43698e-05 *RES -1 *980:Y *1125:CLK 31.0235 +1 *1016:Y *1115:CLK 35.4604 *END -*D_NET *285 0.000941496 +*D_NET *300 0.000709465 *CONN -*I *1119:CLK I *D sky130_fd_sc_hd__dfstp_2 -*I *1008:Y O *D sky130_fd_sc_hd__inv_4 +*I *1116:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1015:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1119:CLK 0.000228651 -2 *1008:Y 0.000228651 -3 *318:DIODE *1119:CLK 0 -4 *1008:A *1119:CLK 0.00011191 -5 *1009:B *1119:CLK 4.94e-06 -6 *1011:A *1119:CLK 0.000252636 -7 *1119:D *1119:CLK 0.000114706 +1 *1116:CLK 0.000313734 +2 *1015:Y 0.000313734 +3 *1117:D *1116:CLK 3.30578e-05 +4 *288:29 *1116:CLK 4.89392e-05 *RES -1 *1008:Y *1119:CLK 34.5143 +1 *1015:Y *1116:CLK 34.3456 *END -*D_NET *286 0.00017589 +*D_NET *301 0.000487245 *CONN -*I *1053:A I *D sky130_fd_sc_hd__nor2_1 -*I *1162:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1117:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1014:Y O *D sky130_fd_sc_hd__inv_4 *CAP -1 *1053:A 5.32233e-05 -2 *1162:X 5.32233e-05 -3 *377:DIODE *1053:A 6.94431e-05 +1 *1117:CLK 0.000164255 +2 *1014:Y 0.000164255 +3 *255:28 *1117:CLK 4.63668e-05 +4 *288:21 *1117:CLK 0.000112367 *RES -1 *1162:X *1053:A 20.2103 +1 *1014:Y *1117:CLK 31.0235 *END -*D_NET *287 0.000758058 +*D_NET *302 0.00199924 *CONN -*I *1099:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1020:Y O *D sky130_fd_sc_hd__inv_4 +*I *1120:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1174:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 *CAP -1 *1099:CLK 0.000301355 -2 *1020:Y 0.000301355 -3 *1099:CLK *1099:D 6.27718e-05 -4 *1099:CLK *1099:SET_B 9.25772e-05 +1 *1120:D 0.000750059 +2 *1174:X 0.000750059 +3 *909:B *1120:D 4.82337e-05 +4 *1078:S *1120:D 0.000135609 +5 *1106:A1 *1120:D 0 +6 *1119:CLK *1120:D 0.000147067 +7 *1120:CLK *1120:D 2.41274e-06 +8 *145:54 *1120:D 2.14433e-05 +9 *222:15 *1120:D 7.16974e-05 +10 *261:89 *1120:D 7.26606e-05 *RES -1 *1020:Y *1099:CLK 35.4604 +1 *1174:X *1120:D 43.1306 *END -*D_NET *288 0.000871101 +*D_NET *303 0.00174085 *CONN -*I *1100:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1019:Y O *D sky130_fd_sc_hd__inv_4 +*I *1116:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1175:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 *CAP -1 *1100:CLK 0.000291604 -2 *1019:Y 0.000291604 -3 *243:66 *1100:CLK 0.000238954 -4 *276:31 *1100:CLK 4.89392e-05 +1 *1116:D 0.000597131 +2 *1175:X 0.000597131 +3 *1116:D *1129:D 6.92705e-05 +4 *1116:D *1175:A 7.26748e-05 +5 *1016:A *1116:D 0.000272411 +6 *7:15 *1116:D 0.000132229 *RES -1 *1019:Y *1100:CLK 34.3456 +1 *1175:X *1116:D 40.615 *END -*D_NET *289 0.000475957 +*D_NET *304 0.00130048 *CONN -*I *1101:CLK I *D sky130_fd_sc_hd__dfstp_1 -*I *1018:Y O *D sky130_fd_sc_hd__inv_4 +*I *1115:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1176:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 *CAP -1 *1101:CLK 0.000167627 -2 *1018:Y 0.000167627 -3 *1101:CLK *325:DIODE 0.000112367 -4 *1071:A1 *1101:CLK 2.83365e-05 +1 *1115:D 0.000517486 +2 *1176:X 0.000517486 +3 *1115:D *1115:SET_B 3.00829e-05 +4 *1115:D *1129:D 9.64434e-05 +5 *1115:D *1176:A 7.621e-05 +6 *1115:CLK *1115:D 6.27718e-05 *RES -1 *1018:Y *1101:CLK 31.0235 +1 *1176:X *1115:D 37.6663 *END -*D_NET *290 0.00127044 +*D_NET *305 0.0219689 *CONN -*I *1104:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1158:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *993:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *953:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1019:B I *D sky130_fd_sc_hd__nor2_1 +*I *335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1095:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1092:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1091:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *992:A I *D sky130_fd_sc_hd__or2b_1 +*I *1109:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1200:X O *D sky130_fd_sc_hd__clkbuf_4 *CAP -1 *1104:D 0.000547763 -2 *1158:X 0.000547763 -3 *1158:A *1104:D 6.90063e-05 -4 *1170:A *1104:D 6.1958e-05 -5 *274:10 *1104:D 4.39459e-05 +1 *339:DIODE 0 +2 *333:DIODE 0 +3 *993:B_N 0 +4 *331:DIODE 0 +5 *953:A2 0 +6 *1019:B 0.00012703 +7 *335:DIODE 0 +8 *338:DIODE 0 +9 *1095:A1 6.46545e-05 +10 *337:DIODE 0 +11 *1092:A1 0.000180262 +12 *336:DIODE 7.56794e-05 +13 *1091:A1 0.000150267 +14 *332:DIODE 0.000174802 +15 *992:A 1.91583e-05 +16 *1109:D 0.000242006 +17 *1200:X 0.000489946 +18 *305:145 0.00030042 +19 *305:130 0.000588881 +20 *305:93 0.000371936 +21 *305:89 0.000659607 +22 *305:84 0.000863924 +23 *305:79 0.00201777 +24 *305:70 0.00240277 +25 *305:62 0.00140114 +26 *305:58 0.000170069 +27 *305:55 9.31248e-05 +28 *305:54 0.000708776 +29 *305:40 0.000758893 +30 *305:38 0.000153051 +31 *305:37 4.51409e-05 +32 *305:13 0.00040397 +33 *305:12 0.000403663 +34 *305:8 0.000576002 +35 *1019:B *329:8 4.04463e-05 +36 *305:8 *324:13 3.1563e-05 +37 *305:8 *325:11 2.99929e-05 +38 *305:12 *1121:D 0.00011935 +39 *305:38 *1121:D 4.76794e-05 +40 *305:40 *1121:D 0.000296185 +41 *305:54 *346:DIODE 6.36477e-05 +42 *305:54 *1121:D 0.000116505 +43 *305:54 *1122:D 6.84695e-05 +44 *305:54 *1124:RESET_B 0.000104821 +45 *305:58 *1122:D 0.000109875 +46 *305:62 *1122:D 6.46815e-05 +47 *305:70 *348:DIODE 1.44467e-05 +48 *305:70 *1019:A 0 +49 *305:79 *310:20 0.000140201 +50 *305:89 *317:36 0.000186148 +51 *305:130 *372:DIODE 0.000179164 +52 *305:130 *373:DIODE 0.000461725 +53 *305:130 *1096:A1 0.000427006 +54 *305:130 *313:8 0.000114594 +55 *305:130 *318:10 0.00110038 +56 *305:145 *348:DIODE 0 +57 *305:145 *1019:A 0.000286232 +58 *399:DIODE *305:12 9.98296e-05 +59 *950:A *305:84 0.00025183 +60 *950:A *305:89 0.000369399 +61 *953:B1 *305:62 2.16355e-05 +62 *960:A *305:84 4.78554e-05 +63 *960:C *305:84 8.96342e-05 +64 *960:C *305:130 1.41181e-05 +65 *962:C *305:130 2.44934e-05 +66 *965:B1_N *1091:A1 2.20702e-05 +67 *965:B1_N *305:89 8.45896e-06 +68 *965:B1_N *305:93 0.000114447 +69 *975:A *305:70 0.000180418 +70 *994:A *332:DIODE 0.000152878 +71 *994:A *305:13 0.000122378 +72 *994:B *305:38 1.65872e-05 +73 *994:C *332:DIODE 1.03403e-05 +74 *995:B *305:70 0.000135385 +75 *1012:A *305:79 5.04829e-06 +76 *1025:A1_N *305:79 0.000364665 +77 *1025:A2_N *305:79 0.000116 +78 *1041:B *1092:A1 4.91225e-06 +79 *1041:B *305:89 5.05252e-05 +80 *1041:B *305:93 3.31733e-05 +81 *1091:A0 *305:93 5.79544e-05 +82 *1091:S *336:DIODE 4.33655e-05 +83 *1091:S *1091:A1 3.14978e-05 +84 *1092:A0 *1092:A1 5.04829e-06 +85 *1093:A0 *305:89 8.37812e-05 +86 *1094:S *305:89 0 +87 *1094:S *305:130 0.000159038 +88 *1095:S *1095:A1 6.46815e-05 +89 *1141:CLK *305:70 1.17541e-06 +90 *1148:CLK *305:79 3.79751e-05 +91 *1148:CLK *305:84 1.35317e-05 +92 *1189:A *305:93 0.000122068 +93 *1200:A *305:8 8.39223e-05 +94 *19:40 *305:84 0.000118485 +95 *172:8 *305:84 0 +96 *172:15 *305:84 0 +97 *174:11 *305:62 2.26957e-05 +98 *174:11 *305:70 1.4091e-06 +99 *177:42 *305:130 1.21461e-06 +100 *179:39 *305:84 6.97908e-05 +101 *187:12 *1095:A1 0.00016195 +102 *192:14 *305:89 7.77309e-06 +103 *199:11 *1109:D 0.000302913 +104 *199:11 *305:13 2.46499e-05 +105 *233:68 *1092:A1 2.16355e-05 +106 *233:82 *305:89 0.000125697 +107 *233:82 *305:93 5.56367e-05 +108 *234:17 *305:8 0.000136705 +109 *234:17 *305:12 9.55247e-06 +110 *250:7 *1109:D 6.36477e-05 +111 *254:59 *1092:A1 0.000201759 +112 *254:64 *1092:A1 3.02923e-05 +113 *255:9 *305:70 0.000111097 +114 *255:9 *305:79 9.57678e-05 +115 *255:16 *305:70 0 *RES -1 *1158:X *1104:D 37.4001 +1 *1200:X *305:8 25.5822 +2 *305:8 *305:12 7.57775 +3 *305:12 *305:13 3.49641 +4 *305:13 *1109:D 18.3941 +5 *305:13 *992:A 9.82786 +6 *305:12 *332:DIODE 13.3002 +7 *305:8 *305:37 4.5 +8 *305:37 *305:38 1.278 +9 *305:38 *305:40 3.49641 +10 *305:40 *305:54 31.0097 +11 *305:54 *305:55 81.1229 +12 *305:55 *305:58 10.5271 +13 *305:58 *305:62 3.52053 +14 *305:62 *305:70 30.7173 +15 *305:70 *305:79 30.1236 +16 *305:79 *305:84 17.1636 +17 *305:84 *305:89 13.2898 +18 *305:89 *305:93 10.0693 +19 *305:93 *1091:A1 12.0704 +20 *305:93 *336:DIODE 10.5271 +21 *305:89 *1092:A1 18.403 +22 *305:84 *337:DIODE 9.24915 +23 *305:79 *305:130 28.1792 +24 *305:130 *1095:A1 11.6364 +25 *305:130 *338:DIODE 9.24915 +26 *305:70 *305:145 14.154 +27 *305:145 *335:DIODE 9.24915 +28 *305:145 *1019:B 12.6491 +29 *305:62 *953:A2 9.24915 +30 *305:58 *331:DIODE 9.24915 +31 *305:40 *993:B_N 9.24915 +32 *305:38 *333:DIODE 9.24915 +33 *305:37 *339:DIODE 9.24915 *END -*D_NET *291 0.00179495 +*D_NET *306 0.000714949 *CONN -*I *1100:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1159:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *983:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1195:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1100:D 0.000538273 -2 *1159:X 0.000538273 -3 *1100:D *1100:SET_B 2.58616e-05 -4 *1100:D *1159:A 7.26748e-05 -5 *1100:D *299:13 6.92705e-05 -6 *1020:A *1100:D 0.000249328 -7 *7:15 *1100:D 0.000301269 +1 *983:A1 0.00021467 +2 *1195:X 0.00021467 +3 *983:C1 *983:A1 0 +4 *1146:CLK *983:A1 0.000285609 +5 *1197:A *983:A1 0 *RES -1 *1159:X *1100:D 40.0604 +1 *1195:X *983:A1 33.0676 *END -*D_NET *292 0.00130246 +*D_NET *307 0.000264891 *CONN -*I *1099:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1160:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *983:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *1196:X O *D sky130_fd_sc_hd__dlygate4sd1_1 *CAP -1 *1099:D 0.000520707 -2 *1160:X 0.000520707 -3 *1099:D *1099:SET_B 3.00829e-05 -4 *1099:D *1160:A 6.46783e-05 -5 *1099:D *299:13 0.000103508 -6 *1099:CLK *1099:D 6.27718e-05 +1 *983:A2 6.95982e-05 +2 *1196:X 6.95982e-05 +3 *19:52 *983:A2 0 +4 *233:82 *983:A2 0.000125695 *RES -1 *1160:X *1099:D 37.6663 +1 *1196:X *983:A2 29.7455 *END -*D_NET *293 0.0144205 +*D_NET *308 0.00383106 *CONN -*I *1026:B I *D sky130_fd_sc_hd__nor2_1 -*I *1079:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1080:A1 I *D sky130_fd_sc_hd__mux2_1 -*I *1078:A0 I *D sky130_fd_sc_hd__mux2_1 -*I *997:A I *D sky130_fd_sc_hd__or2b_1 -*I *1093:D I *D sky130_fd_sc_hd__dfxtp_1 -*I *1175:X O *D sky130_fd_sc_hd__clkbuf_2 +*I *1032:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1031:C I *D sky130_fd_sc_hd__nor3_1 +*I *1029:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1197:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *1026:B 0 -2 *1079:A1 1.6042e-05 -3 *1080:A1 0.000143558 -4 *1078:A0 0.000873008 -5 *997:A 0 -6 *1093:D 0 -7 *1175:X 0.00137636 -8 *293:61 0.00146424 -9 *293:57 0.00132421 -10 *293:37 0.00135244 -11 *293:24 0.000619747 -12 *293:13 0.00150416 -13 *1078:A0 *354:DIODE 2.34388e-05 -14 *293:13 *333:DIODE 5.20546e-06 -15 *963:A1 *293:57 8.36586e-06 -16 *964:B *1080:A1 0.000154399 -17 *965:A2 *1080:A1 0.000114659 -18 *965:B1_N *1080:A1 0.000413705 -19 *1001:A *293:37 0.00016386 -20 *1001:B *293:37 1.3808e-05 -21 *1002:A1 *293:37 0.000208627 -22 *1003:A1 *293:13 3.98136e-05 -23 *1039:B *293:57 5.56461e-05 -24 *1040:A *1078:A0 2.04764e-05 -25 *1077:A0 *293:57 0.000319747 -26 *1078:A1 *1078:A0 5.45523e-05 -27 *1079:S *1079:A1 1.59756e-05 -28 *1079:S *293:57 0.00050849 -29 *1080:A0 *1080:A1 6.46815e-05 -30 *1089:S *293:37 7.92757e-06 -31 *1102:CLK *293:24 3.27425e-05 -32 *1109:CLK *293:13 2.57847e-05 -33 *1130:D *1078:A0 0.000175801 -34 *1130:D *293:61 6.5568e-05 -35 *1130:CLK *1078:A0 5.03844e-05 -36 *1131:D *293:57 2.52285e-05 -37 *1175:A *293:13 0.000310724 -38 *219:121 *293:24 1.12606e-05 -39 *221:61 *293:37 0.000365599 -40 *222:41 *293:37 0.000212658 -41 *222:50 *293:37 0.000379097 -42 *223:15 *293:37 7.66391e-06 -43 *223:21 *293:37 0.000604983 -44 *223:31 *293:37 0.000110297 -45 *223:31 *293:57 0.000341962 -46 *223:35 *293:57 0.000541654 -47 *232:5 *293:61 7.7063e-05 -48 *232:24 *293:57 2.32625e-05 -49 *232:24 *293:61 0.000182198 -50 *233:10 *293:57 0 -51 *238:7 *293:13 9.44631e-06 +1 *1032:A2 0.000260759 +2 *1031:C 0 +3 *1029:B 0.000354688 +4 *1197:X 0.000234661 +5 *308:17 0.000375098 +6 *308:7 0.000703688 +7 *1032:A2 *313:22 7.73661e-05 +8 *1032:A2 *314:11 6.92705e-05 +9 *968:S *1032:A2 5.41227e-05 +10 *968:S *308:17 0.000181416 +11 *971:S *308:7 6.08467e-05 +12 *973:S *1029:B 0.000195139 +13 *976:B *1032:A2 0 +14 *1031:B *1032:A2 0.000466373 +15 *1080:A0 *1029:B 8.92089e-05 +16 *1080:A1 *1029:B 5.65845e-05 +17 *1081:A0 *308:7 7.98425e-06 +18 *1081:A0 *308:17 6.65668e-05 +19 *1081:A1 *308:7 6.87578e-05 +20 *1082:A0 *1032:A2 0.000111722 +21 *1197:A *308:7 7.34948e-06 +22 *21:17 *1029:B 0.000168546 +23 *21:17 *308:17 2.95757e-05 +24 *187:12 *1032:A2 7.73661e-05 +25 *235:50 *1032:A2 0 +26 *235:52 *1032:A2 0.000113968 +27 *247:33 *308:17 0 *RES -1 *1175:X *293:13 34.8152 -2 *293:13 *1093:D 9.24915 -3 *293:13 *293:24 12.0778 -4 *293:24 *997:A 9.24915 -5 *293:24 *293:37 19.8211 -6 *293:37 *293:57 33.5266 -7 *293:57 *293:61 11.285 -8 *293:61 *1078:A0 26.9241 -9 *293:61 *1080:A1 25.102 -10 *293:57 *1079:A1 9.82786 -11 *293:37 *1026:B 9.24915 +1 *1197:X *308:7 19.464 +2 *308:7 *1029:B 24.8883 +3 *308:7 *308:17 3.90826 +4 *308:17 *1031:C 13.7491 +5 *308:17 *1032:A2 32.0155 *END -*D_NET *294 0.00134246 -*CONN -*I *984:A I *D sky130_fd_sc_hd__nand3_1 -*I *1173:X O *D sky130_fd_sc_hd__dlygate4sd1_1 -*CAP -1 *984:A 0.00035639 -2 *1173:X 0.00035639 -3 *984:A *296:235 3.05674e-05 -4 *311:DIODE *984:A 8.65358e-05 -5 *988:A1 *984:A 4.62582e-05 -6 *988:A2 *984:A 0 -7 *1125:D *984:A 1.27831e-06 -8 *1125:CLK *984:A 6.50586e-05 -9 *171:7 *984:A 0.000115615 -10 *221:117 *984:A 7.28784e-05 -11 *222:55 *984:A 0.000211492 -*RES -1 *1173:X *984:A 38.721 -*END - -*D_NET *295 0.0019411 -*CONN -*I *969:A I *D sky130_fd_sc_hd__inv_2 -*I *977:B I *D sky130_fd_sc_hd__and2b_1 -*I *1174:X O *D sky130_fd_sc_hd__buf_2 -*CAP -1 *969:A 0 -2 *977:B 0.000117618 -3 *1174:X 0.00065805 -4 *295:11 0.000775668 -5 *977:B *350:DIODE 5.04829e-06 -6 *295:11 *296:105 0 -7 *971:A_N *295:11 8.03393e-06 -8 *1129:CLK *295:11 5.67857e-05 -9 *1174:A *295:11 2.57986e-05 -10 *159:10 *977:B 6.3657e-05 -11 *216:46 *977:B 4.95198e-05 -12 *216:46 *295:11 0.000165521 -13 *231:8 *295:11 1.54037e-05 -*RES -1 *1174:X *295:11 29.7076 -2 *295:11 *977:B 12.625 -3 *295:11 *969:A 9.24915 -*END - -*D_NET *296 0.0914401 +*D_NET *309 0.093026 *CONN +*I *345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1115:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1116:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1160:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1129:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1132:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1128:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1131:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1149:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1130:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1164:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1117:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1119:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1120:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1017:S I *D sky130_fd_sc_hd__mux2_2 +*I *1159:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1158:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1157:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *1140:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1147:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1153:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1152:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1151:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1150:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1156:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1154:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1138:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *363:DIODE I *D sky130_fd_sc_hd__diode_2 *I *1136:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 *I *361:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *360:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *358:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1134:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1140:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *364:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *363:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1139:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *365:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *341:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *346:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1122:SET_B I *D sky130_fd_sc_hd__dfstp_2 -*I *347:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1124:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *348:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *356:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *325:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1099:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *323:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *339:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1101:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1113:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *337:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *336:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1112:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1114:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *1115:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *338:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *357:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1133:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *335:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1111:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *370:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1146:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *334:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1108:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *1105:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1119:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *1148:SET_B I *D sky130_fd_sc_hd__dfstp_1 *I *343:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1118:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1109:SET_B I *D sky130_fd_sc_hd__dfstp_4 -*I *1110:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *1106:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *333:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *332:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *331:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *330:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *372:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1121:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *329:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *342:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1148:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1107:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1100:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *324:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1116:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *340:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *368:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1103:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *327:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1145:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 -*I *328:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1021:S I *D sky130_fd_sc_hd__mux2_2 -*I *316:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1137:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1125:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *1127:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1162:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1124:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1121:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1122:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1126:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *1118:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1163:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1161:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1123:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1134:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 *I *359:DIODE I *D sky130_fd_sc_hd__diode_2 *I *1135:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1104:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1144:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *371:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1147:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1143:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *1102:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *326:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *345:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1132:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *344:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1120:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1125:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *349:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1128:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *352:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *355:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1142:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1131:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 -*I *369:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *367:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *366:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1141:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *1130:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *1117:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *354:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1129:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 -*I *353:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *350:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1126:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1127:SET_B I *D sky130_fd_sc_hd__dfstp_1 -*I *351:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1123:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1137:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 *I *362:DIODE I *D sky130_fd_sc_hd__diode_2 -*I *1138:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 -*I *1163:X O *D sky130_fd_sc_hd__buf_12 +*I *1141:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1133:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1145:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *1146:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1142:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1143:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1144:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1139:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1155:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1179:X O *D sky130_fd_sc_hd__buf_12 *CAP -1 *1136:RESET_B 0.000171336 -2 *361:DIODE 0.000591772 -3 *360:DIODE 0 -4 *358:DIODE 0.000167559 -5 *1134:RESET_B 4.52699e-05 -6 *1140:RESET_B 5.97131e-05 -7 *364:DIODE 8.35376e-05 -8 *363:DIODE 0 -9 *1139:SET_B 0.000259687 -10 *365:DIODE 0.000105131 -11 *341:DIODE 0 -12 *346:DIODE 0 -13 *1122:SET_B 0.000286959 -14 *347:DIODE 0 -15 *1124:RESET_B 4.39216e-05 -16 *348:DIODE 0 -17 *356:DIODE 7.08844e-05 -18 *325:DIODE 0.000357026 -19 *1099:SET_B 0.000328652 -20 *323:DIODE 0 -21 *339:DIODE 0 -22 *1101:SET_B 1.8176e-05 -23 *1113:RESET_B 1.8176e-05 -24 *337:DIODE 0 -25 *336:DIODE 0 -26 *1112:SET_B 2.89917e-05 -27 *1114:RESET_B 8.42208e-05 -28 *1115:SET_B 0.000190139 -29 *338:DIODE 0 -30 *357:DIODE 0 -31 *1133:SET_B 0 -32 *335:DIODE 4.28469e-05 -33 *1111:RESET_B 0.000127518 -34 *370:DIODE 0 -35 *1146:SET_B 0.000371388 -36 *334:DIODE 0 -37 *1108:RESET_B 0 -38 *1105:RESET_B 2.44803e-05 -39 *1119:SET_B 3.42248e-05 -40 *343:DIODE 0 -41 *1118:RESET_B 0.000232476 -42 *1109:SET_B 0 -43 *1110:RESET_B 0.000460416 -44 *1106:SET_B 8.63255e-05 -45 *333:DIODE 5.56756e-05 -46 *332:DIODE 0.000288437 -47 *331:DIODE 0 -48 *330:DIODE 0.000194584 -49 *372:DIODE 7.42955e-05 -50 *1121:RESET_B 0 -51 *329:DIODE 5.3436e-05 -52 *342:DIODE 0.000637358 -53 *1148:RESET_B 0.000314307 -54 *1107:RESET_B 9.51841e-05 -55 *1100:SET_B 0.000545988 -56 *324:DIODE 7.14857e-05 -57 *1116:RESET_B 6.23582e-05 -58 *340:DIODE 0 -59 *368:DIODE 0 -60 *1103:RESET_B 4.25026e-05 -61 *327:DIODE 0 -62 *1145:RESET_B 0.000395731 -63 *328:DIODE 0 -64 *1021:S 7.46163e-05 -65 *316:DIODE 0 -66 *1137:SET_B 0.000146758 -67 *359:DIODE 0 -68 *1135:SET_B 0.000402155 -69 *1104:RESET_B 0.00065621 -70 *1144:SET_B 0 -71 *371:DIODE 0 -72 *1147:RESET_B 0.000319047 -73 *1143:RESET_B 0.000238144 -74 *1102:RESET_B 0.000108139 -75 *326:DIODE 0 -76 *345:DIODE 8.85844e-05 -77 *1132:SET_B 1.76235e-05 -78 *344:DIODE 0 -79 *1120:RESET_B 0.000169404 -80 *1125:SET_B 0.000128937 -81 *349:DIODE 0 -82 *1128:RESET_B 1.84741e-05 -83 *352:DIODE 0 -84 *355:DIODE 0.000138638 -85 *1142:SET_B 0.000104943 -86 *1131:RESET_B 1.46292e-05 -87 *369:DIODE 0.000480739 -88 *367:DIODE 0.000246313 -89 *366:DIODE 0 -90 *1141:RESET_B 0.000172585 -91 *1130:SET_B 0.000308177 -92 *1117:SET_B 0 -93 *354:DIODE 0.00012567 -94 *1129:RESET_B 2.53783e-05 -95 *353:DIODE 0.000104041 -96 *350:DIODE 8.65002e-05 -97 *1126:RESET_B 0.000190245 -98 *1127:SET_B 9.71255e-05 -99 *351:DIODE 0 -100 *1123:RESET_B 0.00010981 -101 *362:DIODE 0 -102 *1138:RESET_B 0.000106064 -103 *1163:X 0 -104 *296:922 0.00118898 -105 *296:907 0.00103308 -106 *296:872 0.000259687 -107 *296:860 0.000497025 -108 *296:845 0.000368946 -109 *296:799 0.000408554 -110 *296:793 0.000525654 -111 *296:785 0.000621628 -112 *296:782 0.000899374 -113 *296:771 0.000857781 -114 *296:765 0.000699114 -115 *296:735 0.000574442 -116 *296:727 0.000551523 -117 *296:722 0.000251441 -118 *296:652 0.000296307 -119 *296:648 0.000262535 -120 *296:646 0.000313944 -121 *296:644 0.000411944 -122 *296:636 0.000578986 -123 *296:622 0.00032327 -124 *296:618 0.000119091 -125 *296:599 0.000552884 -126 *296:597 0.000388646 -127 *296:552 0.000959322 -128 *296:548 0.00049687 -129 *296:544 0.000616945 -130 *296:533 0.00045051 -131 *296:528 0.000336548 -132 *296:526 0.000238872 -133 *296:518 0.00015882 -134 *296:516 0.00055232 -135 *296:514 0.000326828 -136 *296:512 0.000443273 -137 *296:509 0.000489314 -138 *296:504 0.00045143 -139 *296:502 0.000164867 -140 *296:499 0.000241638 -141 *296:495 0.000322555 -142 *296:470 0.000684899 -143 *296:469 0.000393242 -144 *296:458 0.000548188 -145 *296:452 0.000907704 -146 *296:388 0.00141515 -147 *296:384 0.00147546 -148 *296:382 0.000416888 -149 *296:379 0.000259449 -150 *296:374 0.00053195 -151 *296:359 0.000749112 -152 *296:358 0.000294836 -153 *296:353 0.000535303 -154 *296:352 0.000983633 -155 *296:350 0.000301488 -156 *296:344 0.000548954 -157 *296:335 0.000552389 -158 *296:324 0.000505322 -159 *296:315 0.000466871 -160 *296:304 0.000108139 -161 *296:302 0.000651561 -162 *296:293 0.00145806 -163 *296:282 0.00101186 -164 *296:263 0.00036829 -165 *296:251 0.000378764 -166 *296:250 0.00082622 -167 *296:238 0.000636113 -168 *296:235 0.000576255 -169 *296:224 0.00113612 -170 *296:216 0.000974511 -171 *296:174 0.000819812 -172 *296:171 0.000212825 -173 *296:168 0.000292458 -174 *296:165 0.000639979 -175 *296:159 0.000617061 -176 *296:142 0.000480761 -177 *296:140 0.000773493 -178 *296:131 0.000778555 -179 *296:120 0.000755786 -180 *296:107 0.000129419 -181 *296:105 0.000550998 -182 *296:96 0.000337835 -183 *296:87 0.000424656 -184 *296:76 0.000269037 -185 *296:73 0.0011134 -186 *296:67 0.00101135 -187 *296:61 0.000486874 -188 *296:56 0.000500635 -189 *296:45 0.000491449 -190 *296:43 0.000442146 -191 *296:38 0.00060824 -192 *296:36 0.000687378 -193 *296:34 0.000437286 -194 *296:25 0.000425043 -195 *296:16 0.000339889 -196 *296:12 0.000364878 -197 *296:10 0.00042877 -198 *296:8 0.000364537 -199 *296:6 0.000388558 -200 *296:5 0.000215168 -201 *1100:SET_B *299:13 0.000222175 -202 *1102:RESET_B *307:11 0.000112367 -203 *1103:RESET_B *1103:D 4.02039e-05 -204 *296:315 *307:11 1.82837e-05 -205 *296:324 *307:11 6.88153e-05 -206 *296:335 *307:11 2.05628e-05 -207 *296:344 *1072:S 0 -208 *296:344 *307:11 6.07504e-05 -209 *296:350 *307:11 4.76203e-05 -210 *296:359 *1103:D 7.48633e-05 -211 *296:526 *1102:D 0.000207376 -212 *296:526 *1107:D 8.62152e-05 -213 *296:528 *1102:D 0.00025527 -214 *296:528 *1107:D 2.58616e-05 -215 *296:533 *1102:D 0.000304866 -216 *296:765 *307:11 4.87198e-05 -217 *296:765 *308:7 0.000331221 -218 *296:771 *308:7 5.10995e-05 -219 *296:782 *299:13 0.000218375 -220 *296:793 *1159:A 3.10645e-06 -221 core_clk *296:384 2.41338e-05 -222 *888:A *1145:RESET_B 0.000131364 -223 *896:B1 *369:DIODE 8.65271e-05 -224 *900:A_N *296:344 0.000143125 -225 *904:C *296:344 8.93038e-05 -226 *905:B *1145:RESET_B 0 -227 *906:A2 *369:DIODE 0.000245977 -228 *912:B *296:34 4.68256e-05 -229 *920:A1 *365:DIODE 7.72414e-06 -230 *926:C *361:DIODE 0.000164258 -231 *931:A *361:DIODE 2.06145e-05 -232 *931:C *361:DIODE 9.10629e-06 -233 *933:A *296:10 5.91119e-05 -234 *935:A *1136:RESET_B 9.17123e-05 -235 *935:A *296:6 3.95075e-05 -236 *935:A *296:8 2.8322e-05 -237 *937:A *358:DIODE 4.2748e-05 -238 *937:A *296:922 6.54682e-05 -239 *937:B *296:922 0.000266055 -240 *938:C *361:DIODE 7.09148e-05 -241 *939:A *296:922 3.44712e-06 -242 *941:A *296:10 0.000200866 -243 *941:A *296:12 3.20264e-05 -244 *942:B1 *358:DIODE 0.000161249 -245 *951:A *296:293 9.97706e-05 -246 *953:B *296:293 5.04686e-06 -247 *953:C *296:293 8.36586e-06 -248 *953:C *296:302 8.38768e-05 -249 *954:A *296:250 6.92705e-05 -250 *955:A *356:DIODE 4.34007e-05 -251 *955:A *296:250 0.000223818 -252 *956:A2 *296:235 0.000107348 -253 *971:A_N *296:96 0 -254 *974:B *296:76 0 -255 *977:A_N *350:DIODE 6.50586e-05 -256 *977:A_N *296:96 4.15143e-05 -257 *977:B *350:DIODE 5.04829e-06 -258 *978:A2 *296:87 0.000143032 -259 *978:A2 *296:96 0.000143047 -260 *978:B1 *296:216 0.000172088 -261 *978:B1 *296:224 2.65667e-05 -262 *979:A1 *1126:RESET_B 0.000224381 -263 *979:B1_N *1126:RESET_B 5.04829e-06 -264 *984:A *296:235 3.05674e-05 -265 *986:A *342:DIODE 0.000122083 -266 *987:A *296:235 0.000120546 -267 *988:A1 *296:235 0 -268 *988:B1 *296:235 0.000122068 -269 *988:C1 *296:235 0.000168313 -270 *991:C *296:56 0 -271 *992:B *296:56 0 -272 *992:B *296:61 0 -273 *993:A0 *296:56 0 -274 *995:A0 *296:43 5.65046e-05 -275 *997:B_N *330:DIODE 0.000159016 -276 *997:B_N *332:DIODE 3.00174e-06 -277 *997:B_N *296:599 5.88776e-05 -278 *998:A *332:DIODE 8.66674e-05 -279 *999:A *296:622 0 -280 *999:B *1110:RESET_B 9.32983e-05 -281 *999:B *296:618 2.95016e-05 -282 *999:B *296:622 6.36477e-05 -283 *999:C *332:DIODE 0.000193344 -284 *999:C *1106:SET_B 0 -285 *999:C *296:599 0.000279783 -286 *1002:A2 *296:302 5.5409e-05 -287 *1002:B1 *296:302 4.63742e-05 -288 *1003:A1 *330:DIODE 0.000137506 -289 *1004:A *1125:SET_B 0.000107729 -290 *1004:A *296:251 7.14746e-05 -291 *1004:A *296:282 7.65861e-05 -292 *1004:B *296:250 2.46082e-06 -293 *1004:B *296:282 2.71397e-05 -294 *1008:A *1118:RESET_B 2.41274e-06 -295 *1016:A_N *296:43 0.000164121 -296 *1017:B *296:38 0 -297 *1021:A0 *1021:S 6.27718e-05 -298 *1021:A1 *1021:S 1.88563e-05 -299 *1021:A1 *296:382 0.000118116 -300 *1021:A1 *296:384 6.95043e-05 -301 *1022:A *296:379 9.29777e-05 -302 *1022:A *296:382 2.58616e-05 -303 *1025:A *296:344 0.000213795 -304 *1027:B *1120:RESET_B 0.000217873 -305 *1027:B *296:263 2.42273e-05 -306 *1028:B *296:302 0 -307 *1029:B1_N *345:DIODE 1.41976e-05 -308 *1031:A *296:344 0.000212229 -309 *1032:B1 *296:344 4.23969e-05 -310 *1035:B *342:DIODE 2.41274e-06 -311 *1041:A *296:43 6.69545e-05 -312 *1041:A *296:45 4.47179e-05 -313 *1041:A *296:56 7.54755e-05 -314 *1043:A *361:DIODE 1.41291e-05 -315 *1043:B *361:DIODE 6.50727e-05 -316 *1045:A *296:388 7.19887e-05 -317 *1045:B *296:388 0.000161956 -318 *1049:A *1143:RESET_B 0.000231227 -319 *1049:B *1143:RESET_B 2.57847e-05 -320 *1057:A0 *369:DIODE 0 -321 *1058:A0 *369:DIODE 9.05084e-06 -322 *1059:A1 *296:646 0 -323 *1060:A0 *296:646 1.77537e-06 -324 *1060:A0 *296:648 6.79889e-05 -325 *1060:A0 *296:652 2.36494e-05 -326 *1060:S *332:DIODE 0.000169107 -327 *1060:S *1105:RESET_B 0.000216299 -328 *1065:S *335:DIODE 2.07503e-05 -329 *1065:S *1111:RESET_B 0.000131111 -330 *1065:S *296:509 0.000113436 -331 *1066:A0 *1143:RESET_B 1.8078e-05 -332 *1072:A0 *296:765 7.18589e-06 -333 *1073:A0 *296:388 0.000110458 -334 *1078:A0 *354:DIODE 2.34388e-05 -335 *1084:A0 *342:DIODE 0 -336 *1084:A1 *296:552 7.14746e-05 -337 *1084:S *329:DIODE 6.50586e-05 -338 *1084:S *342:DIODE 5.32652e-05 -339 *1086:A0 *361:DIODE 0.000466242 -340 *1089:S *296:302 0 -341 *1094:D *296:302 0 -342 *1098:CLK *369:DIODE 0 -343 *1098:CLK *1142:SET_B 0 -344 *1098:CLK *296:174 0 -345 *1099:D *1099:SET_B 3.00829e-05 -346 *1099:CLK *1099:SET_B 9.25772e-05 -347 *1100:D *1100:SET_B 2.58616e-05 -348 *1101:D *325:DIODE 0.000118017 -349 *1101:D *296:785 1.43832e-05 -350 *1101:CLK *325:DIODE 0.000112367 -351 *1102:CLK *296:544 7.14746e-05 -352 *1102:CLK *296:548 1.37925e-05 -353 *1103:CLK *1104:RESET_B 6.08467e-05 -354 *1103:CLK *296:359 4.85806e-05 -355 *1104:CLK *1104:RESET_B 6.61829e-06 -356 *1104:CLK *1145:RESET_B 2.86829e-05 -357 *1104:CLK *296:374 0.000107161 -358 *1106:CLK *296:599 0 -359 *1108:D *1105:RESET_B 9.53368e-05 -360 *1108:D *296:646 0 -361 *1110:D *1110:RESET_B 0.000120636 -362 *1110:CLK *1106:SET_B 7.80439e-05 -363 *1110:CLK *296:599 0 -364 *1114:D *1114:RESET_B 0 -365 *1114:D *296:512 1.39717e-06 -366 *1114:CLK *1114:RESET_B 5.92342e-05 -367 *1114:CLK *1115:SET_B 5.04324e-05 -368 *1114:CLK *296:735 0.000174403 -369 *1115:D *1112:SET_B 0.000104821 -370 *1115:D *296:499 0.000134237 -371 *1116:D *296:458 9.14669e-05 -372 *1116:D *296:771 1.9547e-05 -373 *1119:D *296:648 8.92568e-06 -374 *1119:D *296:652 2.69064e-05 -375 *1124:D *1124:RESET_B 4.70402e-05 -376 *1125:D *296:235 0 -377 *1125:CLK *296:235 0 -378 *1126:D *1126:RESET_B 0.000319133 -379 *1126:CLK_N *296:61 1.09738e-05 -380 *1126:CLK_N *296:67 0 -381 *1127:D *1127:SET_B 0.00012316 -382 *1127:D *296:73 0.000593823 -383 *1127:D *296:216 0.00011818 -384 *1127:CLK *296:67 9.34088e-05 -385 *1128:CLK_N *296:224 1.19856e-05 -386 *1130:D *1130:SET_B 0 -387 *1131:D *1131:RESET_B 4.26175e-05 -388 *1131:D *296:171 7.58991e-05 -389 *1133:D *296:504 9.37475e-05 -390 *1133:D *296:509 5.71992e-05 -391 *1133:CLK *1146:SET_B 7.24449e-05 -392 *1134:D *1134:RESET_B 4.54155e-05 -393 *1135:D *1135:SET_B 5.71849e-05 -394 *1136:D *1136:RESET_B 4.70402e-05 -395 *1137:D *361:DIODE 3.62662e-06 -396 *1139:D *365:DIODE 3.00829e-05 -397 *1139:D *1139:SET_B 6.34771e-05 -398 *1139:D *296:860 0.000278871 -399 *1140:D *364:DIODE 1.99195e-05 -400 *1144:CLK *296:353 4.87439e-05 -401 *1148:CLK *296:518 0 -402 *1148:CLK *296:597 5.0389e-05 -403 *1148:CLK *296:599 1.2601e-05 -404 *1149:A *1104:RESET_B 3.52807e-05 -405 *1152:A *296:374 6.87042e-06 -406 *1153:A *1131:RESET_B 0.000104821 -407 *1153:A *296:171 0.000608826 -408 *1158:A *1021:S 9.81698e-05 -409 *1163:A *1136:RESET_B 0 -410 *1163:A *296:6 0 -411 *1170:A *296:384 3.44501e-05 -412 *4:7 *296:922 0 -413 *7:15 *296:502 4.7392e-05 -414 *7:15 *296:504 7.11402e-05 -415 *7:15 *296:509 4.07982e-05 -416 *7:15 *296:514 0 -417 *7:15 *296:516 0 -418 *7:18 *1143:RESET_B 0.00107064 -419 *9:5 *1136:RESET_B 0 -420 *42:22 *342:DIODE 3.55432e-05 -421 *44:5 *296:509 7.19887e-05 -422 *58:10 *358:DIODE 4.3116e-06 -423 *114:8 *358:DIODE 0 -424 *114:8 *296:922 0 -425 *114:67 *361:DIODE 9.71182e-06 -426 *131:17 *358:DIODE 5.49769e-05 -427 *133:31 *358:DIODE 5.59266e-05 -428 *146:5 *296:293 7.98425e-06 -429 *149:15 *296:250 7.40148e-05 -430 *150:12 *356:DIODE 0 -431 *150:12 *296:235 0 -432 *154:5 *296:238 9.19886e-06 -433 *154:5 *296:250 2.01874e-05 -434 *163:8 *296:76 3.20069e-06 -435 *163:8 *296:87 9.2346e-06 -436 *182:15 *329:DIODE 0.000220809 -437 *214:8 *369:DIODE 6.30763e-05 -438 *216:8 *1130:SET_B 0 -439 *216:8 *296:165 6.90063e-05 -440 *216:13 *1130:SET_B 0 -441 *216:24 *1130:SET_B 3.31733e-05 -442 *216:46 *350:DIODE 0.000383703 -443 *216:50 *296:61 0.000167076 -444 *216:103 *355:DIODE 5.67013e-05 -445 *216:103 *296:165 8.00858e-05 -446 *216:103 *296:171 0.000308814 -447 *219:34 *1145:RESET_B 0.00059697 -448 *219:57 *296:302 0 -449 *219:71 *296:302 0 -450 *219:92 *342:DIODE 2.41274e-06 -451 *220:26 *1147:RESET_B 0.000112367 -452 *220:36 *1147:RESET_B 0.000209268 -453 *220:52 *1146:SET_B 0.000116014 -454 *220:84 *296:353 5.04829e-06 -455 *221:10 *296:644 9.50301e-05 -456 *221:14 *332:DIODE 0.000190872 -457 *221:52 *330:DIODE 6.08467e-05 -458 *221:61 *330:DIODE 6.27718e-05 -459 *221:61 *296:548 4.13313e-05 -460 *221:107 *356:DIODE 0.000167047 -461 *221:107 *296:235 0.00021864 -462 *221:149 *296:120 0 -463 *221:181 *296:43 7.74044e-06 -464 *221:185 *296:43 1.32043e-05 -465 *221:185 *296:56 1.35317e-05 -466 *222:28 *296:548 0.000184089 -467 *222:55 *296:235 0 -468 *223:13 *330:DIODE 1.2693e-05 -469 *223:13 *372:DIODE 1.61631e-05 -470 *223:13 *1110:RESET_B 2.99637e-05 -471 *223:13 *296:533 2.72492e-05 -472 *223:13 *296:618 0.000218638 -473 *223:13 *296:622 5.99529e-06 -474 *223:15 *372:DIODE 0.000115934 -475 *223:31 *296:302 0 -476 *223:41 *1125:SET_B 0 -477 *223:121 *296:548 0.00019768 -478 *223:127 *296:548 0.000171811 -479 *223:127 *296:552 0.000201823 -480 *224:7 *1122:SET_B 2.41338e-05 -481 *224:7 *296:845 1.67179e-05 -482 *224:24 *296:43 1.79338e-05 -483 *224:26 *296:43 0.000118017 -484 *225:8 *296:56 0.000129458 -485 *228:11 *342:DIODE 6.12686e-06 -486 *228:11 *1118:RESET_B 6.44964e-06 -487 *230:10 *1120:RESET_B 4.14251e-05 -488 *231:8 *353:DIODE 1.92793e-05 -489 *231:8 *1129:RESET_B 7.66286e-06 -490 *234:5 *1126:RESET_B 4.82966e-05 -491 *234:13 *1126:RESET_B 1.67988e-05 -492 *235:11 *1127:SET_B 1.44925e-05 -493 *236:7 *296:224 3.01216e-05 -494 *236:7 *296:235 5.65165e-05 -495 *239:10 *296:544 0 -496 *239:10 *296:548 0 -497 *240:5 *296:293 0.00034413 -498 *241:5 *296:263 2.05191e-05 -499 *242:14 *296:293 0 -500 *242:17 *296:250 0.00015511 -501 *242:30 *296:282 2.94562e-05 -502 *243:15 *296:293 0.000222586 -503 *243:29 *332:DIODE 1.1718e-05 -504 *243:32 *332:DIODE 0 -505 *243:41 *1106:SET_B 3.06627e-05 -506 *243:56 *296:599 0 -507 *243:66 *1100:SET_B 0 -508 *243:66 *296:495 0 -509 *243:66 *296:502 7.2815e-05 -510 *243:66 *296:504 5.85596e-05 -511 *243:66 *296:509 4.62703e-05 -512 *243:66 *296:785 1.15862e-05 -513 *243:66 *296:793 4.8463e-06 -514 *247:10 *1114:RESET_B 0 -515 *247:56 *1145:RESET_B 9.13394e-06 -516 *248:5 *296:469 1.4091e-06 -517 *248:26 *296:353 0.000195881 -518 *248:26 *296:452 0.000197276 -519 *248:26 *296:458 4.95938e-05 -520 *248:26 *296:469 5.56549e-06 -521 *249:8 *1116:RESET_B 1.92368e-05 -522 *249:8 *296:469 5.04829e-06 -523 *249:8 *296:495 0.000145533 -524 *252:8 *296:533 0.000162551 -525 *252:8 *296:544 1.83695e-05 -526 *254:26 *1136:RESET_B 5.1674e-06 -527 *254:26 *296:8 5.18062e-06 -528 *254:26 *296:10 5.1674e-06 -529 *257:19 *367:DIODE 1.43983e-05 -530 *257:33 *367:DIODE 8.47748e-05 -531 *257:33 *1143:RESET_B 1.91114e-05 -532 *258:8 *369:DIODE 0 -533 *260:5 *296:25 1.83992e-05 -534 *260:5 *296:34 5.23947e-05 -535 *261:5 *1139:SET_B 6.04569e-05 -536 *261:8 *296:34 0.000337895 -537 *261:8 *296:36 5.37077e-05 -538 *266:8 *296:344 0.000106293 -539 *267:30 *1104:RESET_B 6.1472e-05 -540 *267:30 *296:359 2.72092e-05 -541 *268:8 *1145:RESET_B 8.62625e-06 -542 *269:16 *1116:RESET_B 0 -543 *269:28 *1116:RESET_B 0 -544 *269:28 *296:771 3.28898e-06 -545 *269:28 *296:782 1.66079e-05 -546 *269:43 *324:DIODE 0.00011818 -547 *269:45 *1115:SET_B 6.60967e-05 -548 *274:10 *296:379 6.00124e-05 -549 *276:27 *325:DIODE 7.05301e-05 -550 *276:31 *325:DIODE 0.000368397 -551 *293:13 *333:DIODE 5.20546e-06 -552 *295:11 *296:105 0 +1 *345:DIODE 0 +2 *344:DIODE 0 +3 *1115:SET_B 0.000262325 +4 *1116:SET_B 0 +5 *353:DIODE 0 +6 *385:DIODE 0.000186146 +7 *1160:SET_B 0.000705135 +8 *341:DIODE 0 +9 *1129:RESET_B 9.66519e-05 +10 *1132:RESET_B 9.07327e-05 +11 *357:DIODE 0.000191144 +12 *1128:SET_B 0 +13 *1131:SET_B 1.61516e-05 +14 *356:DIODE 4.62172e-05 +15 *1149:SET_B 0.000169174 +16 *1130:RESET_B 2.49584e-05 +17 *355:DIODE 0 +18 *374:DIODE 0.000209719 +19 *1164:RESET_B 0.000109932 +20 *340:DIODE 0 +21 *1117:SET_B 1.8176e-05 +22 *342:DIODE 0 +23 *354:DIODE 0.000281852 +24 *1119:RESET_B 1.82006e-05 +25 *1120:RESET_B 7.47395e-05 +26 *1017:S 0 +27 *1159:RESET_B 0.00010585 +28 *334:DIODE 0 +29 *384:DIODE 0 +30 *1158:SET_B 0 +31 *383:DIODE 0.000428584 +32 *382:DIODE 0.000222371 +33 *1157:RESET_B 0 +34 *1140:RESET_B 0 +35 *365:DIODE 0.000331248 +36 *373:DIODE 0.000112985 +37 *372:DIODE 0.000397787 +38 *1147:RESET_B 0.000207375 +39 *1153:SET_B 0.00107113 +40 *378:DIODE 0 +41 *377:DIODE 0 +42 *1152:RESET_B 0.000230674 +43 *375:DIODE 0 +44 *1151:SET_B 0.000173166 +45 *376:DIODE 0 +46 *1150:RESET_B 0.000114715 +47 *1156:RESET_B 0.000106896 +48 *381:DIODE 0 +49 *1154:RESET_B 0.000360207 +50 *379:DIODE 0 +51 *364:DIODE 0 +52 *1138:SET_B 0 +53 *363:DIODE 0.0001639 +54 *1136:RESET_B 0.00011562 +55 *361:DIODE 0 +56 *1148:SET_B 3.36522e-05 +57 *343:DIODE 0 +58 *1125:SET_B 0.000156578 +59 *1127:RESET_B 6.19331e-05 +60 *352:DIODE 0.000266344 +61 *1162:SET_B 2.56946e-05 +62 *350:DIODE 3.11428e-05 +63 *347:DIODE 0 +64 *1124:RESET_B 2.5995e-05 +65 *349:DIODE 0.000249384 +66 *346:DIODE 4.27457e-05 +67 *1121:RESET_B 7.02746e-05 +68 *1122:SET_B 0.000110161 +69 *389:DIODE 0.000208988 +70 *387:DIODE 4.51188e-05 +71 *1126:RESET_B 1.76235e-05 +72 *1118:RESET_B 1.8176e-05 +73 *1163:RESET_B 2.00604e-05 +74 *388:DIODE 0 +75 *1161:RESET_B 0.000187955 +76 *386:DIODE 0.000566468 +77 *1123:RESET_B 0 +78 *366:DIODE 0.000304697 +79 *1134:RESET_B 0.00037131 +80 *359:DIODE 0 +81 *1135:SET_B 0.000263022 +82 *360:DIODE 0.000277299 +83 *1137:RESET_B 2.68617e-05 +84 *362:DIODE 0 +85 *1141:SET_B 0.000377348 +86 *351:DIODE 0 +87 *348:DIODE 0.000122233 +88 *1133:SET_B 0.00079012 +89 *358:DIODE 0.000145874 +90 *370:DIODE 0.000401805 +91 *1145:RESET_B 0.000419428 +92 *1146:SET_B 0.00140422 +93 *371:DIODE 0 +94 *367:DIODE 0 +95 *1142:RESET_B 1.76235e-05 +96 *1143:SET_B 0.00017986 +97 *368:DIODE 0.000112109 +98 *369:DIODE 4.21689e-05 +99 *1144:RESET_B 1.11888e-05 +100 *1139:RESET_B 0.000652347 +101 *380:DIODE 0 +102 *1155:SET_B 1.76235e-05 +103 *1179:X 0 +104 *309:928 0.000654761 +105 *309:900 0.000175734 +106 *309:899 0.000149041 +107 *309:895 0.000298121 +108 *309:893 0.000760336 +109 *309:877 0.000281876 +110 *309:849 0.000215391 +111 *309:827 0.000318751 +112 *309:824 0.00013399 +113 *309:816 0.000267279 +114 *309:814 0.000359 +115 *309:812 0.000435029 +116 *309:806 0.00029735 +117 *309:804 0.000264021 +118 *309:800 0.000551794 +119 *309:798 0.000153277 +120 *309:795 0.000421619 +121 *309:789 0.00063485 +122 *309:781 0.00118396 +123 *309:775 0.000878418 +124 *309:763 0.000594006 +125 *309:755 0.000714686 +126 *309:745 0.000973435 +127 *309:739 0.000574634 +128 *309:733 0.000612355 +129 *309:721 0.000388715 +130 *309:719 4.71176e-05 +131 *309:713 0.000325841 +132 *309:641 0.000645759 +133 *309:639 0.000340922 +134 *309:637 0.000605981 +135 *309:635 0.000705362 +136 *309:633 0.000676941 +137 *309:631 0.000392389 +138 *309:630 0.000654368 +139 *309:623 0.000430321 +140 *309:619 0.000431805 +141 *309:606 0.00145681 +142 *309:600 0.000989688 +143 *309:591 0.000732277 +144 *309:580 0.000336979 +145 *309:564 0.000259675 +146 *309:531 0.000531738 +147 *309:498 0.00033688 +148 *309:459 0.000432186 +149 *309:448 0.000346031 +150 *309:436 0.000102941 +151 *309:418 0.000407234 +152 *309:415 0.000254184 +153 *309:400 0.000315736 +154 *309:393 0.000285806 +155 *309:386 0.000294028 +156 *309:384 0.000518317 +157 *309:366 0.000667414 +158 *309:360 0.000887193 +159 *309:352 0.00075247 +160 *309:318 0.00104288 +161 *309:313 0.000760729 +162 *309:312 0.000892442 +163 *309:301 0.000738258 +164 *309:263 0.000535073 +165 *309:234 0.000768991 +166 *309:221 0.00110044 +167 *309:212 0.000912696 +168 *309:208 0.000636634 +169 *309:196 0.000345404 +170 *309:195 0.00038841 +171 *309:193 0.000662673 +172 *309:187 0.00037456 +173 *309:175 0.000676746 +174 *309:171 0.00054774 +175 *309:158 0.000852459 +176 *309:154 0.000789169 +177 *309:151 0.00103707 +178 *309:135 0.00101691 +179 *309:119 0.00118768 +180 *309:107 0.000903019 +181 *309:99 0.000538394 +182 *309:80 0.000383465 +183 *309:78 0.000532601 +184 *309:77 0.000610042 +185 *309:68 0.000143125 +186 *309:66 8.43151e-05 +187 *309:65 0.000132897 +188 *309:56 0.000353968 +189 *309:52 0.000451749 +190 *309:37 0.000811057 +191 *309:31 0.000561711 +192 *309:25 0.000338746 +193 *309:17 0.000599537 +194 *309:12 0.000373126 +195 *309:10 0.000580906 +196 *309:8 0.000608398 +197 *309:7 0.000238112 +198 *309:4 0.000120325 +199 *349:DIODE *1121:D 0.000261135 +200 *350:DIODE *324:13 0.000101412 +201 *368:DIODE *1029:A 0 +202 *372:DIODE *1096:A1 0.000561471 +203 *387:DIODE *1118:D 2.57847e-05 +204 *1124:RESET_B *1122:D 1.83257e-05 +205 *1129:RESET_B *1129:D 0.000117594 +206 *1146:SET_B *983:B1 1.6203e-05 +207 *1147:RESET_B *1195:A 7.37441e-06 +208 *1162:SET_B *325:11 0.00015643 +209 *309:80 *1029:A 0 +210 *309:135 *983:B1 0.000310591 +211 *309:154 *1011:A 5.2879e-05 +212 *309:154 *1089:A1 0 +213 *309:158 *1011:A 4.92922e-05 +214 *309:366 *1118:D 4.89392e-05 +215 *309:366 *317:15 0.000193965 +216 *309:366 *325:11 1.65347e-05 +217 *309:400 *1123:D 4.19199e-05 +218 *309:400 *324:13 1.50924e-05 +219 *309:415 *324:13 0.000146858 +220 *309:436 *324:13 0.000246937 +221 *309:639 *310:20 3.64497e-05 +222 *309:641 *310:20 1.02917e-05 +223 *309:755 *1119:D 5.02713e-05 +224 *309:789 *1175:A 3.10645e-06 +225 *309:893 *1129:D 0.000204993 +226 *309:895 *1129:D 0.000134732 +227 user_clk *309:739 6.46815e-05 +228 *888:A0 *374:DIODE 0.000110458 +229 *911:C *383:DIODE 1.07248e-05 +230 *913:A *382:DIODE 0.000363885 +231 *913:A *383:DIODE 1.67877e-05 +232 *913:A *309:630 6.97803e-05 +233 *913:A *309:631 3.37311e-05 +234 *913:A *309:633 5.46384e-06 +235 *913:B *382:DIODE 6.53312e-05 +236 *913:C *382:DIODE 9.54357e-06 +237 *914:A1 *309:630 0.000208627 +238 *914:B1_N *382:DIODE 0.000117376 +239 *915:S *309:10 3.87022e-06 +240 *915:S *309:12 2.1896e-05 +241 *918:S *309:12 1.22047e-05 +242 *918:S *309:17 5.80222e-05 +243 *925:A *1153:SET_B 2.07237e-05 +244 *925:A *309:713 6.07721e-05 +245 *934:B *309:580 7.23857e-05 +246 *934:B *309:591 0.000358671 +247 *936:A *1150:RESET_B 6.27718e-05 +248 *936:A *1151:SET_B 3.66496e-05 +249 *936:A *309:564 5.22071e-05 +250 *936:B *1151:SET_B 6.27718e-05 +251 *938:A *309:8 5.37082e-05 +252 *938:A *309:564 2.24484e-05 +253 *938:B *309:7 6.08467e-05 +254 *938:B *309:8 9.34409e-05 +255 *939:C *1151:SET_B 0.000227779 +256 *942:A *309:10 0.000230415 +257 *942:B *309:10 0.000239124 +258 *944:A *352:DIODE 1.36871e-05 +259 *944:A *1164:RESET_B 5.03545e-06 +260 *953:B1 *309:221 3.14544e-05 +261 *953:B1 *309:234 2.44103e-05 +262 *954:A_N *309:154 2.10465e-05 +263 *954:C *366:DIODE 0.000248741 +264 *954:C *309:208 6.46424e-05 +265 *957:A1 *309:154 0.000120544 +266 *962:B *1147:RESET_B 2.58757e-05 +267 *962:C *373:DIODE 7.18098e-05 +268 *963:A1 *372:DIODE 0.000114584 +269 *963:B1_N *1147:RESET_B 7.92757e-06 +270 *969:A *369:DIODE 6.2645e-05 +271 *969:A *1144:RESET_B 1.62526e-05 +272 *969:A *309:65 2.17699e-05 +273 *969:A *309:77 0.000112241 +274 *973:A0 *309:78 6.52332e-05 +275 *973:A0 *309:80 2.99929e-05 +276 *973:S *309:119 3.51113e-05 +277 *974:A *1143:SET_B 0.000117333 +278 *976:A *363:DIODE 9.29777e-05 +279 *976:B *363:DIODE 2.57434e-05 +280 *976:B *309:78 2.67898e-05 +281 *991:A *363:DIODE 2.58616e-05 +282 *1006:A *360:DIODE 0.000178823 +283 *1010:A *309:639 0 +284 *1010:B *365:DIODE 0.000232975 +285 *1012:B *358:DIODE 0.000118166 +286 *1012:B *309:158 5.93684e-05 +287 *1013:A *1133:SET_B 4.11245e-05 +288 *1013:A *309:171 4.69057e-05 +289 *1017:A0 *1159:RESET_B 0.000193478 +290 *1017:A0 *309:733 0.000138468 +291 *1017:A1 *309:733 0.000112367 +292 *1020:B *357:DIODE 1.88014e-05 +293 *1020:B *309:804 2.15992e-05 +294 *1020:B *309:806 1.34999e-05 +295 *1020:B *309:812 7.27245e-06 +296 *1024:B *366:DIODE 9.43222e-06 +297 *1025:A2_N *309:171 6.3657e-05 +298 *1026:B *385:DIODE 3.67259e-05 +299 *1026:B *309:928 2.8322e-05 +300 *1027:A2_N *1131:SET_B 4.70567e-05 +301 *1027:A2_N *309:812 4.39142e-05 +302 *1027:B1 *385:DIODE 0 +303 *1028:A *309:107 2.99287e-05 +304 *1030:B *309:78 9.02862e-05 +305 *1035:A *309:78 0 +306 *1035:B *309:78 0 +307 *1039:A *309:151 4.62974e-05 +308 *1041:A *309:135 4.31237e-05 +309 *1044:A *309:37 0.00023866 +310 *1052:A *309:10 7.67416e-05 +311 *1053:A *309:564 0 +312 *1054:A *309:591 9.43419e-05 +313 *1054:B *309:591 1.23772e-05 +314 *1055:B *309:600 3.43721e-06 +315 *1064:B *352:DIODE 1.78942e-05 +316 *1064:C *352:DIODE 2.15184e-05 +317 *1064:C *1127:RESET_B 6.08467e-05 +318 *1071:S *309:10 0 +319 *1079:A1 *374:DIODE 0.000201947 +320 *1079:A1 *309:827 3.53886e-05 +321 *1080:A1 *309:119 6.28168e-05 +322 *1080:S *309:119 0.00015321 +323 *1083:S *1135:SET_B 0.000114594 +324 *1083:S *309:234 0 +325 *1083:S *309:263 3.74039e-05 +326 *1085:A0 *309:234 5.96936e-05 +327 *1085:A1 *309:234 0.00023344 +328 *1090:A0 *370:DIODE 7.58739e-05 +329 *1091:S *370:DIODE 0.000219875 +330 *1094:A0 *1147:RESET_B 2.46935e-05 +331 *1094:S *372:DIODE 2.30636e-05 +332 *1101:S *309:591 3.31882e-05 +333 *1105:A0 *309:171 0.000283266 +334 *1107:A0 *309:812 0 +335 *1107:A0 *309:814 0 +336 *1108:CLK *309:234 0 +337 *1109:CLK *1137:RESET_B 0.000156748 +338 *1109:CLK *309:221 0.000329879 +339 *1111:D *1161:RESET_B 0.000201445 +340 *1111:D *309:318 0.000182062 +341 *1114:D *309:619 5.85383e-05 +342 *1114:D *309:623 2.96831e-05 +343 *1114:CLK *309:623 0.000216848 +344 *1114:CLK *309:630 0.000157191 +345 *1114:CLK *309:635 0 +346 *1115:D *1115:SET_B 3.00829e-05 +347 *1115:CLK *1115:SET_B 7.44553e-05 +348 *1115:CLK *309:795 1.43698e-05 +349 *1117:D *309:781 0.000123148 +350 *1118:CLK *309:301 0.000217202 +351 *1119:CLK *1120:RESET_B 9.5571e-05 +352 *1119:CLK *309:745 2.57847e-05 +353 *1124:D *349:DIODE 2.02354e-05 +354 *1124:D *1121:RESET_B 1.33911e-05 +355 *1124:D *309:400 9.65752e-06 +356 *1124:CLK *1122:SET_B 2.27645e-05 +357 *1124:CLK *309:384 1.88014e-05 +358 *1124:CLK *309:400 0.000183922 +359 *1124:CLK *309:448 1.13359e-05 +360 *1125:D *1122:SET_B 0 +361 *1125:D *1125:SET_B 5.67857e-05 +362 *1125:D *309:384 2.41274e-06 +363 *1125:D *309:436 3.98869e-05 +364 *1125:D *309:448 0 +365 *1126:D *348:DIODE 5.04829e-06 +366 *1126:D *309:196 1.47102e-05 +367 *1126:D *309:301 9.35753e-06 +368 *1126:CLK *309:187 1.19856e-05 +369 *1126:CLK *309:193 1.43848e-05 +370 *1126:CLK *309:352 2.41274e-06 +371 *1129:CLK *354:DIODE 2.54369e-05 +372 *1130:D *1127:RESET_B 4.20084e-05 +373 *1133:CLK *358:DIODE 2.20702e-05 +374 *1133:CLK *1133:SET_B 2.41274e-06 +375 *1135:D *360:DIODE 0.000256692 +376 *1136:D *1136:RESET_B 0.000120636 +377 *1136:CLK_N *1136:RESET_B 3.75603e-05 +378 *1139:CLK *309:52 0 +379 *1139:CLK *309:56 0 +380 *1141:D *366:DIODE 6.64392e-05 +381 *1141:D *309:212 4.21215e-05 +382 *1145:CLK *1136:RESET_B 2.65667e-05 +383 *1145:CLK *309:151 1.61631e-05 +384 *1145:CLK *309:498 0.000218204 +385 *1146:CLK *1146:SET_B 4.56004e-05 +386 *1153:CLK *1153:SET_B 1.43698e-05 +387 *1154:D *309:37 0 +388 *1158:D *383:DIODE 4.66876e-05 +389 *1158:D *309:623 5.00379e-05 +390 *1158:CLK *383:DIODE 2.99287e-05 +391 *1160:D *309:928 5.04829e-06 +392 *1160:CLK *1160:SET_B 0 +393 *1160:CLK *309:928 0.00011269 +394 *1162:D *309:366 5.31465e-05 +395 *1162:CLK *389:DIODE 0.000124073 +396 *1163:D *309:313 0.000164829 +397 *1163:CLK *309:313 3.99637e-05 +398 *1168:A *309:733 1.60442e-05 +399 *1168:A *309:739 0.000584715 +400 *1171:A *354:DIODE 0.000211546 +401 *1188:A *309:739 0.000363007 +402 *1198:A *309:154 0 +403 *1199:A *1162:SET_B 6.83043e-05 +404 *1199:A *309:448 0 +405 *4:11 *383:DIODE 1.28022e-05 +406 *7:15 *1160:SET_B 6.74667e-05 +407 *7:15 *309:800 0 +408 *7:15 *309:804 0 +409 *7:16 *309:313 3.44712e-06 +410 *7:16 *309:318 1.14753e-05 +411 *8:10 *385:DIODE 0.000171273 +412 *18:19 *309:630 0.000206696 +413 *21:7 *309:107 5.07314e-05 +414 *21:7 *309:119 2.65667e-05 +415 *49:16 *309:154 0.00049518 +416 *49:16 *309:498 2.21765e-05 +417 *50:9 *374:DIODE 0.000202269 +418 *50:9 *1130:RESET_B 1.73177e-05 +419 *50:9 *309:827 0.000310023 +420 *50:15 *374:DIODE 0.000231918 +421 *93:15 *309:623 1.55462e-05 +422 *138:9 *386:DIODE 0.000154914 +423 *145:14 *1151:SET_B 0 +424 *145:14 *309:7 2.65667e-05 +425 *145:14 *309:564 0 +426 *145:14 *309:580 6.23875e-05 +427 *145:14 *309:591 0.00016053 +428 *145:34 *309:623 0 +429 *146:21 *383:DIODE 1.38872e-05 +430 *146:21 *309:623 0.000380909 +431 *146:21 *309:630 9.60366e-05 +432 *146:36 *309:623 0.000143047 +433 *159:10 *309:591 0 +434 *161:17 *309:7 0.000155038 +435 *161:17 *309:580 4.66492e-05 +436 *161:18 *309:8 8.12683e-05 +437 *161:18 *309:10 3.20264e-05 +438 *161:20 *309:10 0.000144269 +439 *168:9 *1149:SET_B 5.70605e-05 +440 *172:15 *309:154 0 +441 *179:8 *309:154 0 +442 *192:14 *309:154 0 +443 *199:11 *1137:RESET_B 6.8575e-05 +444 *203:45 *360:DIODE 0.000244682 +445 *203:45 *1135:SET_B 0.000122269 +446 *203:45 *309:234 0 +447 *228:15 *1144:RESET_B 5.52256e-05 +448 *228:15 *309:65 0.000259098 +449 *229:15 *1154:RESET_B 0.000105347 +450 *229:17 *1154:RESET_B 3.25584e-05 +451 *229:17 *309:17 6.06823e-05 +452 *229:17 *309:25 7.90701e-05 +453 *229:17 *309:31 2.7837e-05 +454 *229:17 *309:531 7.09148e-05 +455 *231:42 *309:171 0.000321905 +456 *231:42 *309:175 0.000138758 +457 *231:42 *309:187 0.000202485 +458 *231:43 *1141:SET_B 8.76073e-05 +459 *231:43 *309:196 3.25394e-05 +460 *231:43 *309:301 2.04806e-05 +461 *231:48 *366:DIODE 1.28069e-05 +462 *231:48 *1141:SET_B 5.74817e-05 +463 *231:48 *309:212 5.27524e-05 +464 *231:48 *309:221 2.41483e-05 +465 *231:54 *309:221 7.48633e-05 +466 *231:64 *1136:RESET_B 0.000398474 +467 *231:91 *1134:RESET_B 6.92705e-05 +468 *231:91 *309:263 0.000116014 +469 *232:32 *309:313 9.48595e-05 +470 *232:81 *1161:RESET_B 8.45233e-05 +471 *233:68 *309:154 8.11463e-06 +472 *235:7 *309:384 6.3657e-05 +473 *235:15 *309:221 1.75625e-05 +474 *235:15 *309:234 5.82465e-05 +475 *235:28 *309:154 7.15574e-05 +476 *235:28 *309:212 0.000118166 +477 *241:5 *360:DIODE 8.20787e-05 +478 *241:5 *1135:SET_B 0.000110568 +479 *243:36 *309:135 0.000143803 +480 *243:36 *309:151 4.41404e-05 +481 *244:22 *370:DIODE 0 +482 *244:22 *309:135 6.86864e-05 +483 *246:7 *309:99 4.28856e-07 +484 *246:7 *309:107 7.16334e-06 +485 *246:17 *309:78 5.79399e-05 +486 *247:14 *1143:SET_B 4.55124e-05 +487 *247:14 *309:78 0 +488 *247:14 *309:80 0 +489 *248:15 *309:65 6.92991e-05 +490 *248:15 *309:77 1.88422e-05 +491 *253:5 *366:DIODE 5.85283e-05 +492 *253:5 *309:208 0.000111672 +493 *254:8 *309:234 8.62321e-06 +494 *254:49 *309:154 0 +495 *255:9 *348:DIODE 6.64392e-05 +496 *255:28 *385:DIODE 0 +497 *255:42 *1141:SET_B 0 +498 *255:42 *309:196 0 +499 *255:69 *309:360 4.58003e-05 +500 *255:69 *309:366 2.36813e-05 +501 *255:69 *309:384 0.000102011 +502 *260:13 *385:DIODE 0.000175485 +503 *261:11 *357:DIODE 6.64392e-05 +504 *261:11 *309:928 0 +505 *261:20 *1160:SET_B 0.000322514 +506 *261:20 *309:928 2.84115e-05 +507 *262:8 *309:448 8.36586e-06 +508 *262:8 *309:459 0.000111679 +509 *262:37 *1164:RESET_B 5.71849e-05 +510 *262:49 *389:DIODE 0.000165521 +511 *263:27 *352:DIODE 4.5332e-05 +512 *265:5 *356:DIODE 1.19705e-05 +513 *265:5 *1149:SET_B 3.79772e-05 +514 *266:15 *1150:RESET_B 4.24488e-05 +515 *267:19 *1151:SET_B 8.7133e-05 +516 *268:7 *1152:RESET_B 3.16749e-06 +517 *268:11 *309:591 0.000114659 +518 *269:56 *1161:RESET_B 0.000352761 +519 *274:8 *1156:RESET_B 0.000112361 +520 *274:8 *309:10 4.43331e-05 +521 *278:11 *1160:SET_B 8.75727e-05 +522 *279:27 *309:713 0.000100788 +523 *279:27 *309:719 0.00016195 +524 *279:27 *309:755 4.04827e-05 +525 *279:27 *309:763 5.81096e-06 +526 *279:27 *309:775 5.29412e-05 +527 *279:27 *309:781 2.23632e-05 +528 *280:8 *1161:RESET_B 0.00016386 +529 *281:49 *1131:SET_B 0.000110099 +530 *284:11 *357:DIODE 2.99747e-05 +531 *284:11 *1132:RESET_B 2.84892e-05 +532 *284:11 *1160:SET_B 0 +533 *284:11 *309:895 6.50586e-05 +534 *284:11 *309:899 0.000119695 +535 *305:54 *346:DIODE 6.36477e-05 +536 *305:54 *1124:RESET_B 0.000104821 +537 *305:70 *348:DIODE 1.44467e-05 +538 *305:130 *372:DIODE 0.000179164 +539 *305:130 *373:DIODE 0.000461725 +540 *305:145 *348:DIODE 0 *RES -1 *1163:X *296:5 13.7491 -2 *296:5 *296:6 1.41674 -3 *296:6 *296:8 1.832 -4 *296:8 *296:10 6.81502 -5 *296:10 *296:12 3.07775 -6 *296:12 *296:16 7.993 -7 *296:16 *1138:RESET_B 1.77232 -8 *296:16 *296:25 1.278 -9 *296:25 *362:DIODE 9.24915 -10 *296:25 *296:34 13.7022 -11 *296:34 *296:36 2.24725 -12 *296:36 *296:38 4.73876 -13 *296:38 *296:43 13.1476 -14 *296:43 *296:45 1.8326 -15 *296:45 *1123:RESET_B 1.77232 -16 *296:45 *296:56 11.7303 -17 *296:56 *296:61 8.1646 -18 *296:61 *296:67 16.5384 -19 *296:67 *351:DIODE 9.24915 -20 *296:67 *296:73 12.3701 -21 *296:73 *296:76 5.91674 -22 *296:76 *1127:SET_B 7.93613 -23 *296:76 *296:87 3.07775 -24 *296:87 *1126:RESET_B 11.7942 -25 *296:87 *296:96 3.90826 -26 *296:96 *350:DIODE 17.8002 -27 *296:96 *296:105 2.6625 -28 *296:105 *296:107 4.5 -29 *296:107 *353:DIODE 11.6364 -30 *296:107 *1129:RESET_B 0.639 -31 *296:105 *296:120 9.72179 -32 *296:120 *354:DIODE 15.5817 -33 *296:120 *296:131 4.64105 -34 *296:131 *1117:SET_B 4.5 -35 *296:131 *296:140 13.8472 -36 *296:140 *296:142 4.5 -37 *296:142 *1130:SET_B 11.1196 -38 *296:142 *1141:RESET_B 8.82351 -39 *296:140 *296:159 2.94181 -40 *296:159 *366:DIODE 9.24915 -41 *296:159 *296:165 6.82404 -42 *296:165 *296:168 6.332 -43 *296:168 *296:171 11.324 -44 *296:171 *296:174 6.332 -45 *296:174 *367:DIODE 17.8002 -46 *296:174 *369:DIODE 25.6938 -47 *296:171 *1131:RESET_B 1.1936 -48 *296:168 *1142:SET_B 6.74725 -49 *296:165 *355:DIODE 11.6364 -50 *296:73 *296:216 5.71483 -51 *296:216 *352:DIODE 9.24915 -52 *296:216 *296:224 10.0311 -53 *296:224 *1128:RESET_B 0.494321 -54 *296:224 *296:235 20.4968 -55 *296:235 *296:238 5.2234 -56 *296:238 *349:DIODE 9.24915 -57 *296:238 *296:250 15.9538 -58 *296:250 *296:251 1.41674 -59 *296:251 *1125:SET_B 8.0802 -60 *296:251 *296:263 7.44181 -61 *296:263 *1120:RESET_B 5.53398 -62 *296:263 *344:DIODE 9.24915 -63 *296:250 *296:282 8.7164 -64 *296:282 *1132:SET_B 0.494321 -65 *296:282 *296:293 20.2209 -66 *296:293 *345:DIODE 15.0271 -67 *296:293 *296:302 13.0438 -68 *296:302 *296:304 4.5 -69 *296:304 *326:DIODE 9.24915 -70 *296:304 *1102:RESET_B 2.85741 -71 *296:302 *296:315 2.24725 -72 *296:315 *1143:RESET_B 16.2311 -73 *296:315 *296:324 3.90826 -74 *296:324 *1147:RESET_B 14.5914 -75 *296:324 *296:335 1.41674 -76 *296:335 *371:DIODE 13.7491 -77 *296:335 *296:344 15.8529 -78 *296:344 *1144:SET_B 4.5 -79 *296:344 *296:350 1.832 -80 *296:350 *296:352 4.5 -81 *296:352 *296:353 6.82404 -82 *296:353 *296:358 12.0778 -83 *296:358 *296:359 4.05102 -84 *296:359 *1104:RESET_B 13.6345 -85 *1104:RESET_B *296:374 8.01764 -86 *296:374 *296:379 11.6625 -87 *296:379 *296:382 3.52053 -88 *296:382 *296:384 7.93324 -89 *296:384 *296:388 23.4863 -90 *296:388 *1135:SET_B 16.7369 -91 *296:388 *359:DIODE 9.24915 -92 *296:384 *1137:SET_B 12.493 -93 *296:382 *316:DIODE 9.24915 -94 *296:379 *1021:S 11.6364 -95 *296:374 *328:DIODE 9.24915 -96 *296:359 *1145:RESET_B 21.0218 -97 *296:359 *327:DIODE 9.24915 -98 *296:358 *1103:RESET_B 1.1936 -99 *296:353 *368:DIODE 9.24915 -100 *296:352 *296:452 9.04245 -101 *296:452 *340:DIODE 9.24915 -102 *296:452 *296:458 5.71483 -103 *296:458 *1116:RESET_B 10.832 -104 *296:458 *296:469 6.3326 -105 *296:469 *296:470 1.41674 -106 *296:470 *324:DIODE 15.0271 -107 *296:470 *1100:SET_B 14.0656 -108 *296:469 *296:495 9.4492 -109 *296:495 *296:499 3.52053 -110 *296:499 *296:502 7.57775 -111 *296:502 *296:504 3.90826 -112 *296:504 *296:509 12.1834 -113 *296:509 *296:512 6.332 -114 *296:512 *296:514 5.15401 -115 *296:514 *296:516 2.24725 -116 *296:516 *296:518 1.832 -117 *296:518 *1107:RESET_B 5.79461 -118 *1107:RESET_B *296:526 2.30281 -119 *296:526 *296:528 2.94181 -120 *296:528 *296:533 12.7352 -121 *296:533 *1148:RESET_B 4.5 -122 *1148:RESET_B *296:544 9.90748 -123 *296:544 *296:548 8.37832 -124 *296:548 *296:552 7.54782 -125 *296:552 *342:DIODE 26.0031 -126 *296:552 *329:DIODE 16.1364 -127 *296:548 *1121:RESET_B 4.5 -128 *296:544 *372:DIODE 15.0271 -129 *296:533 *330:DIODE 19.3923 -130 *296:528 *331:DIODE 9.24915 -131 *296:526 *296:597 7.993 -132 *296:597 *296:599 6.39977 -133 *296:599 *332:DIODE 23.3666 -134 *296:599 *333:DIODE 14.4725 -135 *296:597 *296:618 6.88721 -136 *296:618 *296:622 2.12196 -137 *296:622 *1106:SET_B 11.2472 -138 *296:622 *1110:RESET_B 5.07583 -139 *1110:RESET_B *296:636 11.315 -140 *296:636 *1109:SET_B 4.5 -141 *296:636 *296:644 7.54782 -142 *296:644 *296:646 2.6625 -143 *296:646 *296:648 4.32351 -144 *296:648 *296:652 6.332 -145 *296:652 *1118:RESET_B 3.43613 -146 *296:652 *343:DIODE 9.24915 -147 *296:648 *1119:SET_B 5.139 -148 *296:646 *1105:RESET_B 6.80281 -149 *296:644 *1108:RESET_B 4.5 -150 *296:618 *334:DIODE 9.24915 -151 *296:516 *1146:SET_B 10.7091 -152 *296:514 *370:DIODE 13.7491 -153 *296:512 *1111:RESET_B 7.91202 -154 *296:509 *335:DIODE 9.97254 -155 *296:504 *1133:SET_B 4.5 -156 *296:502 *296:722 4.5 -157 *296:722 *357:DIODE 9.24915 -158 *296:722 *296:727 3.49641 -159 *296:727 *338:DIODE 9.24915 -160 *296:727 *296:735 9.66022 -161 *296:735 *1115:SET_B 9.65401 -162 *296:735 *1114:RESET_B 7.06479 -163 *296:499 *1112:SET_B 1.1936 -164 *296:495 *336:DIODE 9.24915 -165 *296:350 *296:765 12.2022 -166 *296:765 *337:DIODE 9.24915 -167 *296:765 *296:771 6.82404 -168 *296:771 *1113:RESET_B 0.494321 -169 *296:771 *296:782 11.077 -170 *296:782 *296:785 6.88721 -171 *296:785 *1101:SET_B 0.494321 -172 *296:785 *296:793 6.26943 -173 *296:793 *339:DIODE 9.24915 -174 *296:793 *296:799 1.278 -175 *296:799 *323:DIODE 9.24915 -176 *296:799 *1099:SET_B 5.65455 -177 *296:782 *325:DIODE 24.4814 -178 *296:235 *356:DIODE 16.8269 -179 *296:61 *348:DIODE 9.24915 -180 *296:56 *1124:RESET_B 5.6936 -181 *296:43 *347:DIODE 9.24915 -182 *296:38 *296:845 5.778 -183 *296:845 *1122:SET_B 4.54534 -184 *296:845 *346:DIODE 9.24915 -185 *296:36 *296:860 11.324 -186 *296:860 *341:DIODE 9.24915 -187 *296:860 *365:DIODE 11.0817 -188 *296:34 *296:872 4.5 -189 *296:872 *1139:SET_B 4.54534 -190 *296:872 *363:DIODE 9.24915 -191 *296:12 *364:DIODE 15.0271 -192 *296:10 *1140:RESET_B 5.6936 -193 *296:8 *1134:RESET_B 5.6936 -194 *296:6 *296:907 7.99641 -195 *296:907 *358:DIODE 23.8184 -196 *296:907 *296:922 19.7323 -197 *296:922 *360:DIODE 9.24915 -198 *296:922 *361:DIODE 24.5851 -199 *296:5 *1136:RESET_B 9.60186 +1 *1179:X *309:4 9.24915 +2 *309:4 *309:7 7.44181 +3 *309:7 *309:8 2.6625 +4 *309:8 *309:10 13.4591 +5 *309:10 *309:12 1.832 +6 *309:12 *309:17 10.9348 +7 *309:17 *1155:SET_B 0.494321 +8 *309:17 *309:25 4.05102 +9 *309:25 *380:DIODE 9.24915 +10 *309:25 *309:31 1.278 +11 *309:31 *309:37 17.2324 +12 *309:37 *1139:RESET_B 8.62047 +13 *1139:RESET_B *309:52 7.94085 +14 *309:52 *309:56 11.315 +15 *309:56 *1144:RESET_B 0.639 +16 *309:56 *309:65 12.191 +17 *309:65 *309:66 104.301 +18 *309:66 *309:68 9.24915 +19 *309:68 *369:DIODE 9.97254 +20 *309:68 *309:77 6.3326 +21 *309:77 *309:78 10.137 +22 *309:78 *309:80 2.24725 +23 *309:80 *368:DIODE 16.4116 +24 *309:80 *1143:SET_B 10.1545 +25 *309:78 *309:99 5.778 +26 *309:99 *1142:RESET_B 0.494321 +27 *309:99 *309:107 6.26943 +28 *309:107 *367:DIODE 9.24915 +29 *309:107 *309:119 18.3766 +30 *309:119 *371:DIODE 9.24915 +31 *309:119 *1146:SET_B 11.3211 +32 *1146:SET_B *309:135 13.5878 +33 *309:135 *1145:RESET_B 0.494321 +34 *1145:RESET_B *370:DIODE 26.4871 +35 *309:135 *309:151 4.60562 +36 *309:151 *309:154 19.6201 +37 *309:154 *309:158 10.8998 +38 *309:158 *358:DIODE 11.6364 +39 *309:158 *1133:SET_B 7.31836 +40 *1133:SET_B *309:171 10.0673 +41 *309:171 *309:175 4.07513 +42 *309:175 *348:DIODE 21.2198 +43 *309:175 *309:187 5.71483 +44 *309:187 *351:DIODE 9.24915 +45 *309:187 *309:193 0.723396 +46 *309:193 *309:195 4.5 +47 *309:195 *309:196 1.832 +48 *309:196 *1141:SET_B 11.315 +49 *1141:SET_B *309:208 8.34606 +50 *309:208 *309:212 9.13445 +51 *309:212 *362:DIODE 9.24915 +52 *309:212 *309:221 16.623 +53 *309:221 *1137:RESET_B 6.24821 +54 *309:221 *309:234 15.3698 +55 *309:234 *360:DIODE 19.4249 +56 *309:234 *1135:SET_B 4.95526 +57 *1135:SET_B *309:263 12.11 +58 *309:263 *359:DIODE 9.24915 +59 *309:263 *1134:RESET_B 5.65455 +60 *309:208 *366:DIODE 22.7002 +61 *309:196 *1123:RESET_B 4.5 +62 *309:195 *309:301 12.4565 +63 *309:301 *309:312 15.3788 +64 *309:312 *309:313 7.93324 +65 *309:313 *309:318 10.3802 +66 *309:318 *386:DIODE 22.7916 +67 *309:318 *1161:RESET_B 12.3749 +68 *309:313 *388:DIODE 9.24915 +69 *309:312 *1163:RESET_B 0.494321 +70 *309:301 *1118:RESET_B 0.494321 +71 *309:193 *309:352 9.04245 +72 *309:352 *1126:RESET_B 0.494321 +73 *309:352 *309:360 1.8326 +74 *309:360 *309:366 17.6532 +75 *309:366 *387:DIODE 9.97254 +76 *309:366 *389:DIODE 23.5748 +77 *309:360 *309:384 5.71483 +78 *309:384 *309:386 4.5 +79 *309:386 *1122:SET_B 6.332 +80 *1122:SET_B *309:393 0.904245 +81 *309:393 *309:400 10.8343 +82 *309:400 *1121:RESET_B 6.332 +83 *309:400 *346:DIODE 14.4725 +84 *309:393 *309:415 1.8326 +85 *309:415 *309:418 8.40826 +86 *309:418 *349:DIODE 20.184 +87 *309:418 *1124:RESET_B 5.6936 +88 *309:415 *309:436 2.94181 +89 *309:436 *347:DIODE 9.24915 +90 *309:436 *350:DIODE 10.5271 +91 *309:386 *309:448 5.15401 +92 *309:448 *1162:SET_B 6.24821 +93 *309:448 *309:459 6.74725 +94 *309:459 *352:DIODE 13.8789 +95 *309:459 *1127:RESET_B 1.74821 +96 *309:384 *1125:SET_B 2.88153 +97 *309:171 *343:DIODE 9.24915 +98 *309:154 *1148:SET_B 5.2328 +99 *309:151 *309:498 14.6366 +100 *309:498 *361:DIODE 9.24915 +101 *309:498 *1136:RESET_B 6.23326 +102 *309:77 *363:DIODE 17.9655 +103 *309:52 *1138:SET_B 4.5 +104 *309:37 *364:DIODE 9.24915 +105 *309:31 *309:531 2.94181 +106 *309:531 *379:DIODE 9.24915 +107 *309:531 *1154:RESET_B 6.20915 +108 *309:12 *381:DIODE 13.7491 +109 *309:10 *1156:RESET_B 7.35741 +110 *309:8 *1150:RESET_B 7.35741 +111 *309:7 *309:564 2.6625 +112 *309:564 *376:DIODE 13.7491 +113 *309:564 *1151:SET_B 9.74401 +114 *309:4 *309:580 1.8326 +115 *309:580 *375:DIODE 9.24915 +116 *309:580 *309:591 19.0355 +117 *309:591 *1152:RESET_B 3.43613 +118 *309:591 *309:600 2.94181 +119 *309:600 *377:DIODE 9.24915 +120 *309:600 *309:606 11.2609 +121 *309:606 *378:DIODE 9.24915 +122 *309:606 *1153:SET_B 10.0914 +123 *1153:SET_B *309:619 7.1625 +124 *309:619 *309:623 11.7003 +125 *309:623 *309:630 14.465 +126 *309:630 *309:631 2.6625 +127 *309:631 *309:633 1.41674 +128 *309:633 *309:635 13.4591 +129 *309:635 *309:637 1.832 +130 *309:637 *309:639 4.32351 +131 *309:639 *309:641 1.00149 +132 *309:641 *1147:RESET_B 9.05006 +133 *309:641 *372:DIODE 25.5646 +134 *309:639 *373:DIODE 18.9094 +135 *309:637 *365:DIODE 19.464 +136 *309:635 *1140:RESET_B 4.5 +137 *309:633 *1157:RESET_B 4.5 +138 *309:631 *382:DIODE 20.5732 +139 *309:630 *383:DIODE 21.7501 +140 *309:623 *1158:SET_B 4.5 +141 *309:619 *384:DIODE 13.7491 +142 *1153:SET_B *309:713 8.307 +143 *309:713 *334:DIODE 9.24915 +144 *309:713 *309:719 1.8326 +145 *309:719 *309:721 4.5 +146 *309:721 *1159:RESET_B 7.993 +147 *309:721 *309:733 10.8196 +148 *309:733 *1017:S 9.24915 +149 *309:733 *309:739 10.7063 +150 *309:739 *309:745 14.4622 +151 *309:745 *1120:RESET_B 2.30281 +152 *309:745 *309:755 10.1758 +153 *309:755 *1119:RESET_B 0.494321 +154 *309:755 *309:763 0.723396 +155 *309:763 *354:DIODE 23.1623 +156 *309:763 *309:775 4.05102 +157 *309:775 *342:DIODE 9.24915 +158 *309:775 *309:781 9.59705 +159 *309:781 *1117:SET_B 0.494321 +160 *309:781 *309:789 7.93324 +161 *309:789 *340:DIODE 9.24915 +162 *309:789 *309:795 1.278 +163 *309:795 *309:798 6.332 +164 *309:798 *309:800 1.832 +165 *309:800 *309:804 4.2258 +166 *309:804 *309:806 1.832 +167 *309:806 *309:812 5.64254 +168 *309:812 *309:814 3.90826 +169 *309:814 *309:816 3.07775 +170 *309:816 *1164:RESET_B 7.1625 +171 *309:816 *309:824 4.5 +172 *309:824 *309:827 4.07513 +173 *309:827 *374:DIODE 17.9299 +174 *309:827 *355:DIODE 9.24915 +175 *309:824 *1130:RESET_B 0.639 +176 *309:814 *309:849 4.5 +177 *309:849 *1149:SET_B 4.8347 +178 *309:849 *356:DIODE 9.97254 +179 *309:812 *1131:SET_B 5.6936 +180 *309:806 *1128:SET_B 4.5 +181 *309:804 *309:877 4.5 +182 *309:877 *357:DIODE 12.191 +183 *309:877 *1132:RESET_B 1.77232 +184 *309:800 *309:893 9.66022 +185 *309:893 *309:895 4.05102 +186 *309:895 *309:899 10.5271 +187 *309:899 *309:900 104.301 +188 *309:900 *1129:RESET_B 12.1066 +189 *309:895 *341:DIODE 9.24915 +190 *309:893 *1160:SET_B 16.2226 +191 *1160:SET_B *309:928 12.6805 +192 *309:928 *385:DIODE 19.49 +193 *309:928 *353:DIODE 13.7491 +194 *309:798 *1116:SET_B 4.5 +195 *309:795 *1115:SET_B 4.54534 +196 *309:739 *344:DIODE 9.24915 +197 *309:719 *345:DIODE 9.24915 *END -*D_NET *297 0.000714859 +*D_NET *310 0.0143698 *CONN -*I *1111:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1164:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *996:A I *D sky130_fd_sc_hd__and2_1 +*I *953:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *995:A I *D sky130_fd_sc_hd__nor2_1 +*I *1110:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1019:A I *D sky130_fd_sc_hd__nor2_1 +*I *1094:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1096:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1201:X O *D sky130_fd_sc_hd__buf_2 *CAP -1 *1111:D 0.00027249 -2 *1164:X 0.00027249 -3 *1065:S *1111:D 0.000169879 +1 *996:A 9.42282e-05 +2 *953:A1 0 +3 *995:A 0 +4 *1110:D 8.74425e-05 +5 *1019:A 0.000303686 +6 *1094:A1 0 +7 *1096:A1 0.000699255 +8 *1201:X 0 +9 *310:68 0.000217787 +10 *310:52 0.000244328 +11 *310:20 0.0026315 +12 *310:13 0.0025951 +13 *310:7 0.00115844 +14 *310:4 0.000765945 +15 *996:A *317:27 2.03156e-05 +16 *310:7 *1123:D 0.000359178 +17 *310:68 *1123:D 6.27718e-05 +18 *310:68 *317:27 4.78409e-05 +19 *372:DIODE *1096:A1 0.000561471 +20 *953:B1 *996:A 0.000171811 +21 *975:A *1110:D 4.61271e-05 +22 *987:B *1096:A1 6.66393e-05 +23 *995:B *1110:D 0.000240492 +24 *997:A1 *1110:D 0.000290081 +25 *1010:A *310:20 1.83992e-05 +26 *1010:B *310:20 6.46424e-05 +27 *1012:A *310:20 2.72075e-05 +28 *1012:C *1096:A1 0.000172744 +29 *1021:A *1096:A1 0.000396579 +30 *1021:B *1096:A1 2.42295e-05 +31 *1025:A1_N *310:20 0.000368872 +32 *1025:A2_N *310:20 3.18656e-05 +33 *1025:B2 *1019:A 0 +34 *1094:S *1096:A1 0.000110458 +35 *1094:S *310:20 1.02917e-05 +36 *1095:S *1096:A1 0.000122378 +37 *1105:S *1019:A 5.70364e-05 +38 *1111:CLK *1019:A 1.59362e-06 +39 *1121:CLK *996:A 1.22289e-05 +40 *1121:CLK *310:68 2.51424e-05 +41 *46:5 *1096:A1 0.000106215 +42 *174:11 *996:A 0.000122978 +43 *228:8 *1096:A1 5.66868e-06 +44 *228:13 *1096:A1 0.000106396 +45 *236:36 *1096:A1 9.99386e-06 +46 *238:9 *1096:A1 1.80887e-05 +47 *255:9 *310:7 6.69545e-05 +48 *255:9 *310:13 0.000464224 +49 *255:9 *310:20 0.000305735 +50 *255:42 *310:7 0.000155239 +51 *305:70 *1019:A 0 +52 *305:79 *310:20 0.000140201 +53 *305:130 *1096:A1 0.000427006 +54 *305:145 *1019:A 0.000286232 +55 *309:639 *310:20 3.64497e-05 +56 *309:641 *310:20 1.02917e-05 *RES -1 *1164:X *1111:D 22.9954 +1 *1201:X *310:4 9.24915 +2 *310:4 *310:7 11.285 +3 *310:7 *310:13 8.12615 +4 *310:13 *310:20 41.2253 +5 *310:20 *1096:A1 43.4732 +6 *310:20 *1094:A1 9.24915 +7 *310:13 *1019:A 26.3099 +8 *310:7 *310:52 12.0778 +9 *310:52 *1110:D 13.692 +10 *310:52 *995:A 9.24915 +11 *310:4 *310:68 7.47064 +12 *310:68 *953:A1 13.7491 +13 *310:68 *996:A 17.9749 *END -*D_NET *298 0.00165912 +*D_NET *311 0.00197034 *CONN -*I *1112:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1165:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1011:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1198:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1112:D 0.000462818 -2 *1165:X 0.000462818 -3 *380:DIODE *1112:D 6.94431e-05 -4 *1111:CLK *1112:D 0.000664036 +1 *1011:A 0.000648124 +2 *1198:X 0.000648124 +3 *954:A_N *1011:A 5.23577e-05 +4 *959:A2 *1011:A 1.75816e-05 +5 *1012:B *1011:A 3.6147e-05 +6 *1148:CLK *1011:A 0 +7 *172:15 *1011:A 4.13547e-05 +8 *179:11 *1011:A 0.00042448 +9 *309:154 *1011:A 5.2879e-05 +10 *309:158 *1011:A 4.92922e-05 *RES -1 *1165:X *1112:D 31.857 +1 *1198:X *1011:A 41.4104 *END -*D_NET *299 0.00311741 +*D_NET *312 0.00171564 *CONN -*I *1113:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1166:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *983:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1189:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1113:D 0 -2 *1166:X 0.000830668 -3 *299:13 0.000830668 -4 *299:13 *1160:A 0.000148932 -5 *1099:D *299:13 0.000103508 -6 *1100:D *299:13 6.92705e-05 -7 *1100:SET_B *299:13 0.000222175 -8 *8:7 *299:13 6.53429e-05 -9 *269:28 *299:13 2.92544e-05 -10 *269:43 *299:13 0.000599211 -11 *296:782 *299:13 0.000218375 +1 *983:B1 0.00059848 +2 *1189:X 0.00059848 +3 *965:A1 *983:B1 1.79807e-05 +4 *965:A2 *983:B1 3.1218e-05 +5 *1041:A *983:B1 0 +6 *1042:B *983:B1 0.00012568 +7 *1068:S *983:B1 0 +8 *1092:A0 *983:B1 0 +9 *1146:SET_B *983:B1 1.6203e-05 +10 *1146:CLK *983:B1 0 +11 *19:52 *983:B1 1.70077e-05 +12 *233:82 *983:B1 0 +13 *309:135 *983:B1 0.000310591 *RES -1 *1166:X *299:13 48.3042 -2 *299:13 *1113:D 9.24915 +1 *1189:X *983:B1 39.337 *END -*D_NET *300 0.00302362 +*D_NET *313 0.00439529 *CONN -*I *1105:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1167:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1191:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1031:A I *D sky130_fd_sc_hd__nor3_1 +*I *1029:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1032:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1190:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1105:D 0 -2 *1167:X 0.00132807 -3 *300:19 0.00132807 -4 *382:DIODE *300:19 6.92705e-05 -5 *1009:A *300:19 2.18041e-06 -6 *1060:S *300:19 5.63702e-05 -7 *1105:CLK *300:19 1.03403e-05 -8 *1108:D *300:19 1.15766e-05 -9 *221:10 *300:19 0.000203544 -10 *243:29 *300:19 1.41976e-05 +1 *1191:A 2.06324e-05 +2 *1031:A 0 +3 *1029:A 0.000616682 +4 *1032:A1 3.29888e-05 +5 *1190:X 0.00020204 +6 *313:24 0.000729503 +7 *313:22 0.000344644 +8 *313:8 0.000446218 +9 *368:DIODE *1029:A 0 +10 *973:A0 *1029:A 8.50796e-05 +11 *977:A2 *1191:A 0 +12 *977:B1 *313:22 7.73328e-05 +13 *983:C1 *313:22 3.31882e-05 +14 *1031:B *313:22 3.14978e-05 +15 *1031:B *313:24 0.000193707 +16 *1032:A2 *313:22 7.73661e-05 +17 *1033:A *313:22 4.88955e-05 +18 *1033:A *313:24 0.000417478 +19 *1081:A0 *1029:A 0.000117376 +20 *1081:A0 *313:24 9.90819e-05 +21 *1082:A0 *1029:A 2.84786e-05 +22 *1082:A0 *313:24 1.9503e-05 +23 *1197:A *1191:A 0 +24 *1197:A *313:22 0.000110306 +25 *187:12 *313:8 0.000143161 +26 *187:12 *313:22 8.52198e-05 +27 *228:15 *1032:A1 2.16355e-05 +28 *235:52 *313:22 5.99527e-05 +29 *246:17 *1029:A 4.87198e-05 +30 *247:26 *1029:A 8.52802e-05 +31 *247:33 *1029:A 0.000104731 +32 *305:130 *313:8 0.000114594 +33 *309:80 *1029:A 0 *RES -1 *1167:X *300:19 40.7649 -2 *300:19 *1105:D 9.24915 +1 *1190:X *313:8 18.9354 +2 *313:8 *1032:A1 14.4725 +3 *313:8 *313:22 11.9435 +4 *313:22 *313:24 6.26943 +5 *313:24 *1029:A 34.4036 +6 *313:24 *1031:A 9.24915 +7 *313:22 *1191:A 9.82786 *END -*D_NET *301 0.00183761 +*D_NET *314 0.00185623 *CONN -*I *1106:D I *D sky130_fd_sc_hd__dfstp_1 -*I *1168:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *990:S I *D sky130_fd_sc_hd__mux2_1 +*I *988:S I *D sky130_fd_sc_hd__mux2_1 +*I *1191:X O *D sky130_fd_sc_hd__dlygate4sd1_1 *CAP -1 *1106:D 0.000782303 -2 *1168:X 0.000782303 -3 *383:DIODE *1106:D 6.46815e-05 -4 *1109:D *1106:D 0.000123204 -5 *243:46 *1106:D 8.51149e-05 +1 *990:S 4.26858e-05 +2 *988:S 0.00013199 +3 *1191:X 0.000308418 +4 *314:11 0.000483094 +5 *990:A0 *314:11 7.26748e-05 +6 *991:A *988:S 0.000117376 +7 *1032:A2 *314:11 6.92705e-05 +8 *1033:B *314:11 5.1674e-06 +9 *1082:A0 *314:11 6.5862e-05 +10 *1082:S *314:11 0.000124086 +11 *1095:A0 *314:11 1.22289e-05 +12 *1095:S *314:11 0.000126335 +13 *236:11 *988:S 0.000252885 +14 *236:11 *990:S 2.53191e-05 +15 *236:27 *990:S 1.88422e-05 *RES -1 *1168:X *1106:D 38.1023 +1 *1191:X *314:11 27.612 +2 *314:11 *988:S 13.8789 +3 *314:11 *990:S 10.5513 *END -*D_NET *302 0.00182633 +*D_NET *315 0.00224455 *CONN -*I *1107:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1169:X O *D sky130_fd_sc_hd__clkbuf_1 +*I *1193:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1089:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1192:X O *D sky130_fd_sc_hd__dlygate4sd1_1 *CAP -1 *1107:D 0.000637134 -2 *1169:X 0.000637134 -3 *1107:D *1102:D 0.000375304 -4 *384:DIODE *1107:D 6.46815e-05 -5 *296:526 *1107:D 8.62152e-05 -6 *296:528 *1107:D 2.58616e-05 +1 *1193:A 0 +2 *1089:A1 0.000585462 +3 *1192:X 0.000298496 +4 *315:8 0.000883957 +5 *958:A *1089:A1 3.67528e-06 +6 *958:A *315:8 1.67033e-05 +7 *1001:A *1089:A1 0 +8 *1091:S *1089:A1 6.92705e-05 +9 *1136:D *1089:A1 0 +10 *49:16 *1089:A1 0 +11 *178:7 *315:8 0.000160617 +12 *179:8 *315:8 2.99929e-05 +13 *192:14 *1089:A1 1.32772e-05 +14 *192:14 *315:8 5.04511e-06 +15 *233:46 *315:8 6.22868e-05 +16 *254:49 *315:8 0.000115772 +17 *309:154 *1089:A1 0 *RES -1 *1169:X *1107:D 38.1023 +1 *1192:X *315:8 19.9081 +2 *315:8 *1089:A1 26.279 +3 *315:8 *1193:A 13.7491 *END -*D_NET *303 0.00635334 +*D_NET *316 0.00044819 *CONN -*I *1102:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1052:Y O *D sky130_fd_sc_hd__clkinv_4 +*I *1090:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1193:X O *D sky130_fd_sc_hd__dlygate4sd1_1 *CAP -1 *1102:D 0.00173717 -2 *1052:Y 0.000822089 -3 *303:8 0.00255926 -4 *1107:D *1102:D 0.000375304 -5 *14:10 *303:8 0 -6 *15:10 *303:8 0 -7 *222:93 *303:8 9.20039e-05 -8 *296:526 *1102:D 0.000207376 -9 *296:528 *1102:D 0.00025527 -10 *296:533 *1102:D 0.000304866 +1 *1090:A1 0.000193361 +2 *1193:X 0.000193361 +3 *254:49 *1090:A1 3.91558e-05 +4 *254:59 *1090:A1 2.23124e-05 *RES -1 *1052:Y *303:8 38.3522 -2 *303:8 *1102:D 37.7268 +1 *1193:X *1090:A1 21.4401 *END -*D_NET *304 0.000443406 +*D_NET *317 0.0151038 *CONN -*I *1053:B I *D sky130_fd_sc_hd__nor2_1 -*I *1099:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *1088:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1195:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1093:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1201:A I *D sky130_fd_sc_hd__buf_2 +*I *1199:X O *D sky130_fd_sc_hd__clkbuf_2 *CAP -1 *1053:B 0.000221703 -2 *1099:Q 0.000221703 +1 *1088:A1 8.38616e-05 +2 *1195:A 0.00104567 +3 *1093:A1 9.34923e-06 +4 *1201:A 2.42531e-05 +5 *1199:X 0.000579031 +6 *317:36 0.00345213 +7 *317:27 0.00369541 +8 *317:15 0.00181772 +9 *317:15 *1123:D 3.40176e-05 +10 *317:15 *325:11 1.4091e-06 +11 *953:B1 *317:27 0 +12 *961:A *317:36 8.2744e-05 +13 *963:B1_N *1195:A 0.000206696 +14 *964:A *317:36 2.26985e-05 +15 *965:B1_N *317:36 6.01588e-05 +16 *966:B *317:36 0 +17 *982:A *1088:A1 0 +18 *984:A1 *1088:A1 6.38502e-06 +19 *996:A *317:27 2.03156e-05 +20 *996:B *317:27 0.000122978 +21 *998:A2 *317:27 7.75963e-05 +22 *1005:A *1088:A1 0 +23 *1085:A1 *317:27 0 +24 *1086:A0 *317:27 4.65545e-06 +25 *1088:A0 *1088:A1 1.56949e-05 +26 *1093:A0 *317:36 2.47663e-05 +27 *1147:D *1195:A 0.000164243 +28 *1147:RESET_B *1195:A 7.37441e-06 +29 *1199:A *317:15 0.000667288 +30 *19:40 *1093:A1 6.50727e-05 +31 *48:43 *317:27 1.84952e-05 +32 *174:11 *317:27 8.72115e-06 +33 *176:16 *317:36 0.000129495 +34 *179:16 *1195:A 0 +35 *179:39 *1195:A 0 +36 *199:11 *317:27 0.000141935 +37 *203:25 *317:27 0.000551659 +38 *203:25 *317:36 0.000359172 +39 *228:13 *1195:A 1.11749e-05 +40 *228:15 *1195:A 4.15559e-05 +41 *228:59 *1195:A 2.91008e-06 +42 *234:33 *317:27 0.000272349 +43 *234:33 *317:36 0.000189305 +44 *234:45 *1093:A1 6.50727e-05 +45 *235:15 *317:15 0.000148144 +46 *244:33 *317:36 8.92568e-06 +47 *245:8 *1195:A 2.97579e-05 +48 *245:8 *317:36 9.98029e-06 +49 *254:25 *317:27 4.20211e-05 +50 *255:46 *1201:A 6.27718e-05 +51 *255:46 *317:15 0.000147759 +52 *255:54 *317:15 0.000112361 +53 *255:69 *317:15 3.07997e-05 +54 *255:69 *317:27 0 +55 *305:89 *317:36 0.000186148 +56 *309:366 *317:15 0.000193965 +57 *310:68 *317:27 4.78409e-05 *RES -1 *1099:Q *1053:B 31.7161 +1 *1199:X *317:15 35.9956 +2 *317:15 *1201:A 9.97254 +3 *317:15 *317:27 26.0984 +4 *317:27 *317:36 13.5061 +5 *317:36 *1093:A1 14.4725 +6 *317:36 *1195:A 32.1817 +7 *317:27 *1088:A1 18.7423 *END -*D_NET *305 0.000240944 +*D_NET *318 0.00671005 *CONN -*I *1160:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *1100:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *980:A I *D sky130_fd_sc_hd__nand3_1 +*I *1194:X O *D sky130_fd_sc_hd__dlygate4sd1_1 *CAP -1 *1160:A 1.36672e-05 -2 *1100:Q 1.36672e-05 -3 *1099:D *1160:A 6.46783e-05 -4 *299:13 *1160:A 0.000148932 +1 *980:A 0.000242186 +2 *1194:X 0.00212282 +3 *318:10 0.002365 +4 *952:A *318:10 3.55422e-05 +5 *980:B *980:A 3.07159e-05 +6 *985:A *980:A 0.000130087 +7 *1024:A *318:10 0.000122393 +8 *1024:B *318:10 5.04829e-06 +9 *1025:B1 *980:A 0.000257021 +10 *1025:B1 *318:10 4.67453e-05 +11 *1025:B2 *318:10 8.03393e-06 +12 *1148:D *318:10 0.000198158 +13 *177:42 *318:10 4.59192e-05 +14 *305:130 *318:10 0.00110038 *RES -1 *1100:Q *1160:A 20.0536 +1 *1194:X *318:10 49.6196 +2 *318:10 *980:A 21.0117 *END -*D_NET *306 0.000838124 +*D_NET *319 0.000915517 *CONN -*I *1159:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 -*I *1101:Q O *D sky130_fd_sc_hd__dfstp_1 +*I *1127:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1180:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1159:A 0.000179687 -2 *1101:Q 0.000179687 -3 *1020:A *1159:A 0.00026179 -4 *1100:D *1159:A 7.26748e-05 -5 *1171:A *1159:A 0.000111359 -6 *7:15 *1159:A 2.82255e-05 -7 *243:66 *1159:A 1.59362e-06 -8 *296:793 *1159:A 3.10645e-06 +1 *1127:D 0.00041101 +2 *1180:X 0.00041101 +3 *1127:D *324:13 2.42273e-05 +4 *401:DIODE *1127:D 6.92705e-05 +5 *1127:CLK *1127:D 0 *RES -1 *1101:Q *1159:A 33.5487 +1 *1180:X *1127:D 37.6732 *END -*D_NET *307 0.00497814 +*D_NET *320 0.00207118 *CONN -*I *1090:S I *D sky130_fd_sc_hd__mux2_1 -*I *1103:D I *D sky130_fd_sc_hd__dfrtp_1 -*I *1102:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *1128:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1181:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1090:S 0.000312404 -2 *1103:D 8.93196e-05 -3 *1102:Q 0.00116201 -4 *307:11 0.00156373 -5 *891:B1 *307:11 4.43535e-05 -6 *900:A_N *307:11 1.31832e-05 -7 *904:C *307:11 0.000307107 -8 *1025:A *307:11 8.67638e-05 -9 *1049:B *307:11 0 -10 *1066:A0 *307:11 0 -11 *1072:A0 *307:11 0.000312266 -12 *1090:A0 *1090:S 4.93457e-05 -13 *1102:RESET_B *307:11 0.000112367 -14 *1103:RESET_B *1103:D 4.02039e-05 -15 *1172:A *1090:S 0.0001977 -16 *219:57 *307:11 1.59362e-06 -17 *267:30 *1103:D 0.000187395 -18 *267:30 *307:11 0.000158782 -19 *296:315 *307:11 1.82837e-05 -20 *296:324 *307:11 6.88153e-05 -21 *296:335 *307:11 2.05628e-05 -22 *296:344 *307:11 6.07504e-05 -23 *296:350 *307:11 4.76203e-05 -24 *296:359 *1103:D 7.48633e-05 -25 *296:765 *307:11 4.87198e-05 +1 *1128:D 0.000745426 +2 *1181:X 0.000745426 +3 *397:DIODE *1128:D 3.00829e-05 +4 *1027:A2_N *1128:D 0.000197019 +5 *1107:A0 *1128:D 5.33533e-05 +6 *1107:A1 *1128:D 0.000299874 *RES -1 *1102:Q *307:11 49.6341 -2 *307:11 *1103:D 13.8548 -3 *307:11 *1090:S 27.2626 +1 *1181:X *1128:D 39.4286 *END -*D_NET *308 0.00311991 +*D_NET *321 0.00365431 *CONN -*I *1071:S I *D sky130_fd_sc_hd__mux2_1 -*I *1072:S I *D sky130_fd_sc_hd__mux2_1 -*I *1103:Q O *D sky130_fd_sc_hd__dfrtp_1 +*I *1129:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1182:X O *D sky130_fd_sc_hd__clkbuf_1 *CAP -1 *1071:S 0.000332673 -2 *1072:S 0.000607235 -3 *1103:Q 0.000138278 -4 *308:7 0.00107819 -5 *899:A *1072:S 3.96696e-05 -6 *904:A *1072:S 4.79743e-05 -7 *1032:B1 *1072:S 0.000215127 -8 *1032:B2 *1072:S 5.64931e-05 -9 *1071:A1 *1071:S 6.36477e-05 -10 *1116:D *308:7 7.16754e-05 -11 *112:8 *1072:S 6.08467e-05 -12 *276:27 *1071:S 2.57847e-05 -13 *296:344 *1072:S 0 -14 *296:765 *308:7 0.000331221 -15 *296:771 *308:7 5.10995e-05 +1 *1129:D 0.00113563 +2 *1182:X 9.12304e-05 +3 *321:8 0.00122686 +4 *1129:D *1176:A 0.000202039 +5 *1115:D *1129:D 9.64434e-05 +6 *1116:D *1129:D 6.92705e-05 +7 *1129:RESET_B *1129:D 0.000117594 +8 *8:7 *321:8 6.53429e-05 +9 *146:66 *1129:D 3.82228e-05 +10 *284:11 *1129:D 0.000271959 +11 *309:893 *1129:D 0.000204993 +12 *309:895 *1129:D 0.000134732 *RES -1 *1103:Q *308:7 19.464 -2 *308:7 *1072:S 28.1767 -3 *308:7 *1071:S 21.2876 +1 *1182:X *321:8 20.9116 +2 *321:8 *1129:D 42.6942 +*END + +*D_NET *322 0.00218221 +*CONN +*I *1121:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1183:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1121:D 0.000549744 +2 *1183:X 0.000549744 +3 *349:DIODE *1121:D 0.000261135 +4 *994:B *1121:D 0.000110458 +5 *1124:D *1121:D 8.27643e-05 +6 *234:17 *1121:D 4.86446e-05 +7 *305:12 *1121:D 0.00011935 +8 *305:38 *1121:D 4.76794e-05 +9 *305:40 *1121:D 0.000296185 +10 *305:54 *1121:D 0.000116505 +*RES +1 *1183:X *1121:D 44.8886 +*END + +*D_NET *323 0.00312967 +*CONN +*I *1122:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1184:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1122:D 0.00135846 +2 *1184:X 0.00135846 +3 *400:DIODE *1122:D 1.43698e-05 +4 *1121:CLK *1122:D 4.77834e-05 +5 *1124:RESET_B *1122:D 1.83257e-05 +6 *233:8 *1122:D 3.83868e-05 +7 *235:15 *1122:D 4.78554e-05 +8 *255:69 *1122:D 3.00174e-06 +9 *305:54 *1122:D 6.84695e-05 +10 *305:58 *1122:D 0.000109875 +11 *305:62 *1122:D 6.46815e-05 +*RES +1 *1184:X *1122:D 48.7709 +*END + +*D_NET *324 0.00513024 +*CONN +*I *1123:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1185:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1123:D 0.000658119 +2 *1185:X 0.000919356 +3 *324:13 0.00157747 +4 *324:13 *325:11 0.00038607 +5 *350:DIODE *324:13 0.000101412 +6 *401:DIODE *324:13 3.14978e-05 +7 *1062:A *324:13 1.7387e-05 +8 *1127:D *324:13 2.42273e-05 +9 *16:10 *324:13 0 +10 *255:42 *1123:D 6.24176e-05 +11 *255:46 *1123:D 0.00037204 +12 *255:54 *1123:D 4.19058e-05 +13 *305:8 *324:13 3.1563e-05 +14 *309:400 *1123:D 4.19199e-05 +15 *309:400 *324:13 1.50924e-05 +16 *309:415 *324:13 0.000146858 +17 *309:436 *324:13 0.000246937 +18 *310:7 *1123:D 0.000359178 +19 *310:68 *1123:D 6.27718e-05 +20 *317:15 *1123:D 3.40176e-05 +*RES +1 *1185:X *324:13 42.1977 +2 *324:13 *1123:D 24.2234 +*END + +*D_NET *325 0.00464391 +*CONN +*I *1118:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1062:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1118:D 0.000220289 +2 *1062:Y 0.00158494 +3 *325:11 0.00180523 +4 *387:DIODE *1118:D 2.57847e-05 +5 *1065:A *325:11 4.87301e-05 +6 *1065:B *325:11 2.78496e-05 +7 *1118:CLK *1118:D 7.61353e-05 +8 *1162:D *325:11 2.84758e-05 +9 *1162:SET_B *325:11 0.00015643 +10 *1164:D *325:11 2.9178e-05 +11 *1199:A *325:11 0.000119938 +12 *234:17 *325:11 0 +13 *262:8 *325:11 3.79836e-05 +14 *305:8 *325:11 2.99929e-05 +15 *309:366 *1118:D 4.89392e-05 +16 *309:366 *325:11 1.65347e-05 +17 *317:15 *325:11 1.4091e-06 +18 *324:13 *325:11 0.00038607 +*RES +1 *1062:Y *325:11 47.1519 +2 *325:11 *1118:D 15.5186 +*END + +*D_NET *326 0.000456603 +*CONN +*I *1063:B I *D sky130_fd_sc_hd__nor2_1 +*I *1115:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1063:B 0.000228302 +2 *1115:Q 0.000228302 +*RES +1 *1115:Q *1063:B 31.7161 +*END + +*D_NET *327 0.000324168 +*CONN +*I *1176:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1116:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1176:A 2.29596e-05 +2 *1116:Q 2.29596e-05 +3 *1115:D *1176:A 7.621e-05 +4 *1129:D *1176:A 0.000202039 +*RES +1 *1116:Q *1176:A 20.6082 +*END + +*D_NET *328 0.00106762 +*CONN +*I *1175:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1117:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1175:A 0.000175837 +2 *1117:Q 0.000175837 +3 *1016:A *1175:A 0.00031138 +4 *1116:D *1175:A 7.26748e-05 +5 *1187:A *1175:A 0.00031138 +6 *7:15 *1175:A 1.74019e-05 +7 *309:789 *1175:A 3.10645e-06 +*RES +1 *1117:Q *1175:A 34.1033 +*END + +*D_NET *329 0.00496634 +*CONN +*I *1119:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1106:S I *D sky130_fd_sc_hd__mux2_1 +*I *1118:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1119:D 0.00045147 +2 *1106:S 8.86781e-05 +3 *1118:Q 0.000795322 +4 *329:8 0.00133547 +5 *901:A *1106:S 0.000205408 +6 *901:B *1119:D 0 +7 *902:A *329:8 0 +8 *902:B *329:8 7.01144e-05 +9 *908:B *1119:D 5.01524e-05 +10 *1019:B *329:8 4.04463e-05 +11 *1075:A0 *1106:S 0.000267394 +12 *1077:S *1119:D 0.000192902 +13 *1077:S *329:8 4.9e-05 +14 *1102:S *329:8 0.00021405 +15 *1111:CLK *329:8 0.000141716 +16 *1163:D *329:8 7.09685e-05 +17 *1168:A *1119:D 3.69148e-05 +18 *1188:A *1119:D 0 +19 *53:8 *329:8 0.0001261 +20 *55:14 *1106:S 0.00015901 +21 *142:8 *1119:D 8.62474e-06 +22 *142:8 *329:8 2.49682e-05 +23 *232:6 *329:8 0.000148017 +24 *232:8 *329:8 0.000350819 +25 *261:20 *1119:D 0 +26 *279:27 *1119:D 8.85179e-05 +27 *309:755 *1119:D 5.02713e-05 +*RES +1 *1118:Q *329:8 36.1029 +2 *329:8 *1106:S 18.9094 +3 *329:8 *1119:D 26.6886 +*END + +*D_NET *330 0.00235893 +*CONN +*I *1069:S I *D sky130_fd_sc_hd__mux2_1 +*I *1070:S I *D sky130_fd_sc_hd__mux2_1 +*I *1119:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1069:S 0.000173765 +2 *1070:S 0.000298521 +3 *1119:Q 7.36523e-05 +4 *330:7 0.000545939 +5 *908:B *1070:S 6.94804e-05 +6 *1069:A0 *1069:S 0.000322047 +7 *1069:A0 *1070:S 0.000536476 +8 *1129:CLK *1070:S 0 +9 *1186:A *1069:S 0.000185098 +10 *1188:A *1070:S 0 +11 *146:66 *1070:S 6.84074e-06 +12 *255:28 *1069:S 1.83321e-05 +13 *279:27 *330:7 0.000114584 +14 *288:21 *1069:S 1.41976e-05 +*RES +1 *1119:Q *330:7 15.0271 +2 *330:7 *1070:S 23.2273 +3 *330:7 *1069:S 20.819 *END diff --git a/spi/lvs/caravel_clocking.spice b/spi/lvs/caravel_clocking.spice index 16aa1ec9..e0c1122b 100644 --- a/spi/lvs/caravel_clocking.spice +++ b/spi/lvs/caravel_clocking.spice @@ -4,138 +4,106 @@ .subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view -.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view -.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_4 abstract view -.subckt sky130_fd_sc_hd__dfrtp_4 CLK D RESET_B VGND VNB VPB VPWR Q -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view -.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__xnor2_1 abstract view -.subckt sky130_fd_sc_hd__xnor2_1 A B VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_4 abstract view -.subckt sky130_fd_sc_hd__clkinv_4 A VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view -.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view -.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_1 abstract view -.subckt sky130_fd_sc_hd__dfxtp_1 CLK D VGND VNB VPB VPWR Q -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view -.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view -.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR +* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_1 abstract view +.subckt sky130_fd_sc_hd__mux2_1 A0 A1 S VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view .subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_1 abstract view -.subckt sky130_fd_sc_hd__nand2_1 A B VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_1 abstract view +.subckt sky130_fd_sc_hd__nor2_1 A B VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view -.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__inv_4 abstract view -.subckt sky130_fd_sc_hd__inv_4 A VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view -.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__nand3b_1 abstract view -.subckt sky130_fd_sc_hd__nand3b_1 A_N B C VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_2 abstract view -.subckt sky130_fd_sc_hd__clkinv_2 A VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__dfstp_4 abstract view -.subckt sky130_fd_sc_hd__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q +* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view +.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__nand3_1 abstract view .subckt sky130_fd_sc_hd__nand3_1 A B C VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view -.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view +.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__o21bai_1 abstract view -.subckt sky130_fd_sc_hd__o21bai_1 A1 A2 B1_N VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__a21o_1 abstract view +.subckt sky130_fd_sc_hd__a21o_1 A1 A2 B1 VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__xor2_1 abstract view -.subckt sky130_fd_sc_hd__xor2_1 A B VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view -.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_1 abstract view -.subckt sky130_fd_sc_hd__mux2_1 A0 A1 S VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_1 abstract view +.subckt sky130_fd_sc_hd__nand2_1 A B VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view .subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_1 abstract view -.subckt sky130_fd_sc_hd__nor2_1 A B VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view +.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_2 abstract view -.subckt sky130_fd_sc_hd__mux2_2 A0 A1 S VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view +.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view +.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__a21bo_1 abstract view +.subckt sky130_fd_sc_hd__a21bo_1 A1 A2 B1_N VGND VNB VPB VPWR X .ends * Black-box entry subcircuit for sky130_fd_sc_hd__o21a_1 abstract view .subckt sky130_fd_sc_hd__o21a_1 A1 A2 B1 VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view -.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view +.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_4 abstract view +.subckt sky130_fd_sc_hd__clkinv_4 A VGND VNB VPB VPWR Y +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view +.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view +.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view +.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_1 abstract view +.subckt sky130_fd_sc_hd__nor3_1 A B C VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_1 abstract view .subckt sky130_fd_sc_hd__o21ai_1 A1 A2 B1 VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__a22o_1 abstract view -.subckt sky130_fd_sc_hd__a22o_1 A1 A2 B1 B2 VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view +.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__xnor2_1 abstract view +.subckt sky130_fd_sc_hd__xnor2_1 A B VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_1 abstract view .subckt sky130_fd_sc_hd__dfrtp_1 CLK D RESET_B VGND VNB VPB VPWR Q .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__nor3b_2 abstract view +.subckt sky130_fd_sc_hd__nor3b_2 A B C_N VGND VNB VPB VPWR Y +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__and2_1 abstract view .subckt sky130_fd_sc_hd__and2_1 A B VGND VNB VPB VPWR X .ends @@ -144,54 +112,74 @@ .subckt sky130_fd_sc_hd__dfstp_1 CLK D SET_B VGND VNB VPB VPWR Q .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nor3b_4 abstract view -.subckt sky130_fd_sc_hd__nor3b_4 A B C_N VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view +.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_4 abstract view -.subckt sky130_fd_sc_hd__mux2_4 A0 A1 S VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__o211ai_4 abstract view -.subckt sky130_fd_sc_hd__o211ai_4 A1 A2 B1 C1 VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__or2b_1 abstract view -.subckt sky130_fd_sc_hd__or2b_1 A B_N VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view -.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view -.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtn_1 abstract view -.subckt sky130_fd_sc_hd__dfrtn_1 CLK_N D RESET_B VGND VNB VPB VPWR Q -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__nor3b_1 abstract view -.subckt sky130_fd_sc_hd__nor3b_1 A B C_N VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__a21bo_1 abstract view -.subckt sky130_fd_sc_hd__a21bo_1 A1 A2 B1_N VGND VNB VPB VPWR X +* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view +.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_2 abstract view .subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_1 abstract view -.subckt sky130_fd_sc_hd__nor3_1 A B C VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__inv_4 abstract view +.subckt sky130_fd_sc_hd__inv_4 A VGND VNB VPB VPWR Y +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__o211a_1 abstract view +.subckt sky130_fd_sc_hd__o211a_1 A1 A2 B1 C1 VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtn_1 abstract view +.subckt sky130_fd_sc_hd__dfrtn_1 CLK_N D RESET_B VGND VNB VPB VPWR Q +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__or2b_1 abstract view +.subckt sky130_fd_sc_hd__or2b_1 A B_N VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__o21bai_1 abstract view +.subckt sky130_fd_sc_hd__o21bai_1 A1 A2 B1_N VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__dfstp_2 abstract view .subckt sky130_fd_sc_hd__dfstp_2 CLK D SET_B VGND VNB VPB VPWR Q .ends +* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_2 abstract view +.subckt sky130_fd_sc_hd__mux2_2 A0 A1 S VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_4 abstract view +.subckt sky130_fd_sc_hd__dfrtp_4 CLK D RESET_B VGND VNB VPB VPWR Q +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__nand3b_1 abstract view +.subckt sky130_fd_sc_hd__nand3b_1 A_N B C VGND VNB VPB VPWR Y +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__xor2_1 abstract view +.subckt sky130_fd_sc_hd__xor2_1 A B VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dlymetal6s2s_1 abstract view +.subckt sky130_fd_sc_hd__dlymetal6s2s_1 A VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dfstp_4 abstract view +.subckt sky130_fd_sc_hd__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_1 abstract view +.subckt sky130_fd_sc_hd__dfxtp_1 CLK D VGND VNB VPB VPWR Q +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_2 abstract view +.subckt sky130_fd_sc_hd__clkinv_2 A VGND VNB VPB VPWR Y +.ends + * Black-box entry subcircuit for sky130_fd_sc_hd__buf_12 abstract view .subckt sky130_fd_sc_hd__buf_12 A VGND VNB VPB VPWR X .ends @@ -200,10 +188,6 @@ .subckt sky130_fd_sc_hd__o2bb2ai_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__a21o_1 abstract view -.subckt sky130_fd_sc_hd__a21o_1 A1 A2 B1 VGND VNB VPB VPWR X -.ends - * Black-box entry subcircuit for sky130_fd_sc_hd__conb_1 abstract view .subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO .ends @@ -212,40 +196,24 @@ .subckt sky130_fd_sc_hd__clkdlybuf4s25_1 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__o21bai_2 abstract view -.subckt sky130_fd_sc_hd__o21bai_2 A1 A2 B1_N VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__nor3b_1 abstract view +.subckt sky130_fd_sc_hd__nor3b_1 A B C_N VGND VNB VPB VPWR Y .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__nor3b_2 abstract view -.subckt sky130_fd_sc_hd__nor3b_2 A B C_N VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__and2b_2 abstract view -.subckt sky130_fd_sc_hd__and2b_2 A_N B VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__o211a_1 abstract view -.subckt sky130_fd_sc_hd__o211a_1 A1 A2 B1 C1 VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__o211ai_2 abstract view -.subckt sky130_fd_sc_hd__o211ai_2 A1 A2 B1 C1 VGND VNB VPB VPWR Y -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__and2b_1 abstract view -.subckt sky130_fd_sc_hd__and2b_1 A_N B VGND VNB VPB VPWR X -.ends - -* Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2ai_1 abstract view -.subckt sky130_fd_sc_hd__o2bb2ai_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__o211ai_4 abstract view +.subckt sky130_fd_sc_hd__o211ai_4 A1 A2 B1 C1 VGND VNB VPB VPWR Y .ends * Black-box entry subcircuit for sky130_fd_sc_hd__dlygate4sd1_1 abstract view .subckt sky130_fd_sc_hd__dlygate4sd1_1 A VGND VNB VPB VPWR X .ends -* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_2 abstract view -.subckt sky130_fd_sc_hd__o21ai_2 A1 A2 B1 VGND VNB VPB VPWR Y +* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_4 abstract view +.subckt sky130_fd_sc_hd__clkbuf_4 A VGND VNB VPB VPWR X +.ends + +* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view +.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X .ends .subckt caravel_clocking VGND VPWR core_clk ext_clk ext_clk_sel ext_reset pll_clk @@ -253,28 +221,22 @@ XTAP_199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__274__B1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_9_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_432_ _351_/Y _432_/D _343_/S VGND VGND VPWR VPWR _432_/Q sky130_fd_sc_hd__dfrtp_4 -X_294_ _450_/Q VGND VGND VPWR VPWR _296_/A sky130_fd_sc_hd__inv_2 -X_363_ _445_/Q _444_/Q VGND VGND VPWR VPWR _363_/Y sky130_fd_sc_hd__xnor2_1 -X_346_ _346_/A VGND VGND VPWR VPWR _410_/S sky130_fd_sc_hd__clkinv_4 -XFILLER_10_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_6_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_415_ _411_/A1 _415_/D VGND VGND VPWR VPWR _415_/Q sky130_fd_sc_hd__dfxtp_1 -X_277_ _282_/A VGND VGND VPWR VPWR _332_/B sky130_fd_sc_hd__clkbuf_2 -XFILLER_5_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -Xclkbuf_1_1_0_pll_clk clkbuf_0_pll_clk/X VGND VGND VPWR VPWR _411_/A1 sky130_fd_sc_hd__clkbuf_2 -XFILLER_9_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_9_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_9_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_329_ _329_/A _329_/B VGND VGND VPWR VPWR _442_/D sky130_fd_sc_hd__nand2_1 -XFILLER_0_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_0_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_18_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__443__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_6_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_258__3 _464_/CLK VGND VGND VPWR VPWR _457_/CLK sky130_fd_sc_hd__inv_4 +X_432_ _386_/Y _460_/Q _432_/S VGND VGND VPWR VPWR _432_/X sky130_fd_sc_hd__mux2_1 +XFILLER_3_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_363_ _363_/A _363_/B VGND VGND VPWR VPWR _363_/Y sky130_fd_sc_hd__nor2_1 +Xrebuffer7 _455_/Q VGND VGND VPWR VPWR _361_/C sky130_fd_sc_hd__clkbuf_2 +X_294_ _296_/A _476_/Q _329_/B VGND VGND VPWR VPWR _294_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_8_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_415_ _366_/Y _455_/Q _417_/S VGND VGND VPWR VPWR _415_/X sky130_fd_sc_hd__mux2_1 +X_277_ _434_/S _278_/B _278_/C VGND VGND VPWR VPWR _279_/A sky130_fd_sc_hd__a21o_1 +Xclkbuf_1_1_0_pll_clk clkbuf_0_pll_clk/X VGND VGND VPWR VPWR _435_/A1 sky130_fd_sc_hd__clkbuf_2 +XFILLER_9_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_329_ _335_/A _329_/B VGND VGND VPWR VPWR _338_/A sky130_fd_sc_hd__nand2_1 +Xsplit8 split8/A VGND VGND VPWR VPWR _440_/D sky130_fd_sc_hd__buf_2 +XFILLER_13_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_6_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_6_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_16_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XTAP_189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -284,28 +246,21 @@ XTAP_134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_13_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_431_ _430_/X _462_/Q _491_/Q VGND VGND VPWR VPWR _431_/X sky130_fd_sc_hd__mux2_1 XFILLER_13_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_293_ _448_/Q _326_/B _397_/X VGND VGND VPWR VPWR _293_/Y sky130_fd_sc_hd__nand3b_1 -X_362_ _444_/Q VGND VGND VPWR VPWR _362_/Y sky130_fd_sc_hd__clkinv_2 -X_431_ _351_/Y _431_/D _343_/S VGND VGND VPWR VPWR _431_/Q sky130_fd_sc_hd__dfstp_4 -XFILLER_12_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_12_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_293_ _424_/X _291_/Y _292_/Y VGND VGND VPWR VPWR _477_/D sky130_fd_sc_hd__a21bo_1 +X_362_ _361_/A _361_/C _456_/Q VGND VGND VPWR VPWR _363_/B sky130_fd_sc_hd__o21a_1 XFILLER_12_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_10_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_276_ _443_/Q VGND VGND VPWR VPWR _282_/A sky130_fd_sc_hd__inv_2 -X_345_ _345_/A VGND VGND VPWR VPWR _404_/S sky130_fd_sc_hd__clkinv_4 -X_414_ _411_/A1 _430_/Q VGND VGND VPWR VPWR _414_/Q sky130_fd_sc_hd__dfxtp_1 -X_259_ _263_/A _409_/X VGND VGND VPWR VPWR _261_/A sky130_fd_sc_hd__nand2_1 -X_328_ _332_/A _332_/B _442_/Q VGND VGND VPWR VPWR _329_/B sky130_fd_sc_hd__nand3_1 -XFILLER_0_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_0_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_2_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_276_ _479_/Q VGND VGND VPWR VPWR _278_/C sky130_fd_sc_hd__clkinv_4 +X_414_ _413_/X _454_/Q _467_/Q VGND VGND VPWR VPWR _414_/X sky130_fd_sc_hd__mux2_1 +X_259_ _259_/A _480_/Q VGND VGND VPWR VPWR _259_/Y sky130_fd_sc_hd__nand2_1 +XFILLER_0_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_328_ _324_/Y _327_/Y _291_/Y VGND VGND VPWR VPWR _467_/D sky130_fd_sc_hd__o21a_1 XFILLER_18_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__310__B1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_19_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -Xoutput10 _393_/X VGND VGND VPWR VPWR core_clk sky130_fd_sc_hd__clkbuf_1 -XFILLER_15_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XANTENNA__479__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +Xoutput10 _399_/X VGND VGND VPWR VPWR core_clk sky130_fd_sc_hd__clkbuf_1 +XFILLER_16_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XTAP_179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -314,47 +269,51 @@ XTAP_135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_292_ _443_/Q _290_/Y _291_/Y VGND VGND VPWR VPWR _292_/Y sky130_fd_sc_hd__o21bai_1 -X_430_ _351_/Y _430_/D _343_/S VGND VGND VPWR VPWR _430_/Q sky130_fd_sc_hd__dfrtp_4 -X_361_ _453_/Q _361_/B VGND VGND VPWR VPWR _361_/X sky130_fd_sc_hd__xor2_1 -XFILLER_3_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_344_ _344_/A VGND VGND VPWR VPWR _420_/D sky130_fd_sc_hd__buf_1 -X_413_ _455_/Q _413_/A1 _413_/S VGND VGND VPWR VPWR _413_/X sky130_fd_sc_hd__mux2_1 -X_275_ _345_/A _275_/B _275_/C VGND VGND VPWR VPWR _281_/A sky130_fd_sc_hd__nand3b_1 -XFILLER_2_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_9_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_327_ _335_/A _407_/X VGND VGND VPWR VPWR _329_/A sky130_fd_sc_hd__nand2_1 -XFILLER_18_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XANTENNA__386__A1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__439__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_6_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -Xoutput11 _375_/Y VGND VGND VPWR VPWR resetb_sync sky130_fd_sc_hd__buf_2 -XANTENNA__422__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__283__A2 _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_430_ _385_/X _462_/Q _430_/S VGND VGND VPWR VPWR _430_/X sky130_fd_sc_hd__mux2_1 +XFILLER_13_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_361_ _361_/A _456_/Q _361_/C VGND VGND VPWR VPWR _363_/A sky130_fd_sc_hd__nor3_1 +Xrebuffer9 _454_/Q VGND VGND VPWR VPWR _341_/A sky130_fd_sc_hd__clkbuf_1 +X_292_ _296_/A _477_/Q _335_/B VGND VGND VPWR VPWR _292_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_10_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_275_ _460_/Q VGND VGND VPWR VPWR _278_/B sky130_fd_sc_hd__clkinv_4 +X_413_ _337_/Y _454_/Q _417_/S VGND VGND VPWR VPWR _413_/X sky130_fd_sc_hd__mux2_1 +XFILLER_5_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_5_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_258_ _482_/Q _481_/Q VGND VGND VPWR VPWR _259_/A sky130_fd_sc_hd__nor2_1 +XFILLER_9_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_9_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_327_ _325_/Y _326_/X _284_/C VGND VGND VPWR VPWR _327_/Y sky130_fd_sc_hd__o21ai_1 +XFILLER_6_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +Xoutput11 _393_/Y VGND VGND VPWR VPWR resetb_sync sky130_fd_sc_hd__buf_2 XTAP_169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +XANTENNA__485__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XTAP_114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_9_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_9_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_291_ _299_/B VGND VGND VPWR VPWR _291_/Y sky130_fd_sc_hd__inv_2 -X_360_ _452_/Q _451_/Q VGND VGND VPWR VPWR _361_/B sky130_fd_sc_hd__nor2_1 -X_412_ _412_/A0 _426_/Q _425_/D VGND VGND VPWR VPWR _412_/X sky130_fd_sc_hd__mux2_1 -X_343_ hold1/A _343_/A1 _343_/S VGND VGND VPWR VPWR _344_/A sky130_fd_sc_hd__mux2_2 -X_274_ _432_/Q _431_/Q _430_/Q VGND VGND VPWR VPWR _275_/C sky130_fd_sc_hd__o21a_1 -XFILLER_4_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_0_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_2_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_257_ _257_/A _257_/B VGND VGND VPWR VPWR _458_/D sky130_fd_sc_hd__nand2_1 -X_326_ _332_/A _326_/B VGND VGND VPWR VPWR _335_/A sky130_fd_sc_hd__nand2_1 -XFILLER_18_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_291_ _291_/A _329_/B VGND VGND VPWR VPWR _291_/Y sky130_fd_sc_hd__nand2_2 +X_360_ _473_/Q _472_/Q VGND VGND VPWR VPWR _360_/Y sky130_fd_sc_hd__xnor2_1 +XFILLER_7_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_489_ _489_/CLK _489_/D _347_/S VGND VGND VPWR VPWR _489_/Q sky130_fd_sc_hd__dfrtp_1 +X_274_ _493_/Q _494_/Q _492_/Q VGND VGND VPWR VPWR _434_/S sky130_fd_sc_hd__nor3b_2 +X_343_ _463_/Q _343_/B VGND VGND VPWR VPWR _463_/D sky130_fd_sc_hd__xnor2_1 +X_412_ _365_/X _363_/B _467_/Q VGND VGND VPWR VPWR _412_/X sky130_fd_sc_hd__mux2_1 +XANTENNA__477__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_257_ _397_/S _257_/B _430_/S VGND VGND VPWR VPWR _262_/A sky130_fd_sc_hd__nand3_1 +XFILLER_9_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_326_ _440_/D _440_/Q VGND VGND VPWR VPWR _326_/X sky130_fd_sc_hd__and2_1 +XFILLER_18_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 XFILLER_11_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_342__7 _393_/X VGND VGND VPWR VPWR _421_/CLK sky130_fd_sc_hd__inv_4 -X_309_ _450_/Q _449_/Q VGND VGND VPWR VPWR _309_/Y sky130_fd_sc_hd__nor2_1 -Xoutput12 _394_/X VGND VGND VPWR VPWR user_clk sky130_fd_sc_hd__clkbuf_1 -XFILLER_15_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_309_ _466_/Q _465_/Q _464_/Q VGND VGND VPWR VPWR _417_/S sky130_fd_sc_hd__nor3b_2 +XFILLER_6_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_19_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XANTENNA__445__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +Xoutput12 _400_/X VGND VGND VPWR VPWR user_clk sky130_fd_sc_hd__clkbuf_1 +XFILLER_15_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -362,669 +321,680 @@ XTAP_126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA_input8_A sel[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_290_ _449_/Q _448_/Q VGND VGND VPWR VPWR _290_/Y sky130_fd_sc_hd__nor2_1 -XFILLER_12_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_12_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_12_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_13_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_488_ _489_/CLK _488_/D _347_/S VGND VGND VPWR VPWR _488_/Q sky130_fd_sc_hd__dfstp_1 +XANTENNA__422__A1 _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_290_ _290_/A _335_/B _290_/C VGND VGND VPWR VPWR _478_/D sky130_fd_sc_hd__nand3_1 +XFILLER_12_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_10_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 Xclkbuf_0_pll_clk pll_clk VGND VGND VPWR VPWR clkbuf_0_pll_clk/X sky130_fd_sc_hd__clkbuf_16 -XFILLER_8_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_273_ _454_/Q VGND VGND VPWR VPWR _275_/B sky130_fd_sc_hd__inv_2 -XANTENNA__404__A1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_411_ _439_/Q _411_/A1 _411_/S VGND VGND VPWR VPWR _411_/X sky130_fd_sc_hd__mux2_1 -XANTENNA__446__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_4_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_256_ _260_/A _260_/B _458_/Q VGND VGND VPWR VPWR _257_/B sky130_fd_sc_hd__nand3_1 -XFILLER_9_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_325_ _321_/Y _324_/Y _283_/Y VGND VGND VPWR VPWR _443_/D sky130_fd_sc_hd__o21a_1 -XFILLER_18_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__468__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_308_ _308_/A _440_/Q VGND VGND VPWR VPWR _308_/Y sky130_fd_sc_hd__nand2_1 -XFILLER_6_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_273_ _271_/Y _272_/A _272_/Y VGND VGND VPWR VPWR _480_/D sky130_fd_sc_hd__o21ai_1 +X_411_ _360_/Y _363_/Y _467_/Q VGND VGND VPWR VPWR _411_/X sky130_fd_sc_hd__mux2_1 +X_342_ _342_/A _342_/B _468_/Q VGND VGND VPWR VPWR _343_/B sky130_fd_sc_hd__nand3_1 +XFILLER_2_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_2_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_4_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_256_ _482_/Q _481_/Q _480_/Q VGND VGND VPWR VPWR _430_/S sky130_fd_sc_hd__nor3b_2 +XFILLER_0_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_325_ _440_/D _440_/Q VGND VGND VPWR VPWR _325_/Y sky130_fd_sc_hd__nor2_1 +XFILLER_18_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_239_ _243_/A _269_/B _489_/Q VGND VGND VPWR VPWR _239_/Y sky130_fd_sc_hd__nand3_1 +X_308_ _471_/Q VGND VGND VPWR VPWR _310_/B sky130_fd_sc_hd__inv_2 +XANTENNA__468__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_19_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +XFILLER_13_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 XFILLER_13_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_16_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_410_ _438_/Q _373_/Y _410_/S VGND VGND VPWR VPWR _410_/X sky130_fd_sc_hd__mux2_1 -X_272_ _272_/A _451_/Q VGND VGND VPWR VPWR _345_/A sky130_fd_sc_hd__nand2_1 -XFILLER_5_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_255_ _263_/A _396_/X VGND VGND VPWR VPWR _257_/A sky130_fd_sc_hd__nand2_1 -XFILLER_2_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_324_ _322_/Y _323_/X _275_/C VGND VGND VPWR VPWR _324_/Y sky130_fd_sc_hd__o21ai_1 -XANTENNA__428__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_238_ _233_/Y _234_/Y _237_/Y _462_/Q VGND VGND VPWR VPWR _462_/D sky130_fd_sc_hd__a22o_1 -X_307_ _442_/Q _441_/Q VGND VGND VPWR VPWR _308_/A sky130_fd_sc_hd__nor2_1 -XANTENNA__430__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_487_ _437_/A1 _487_/D _347_/S VGND VGND VPWR VPWR _487_/Q sky130_fd_sc_hd__dfrtp_2 +XFILLER_8_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +X_345__8 _399_/X VGND VGND VPWR VPWR _446_/CLK sky130_fd_sc_hd__inv_4 +X_272_ _272_/A _402_/X VGND VGND VPWR VPWR _272_/Y sky130_fd_sc_hd__nand2_1 +XFILLER_12_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_410_ _358_/Y _359_/Y _467_/Q VGND VGND VPWR VPWR _410_/X sky130_fd_sc_hd__mux2_1 +X_341_ _341_/A VGND VGND VPWR VPWR _342_/B sky130_fd_sc_hd__clkinv_4 +XANTENNA__486__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_255_ _483_/Q VGND VGND VPWR VPWR _257_/B sky130_fd_sc_hd__inv_2 +XFILLER_0_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_2_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_324_ _324_/A _324_/B _438_/Q VGND VGND VPWR VPWR _324_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_238_ _238_/A _269_/B _238_/C VGND VGND VPWR VPWR _490_/D sky130_fd_sc_hd__nand3_1 +X_307_ _456_/Q _455_/Q _454_/Q _306_/Y VGND VGND VPWR VPWR _398_/S sky130_fd_sc_hd__o211a_1 XFILLER_19_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_19_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_10_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_6_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_16_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_19_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_19_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_10_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_6_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_6_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_15_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XTAP_139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_7_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 XTAP_106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_271_ _453_/Q _452_/Q VGND VGND VPWR VPWR _272_/A sky130_fd_sc_hd__nor2_1 -X_469_ _413_/A1 _469_/D _343_/S VGND VGND VPWR VPWR _469_/Q sky130_fd_sc_hd__dfrtp_1 -X_254_ _260_/A _254_/B VGND VGND VPWR VPWR _263_/A sky130_fd_sc_hd__nand2_1 -XFILLER_2_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_323_ _432_/Q _416_/Q VGND VGND VPWR VPWR _323_/X sky130_fd_sc_hd__and2_1 -XANTENNA__434__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_237_ _467_/Q _235_/Y _236_/Y VGND VGND VPWR VPWR _237_/Y sky130_fd_sc_hd__o21bai_1 -X_306_ _306_/A _306_/B _406_/S VGND VGND VPWR VPWR _312_/A sky130_fd_sc_hd__nand3_1 -XANTENNA__470__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_486_ _489_/CLK _486_/D _347_/S VGND VGND VPWR VPWR _486_/Q sky130_fd_sc_hd__dfrtn_1 +XFILLER_12_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_271_ _480_/Q VGND VGND VPWR VPWR _271_/Y sky130_fd_sc_hd__inv_2 +X_340_ _470_/Q _469_/Q VGND VGND VPWR VPWR _342_/A sky130_fd_sc_hd__nor2_1 +XFILLER_4_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_469_ _478_/CLK _469_/D _347_/S VGND VGND VPWR VPWR _469_/Q sky130_fd_sc_hd__dfrtp_2 +X_254_ _462_/Q _461_/Q _460_/Q _253_/Y VGND VGND VPWR VPWR _397_/S sky130_fd_sc_hd__o211a_1 +X_323_ _439_/Q _439_/D VGND VGND VPWR VPWR _324_/B sky130_fd_sc_hd__or2b_1 +X_237_ _243_/A _353_/A _234_/B VGND VGND VPWR VPWR _238_/C sky130_fd_sc_hd__o21bai_1 +X_306_ _474_/Q _473_/Q VGND VGND VPWR VPWR _306_/Y sky130_fd_sc_hd__nor2_1 +XANTENNA__470__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_19_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_19_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_19_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XFILLER_19_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_3_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_16_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_15_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XTAP_129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_1 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XANTENNA__425__A1 _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_7_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_16_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_485_ _485_/CLK _485_/D _347_/S VGND VGND VPWR VPWR _485_/Q sky130_fd_sc_hd__dfstp_1 XANTENNA_input6_A sel2[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_270_ _270_/A _270_/B VGND VGND VPWR VPWR _455_/D sky130_fd_sc_hd__nand2_1 +X_270_ _270_/A _270_/B VGND VGND VPWR VPWR _481_/D sky130_fd_sc_hd__nand2_1 XFILLER_5_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_468_ _413_/A1 _468_/D _343_/S VGND VGND VPWR VPWR _468_/Q sky130_fd_sc_hd__dfstp_1 -XANTENNA__424__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_4_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_399_ _398_/X _432_/Q _443_/Q VGND VGND VPWR VPWR _399_/X sky130_fd_sc_hd__mux2_1 -XANTENNA__457__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_9_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_322_ _432_/Q _416_/Q VGND VGND VPWR VPWR _322_/Y sky130_fd_sc_hd__nor2_1 -X_253_ _253_/A _253_/B _260_/B VGND VGND VPWR VPWR _459_/D sky130_fd_sc_hd__nand3_1 -XFILLER_1_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_1_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_236_ _390_/X VGND VGND VPWR VPWR _236_/Y sky130_fd_sc_hd__inv_2 -X_305_ _442_/Q _441_/Q _440_/Q VGND VGND VPWR VPWR _406_/S sky130_fd_sc_hd__nor3b_4 -Xclkbuf_1_1_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _413_/A1 sky130_fd_sc_hd__clkbuf_2 -XFILLER_1_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XANTENNA__317__S _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_219_ _465_/Q _464_/Q VGND VGND VPWR VPWR _220_/A sky130_fd_sc_hd__nor2_1 +X_399_ _436_/X _355_/Y _449_/Q VGND VGND VPWR VPWR _399_/X sky130_fd_sc_hd__mux2_1 +X_468_ _478_/CLK _468_/D _347_/S VGND VGND VPWR VPWR _468_/Q sky130_fd_sc_hd__dfstp_2 +XFILLER_9_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_322_ _439_/D _439_/Q VGND VGND VPWR VPWR _324_/A sky130_fd_sc_hd__or2b_1 +X_253_ _486_/Q _485_/Q VGND VGND VPWR VPWR _253_/Y sky130_fd_sc_hd__nor2_1 +XFILLER_18_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_236_ _236_/A VGND VGND VPWR VPWR _243_/A sky130_fd_sc_hd__clkbuf_2 +Xclkbuf_1_1_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _437_/A1 sky130_fd_sc_hd__clkbuf_2 +X_219_ _219_/A VGND VGND VPWR VPWR _492_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_16_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XANTENNA_clkbuf_0_pll_clk_A pll_clk VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__449__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_15_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XTAP_119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XPHY_2 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XTAP_108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XFILLER_12_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_467_ _413_/A1 _467_/D _343_/S VGND VGND VPWR VPWR _467_/Q sky130_fd_sc_hd__dfrtp_4 -X_398_ _361_/X _432_/Q _404_/S VGND VGND VPWR VPWR _398_/X sky130_fd_sc_hd__mux2_1 -X_252_ _250_/Y _260_/A _248_/B VGND VGND VPWR VPWR _253_/B sky130_fd_sc_hd__o21bai_1 -X_321_ _321_/A _321_/B _414_/Q VGND VGND VPWR VPWR _321_/Y sky130_fd_sc_hd__nand3_1 -Xclkbuf_1_0_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _464_/CLK sky130_fd_sc_hd__clkbuf_2 -X_235_ _461_/Q _460_/Q VGND VGND VPWR VPWR _235_/Y sky130_fd_sc_hd__nor2_1 -X_304_ _447_/Q VGND VGND VPWR VPWR _306_/B sky130_fd_sc_hd__inv_2 -XFILLER_1_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_218_ _210_/Y _214_/Y _217_/Y VGND VGND VPWR VPWR _467_/D sky130_fd_sc_hd__o21a_1 -Xclkbuf_1_1_0_ext_clk clkbuf_0_ext_clk/X VGND VGND VPWR VPWR _412_/A0 sky130_fd_sc_hd__clkbuf_2 -XFILLER_16_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_12_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_484_ _489_/CLK _484_/D _347_/S VGND VGND VPWR VPWR _484_/Q sky130_fd_sc_hd__dfrtn_1 +X_398_ _291_/Y _467_/Q _398_/S VGND VGND VPWR VPWR _398_/X sky130_fd_sc_hd__mux2_2 +XANTENNA__464__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_467_ _435_/A1 _467_/D _347_/S VGND VGND VPWR VPWR _467_/Q sky130_fd_sc_hd__dfrtp_4 +XFILLER_13_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_321_ _321_/A VGND VGND VPWR VPWR _468_/D sky130_fd_sc_hd__clkbuf_1 +Xclkbuf_1_0_0_pll_clk90 clkbuf_0_pll_clk90/X VGND VGND VPWR VPWR _489_/CLK sky130_fd_sc_hd__clkbuf_2 +XANTENNA__463__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_235_ _263_/B VGND VGND VPWR VPWR _269_/B sky130_fd_sc_hd__clkbuf_2 +X_304_ _304_/A VGND VGND VPWR VPWR _472_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_10_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_10_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_19_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_218_ _409_/X _492_/Q _460_/Q VGND VGND VPWR VPWR _219_/A sky130_fd_sc_hd__mux2_1 +Xclkbuf_1_1_0_ext_clk clkbuf_0_ext_clk/X VGND VGND VPWR VPWR _436_/A0 sky130_fd_sc_hd__clkbuf_2 XTAP_109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_15_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XANTENNA__489__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XPHY_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_7_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -Xclkbuf_1_0_0_pll_clk clkbuf_0_pll_clk/X VGND VGND VPWR VPWR _453_/CLK sky130_fd_sc_hd__clkbuf_2 -XFILLER_8_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_466_ _413_/A1 _466_/D _343_/S VGND VGND VPWR VPWR _466_/Q sky130_fd_sc_hd__dfstp_1 -X_397_ _283_/Y _443_/Q _397_/S VGND VGND VPWR VPWR _397_/X sky130_fd_sc_hd__mux2_4 -XANTENNA__423__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__433__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_251_ _437_/Q _438_/Q _436_/Q _234_/Y VGND VGND VPWR VPWR _260_/A sky130_fd_sc_hd__o211ai_4 -X_320_ _415_/Q _431_/Q VGND VGND VPWR VPWR _321_/B sky130_fd_sc_hd__or2b_1 -X_449_ _449_/CLK _449_/D _343_/S VGND VGND VPWR VPWR _449_/Q sky130_fd_sc_hd__dfstp_1 -X_234_ _462_/Q _461_/Q VGND VGND VPWR VPWR _234_/Y sky130_fd_sc_hd__nor2_2 -X_303_ _303_/A _303_/B VGND VGND VPWR VPWR _397_/S sky130_fd_sc_hd__nor2_1 -XFILLER_6_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_217_ _224_/A _254_/B VGND VGND VPWR VPWR _217_/Y sky130_fd_sc_hd__nand2_2 -X_302__5 _411_/A1 VGND VGND VPWR VPWR _447_/CLK sky130_fd_sc_hd__inv_4 -XANTENNA__458__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_15_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_483_ _483_/CLK _483_/D _347_/S VGND VGND VPWR VPWR _483_/Q sky130_fd_sc_hd__dfstp_1 +XFILLER_16_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +Xclkbuf_1_0_0_pll_clk clkbuf_0_pll_clk/X VGND VGND VPWR VPWR _478_/CLK sky130_fd_sc_hd__clkbuf_2 +X_397_ _229_/Y _491_/Q _397_/S VGND VGND VPWR VPWR _397_/X sky130_fd_sc_hd__mux2_1 +X_466_ _435_/A1 _466_/D _347_/S VGND VGND VPWR VPWR _466_/Q sky130_fd_sc_hd__dfrtn_1 +X_251_ _251_/A VGND VGND VPWR VPWR _484_/D sky130_fd_sc_hd__clkbuf_1 +X_320_ _425_/X _468_/Q _320_/S VGND VGND VPWR VPWR _321_/A sky130_fd_sc_hd__mux2_1 +X_449_ _435_/A1 _449_/D _347_/S VGND VGND VPWR VPWR _449_/Q sky130_fd_sc_hd__dfrtp_1 +X_234_ _353_/A _234_/B _234_/C VGND VGND VPWR VPWR _238_/A sky130_fd_sc_hd__nand3b_1 +X_303_ _472_/Q _410_/X _398_/X VGND VGND VPWR VPWR _304_/A sky130_fd_sc_hd__mux2_1 +XFILLER_19_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_217_ _217_/A VGND VGND VPWR VPWR _493_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_18_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XPHY_4 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_16_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_8_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_396_ _395_/X _438_/Q _467_/Q VGND VGND VPWR VPWR _396_/X sky130_fd_sc_hd__mux2_1 -X_465_ _413_/A1 _465_/D _343_/S VGND VGND VPWR VPWR _465_/Q sky130_fd_sc_hd__dfrtp_1 -X_250_ _250_/A _456_/Q VGND VGND VPWR VPWR _250_/Y sky130_fd_sc_hd__nand2_1 +XFILLER_7_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_7_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_482_ _489_/CLK _482_/D _347_/S VGND VGND VPWR VPWR _482_/Q sky130_fd_sc_hd__dfrtn_1 +XFILLER_16_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_16_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__446__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_465_ _465_/CLK _465_/D _347_/S VGND VGND VPWR VPWR _465_/Q sky130_fd_sc_hd__dfstp_1 XANTENNA_input4_A sel2[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_379_ _368_/Y _436_/Q _391_/S VGND VGND VPWR VPWR _379_/X sky130_fd_sc_hd__mux2_1 -X_448_ _453_/CLK _448_/D _343_/S VGND VGND VPWR VPWR _448_/Q sky130_fd_sc_hd__dfrtn_1 -X_233_ _467_/Q _460_/Q _390_/X VGND VGND VPWR VPWR _233_/Y sky130_fd_sc_hd__nor3b_1 -XFILLER_19_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_250_ _484_/Q _427_/X _397_/X VGND VGND VPWR VPWR _251_/A sky130_fd_sc_hd__mux2_1 +X_305__5 _435_/A1 VGND VGND VPWR VPWR _471_/CLK sky130_fd_sc_hd__inv_4 +X_379_ _460_/Q _461_/Q _462_/Q VGND VGND VPWR VPWR _380_/B sky130_fd_sc_hd__o21a_1 +XANTENNA__492__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_448_ _435_/A1 _448_/D _347_/S VGND VGND VPWR VPWR _449_/D sky130_fd_sc_hd__dfrtp_1 +XANTENNA__347__S _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_233_ _490_/Q VGND VGND VPWR VPWR _234_/B sky130_fd_sc_hd__inv_2 +XFILLER_1_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_302_ _302_/A VGND VGND VPWR VPWR _473_/D sky130_fd_sc_hd__clkbuf_1 XFILLER_19_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_19_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XFILLER_19_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_18_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_216_ _467_/Q VGND VGND VPWR VPWR _254_/B sky130_fd_sc_hd__clkinv_4 +XFILLER_18_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_216_ _434_/X _493_/Q _460_/Q VGND VGND VPWR VPWR _217_/A sky130_fd_sc_hd__mux2_1 +XFILLER_15_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XANTENNA__427__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_16_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_8_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_464_ _464_/CLK _464_/D _343_/S VGND VGND VPWR VPWR _464_/Q sky130_fd_sc_hd__dfstp_1 -X_395_ _367_/X _438_/Q _408_/S VGND VGND VPWR VPWR _395_/X sky130_fd_sc_hd__mux2_1 +XFILLER_7_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_481_ _481_/CLK _481_/D _347_/S VGND VGND VPWR VPWR _481_/Q sky130_fd_sc_hd__dfstp_1 +XFILLER_12_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_464_ _435_/A1 _464_/D _347_/S VGND VGND VPWR VPWR _464_/Q sky130_fd_sc_hd__dfrtn_1 +X_395_ _494_/Q _395_/B VGND VGND VPWR VPWR _494_/D sky130_fd_sc_hd__xor2_1 XFILLER_4_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_4_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__452__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_13_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_13_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__442__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_447_ _447_/CLK _447_/D _343_/S VGND VGND VPWR VPWR _447_/Q sky130_fd_sc_hd__dfstp_1 -X_232_ _380_/X _217_/Y _231_/Y VGND VGND VPWR VPWR _463_/D sky130_fd_sc_hd__a21bo_1 -XFILLER_1_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_301_ _291_/Y _448_/Q _293_/Y VGND VGND VPWR VPWR _448_/D sky130_fd_sc_hd__a21bo_1 -XFILLER_10_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_215_ _437_/Q _438_/Q _436_/Q VGND VGND VPWR VPWR _224_/A sky130_fd_sc_hd__o21ai_1 +XANTENNA__452__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_447_ _447_/CLK _447_/D _347_/S VGND VGND VPWR VPWR hold2/A sky130_fd_sc_hd__dfstp_1 +X_378_ _460_/Q _462_/Q _461_/Q VGND VGND VPWR VPWR _380_/A sky130_fd_sc_hd__nor3_1 +X_232_ _232_/A _487_/Q VGND VGND VPWR VPWR _353_/A sky130_fd_sc_hd__nand2_1 +X_301_ _473_/Q _411_/X _398_/X VGND VGND VPWR VPWR _302_/A sky130_fd_sc_hd__mux2_1 +XFILLER_1_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_19_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_7_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__467__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_16_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_12_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_5_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_394_ _412_/X _354_/Y _425_/Q VGND VGND VPWR VPWR _394_/X sky130_fd_sc_hd__mux2_1 -X_463_ _464_/CLK _463_/D _343_/S VGND VGND VPWR VPWR _463_/Q sky130_fd_sc_hd__dfrtp_2 -XFILLER_4_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_13_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_13_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_377_ _470_/Q _377_/B VGND VGND VPWR VPWR _470_/D sky130_fd_sc_hd__xor2_1 -XFILLER_1_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_446_ _453_/CLK _446_/D _343_/S VGND VGND VPWR VPWR _446_/Q sky130_fd_sc_hd__dfrtp_1 -XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XANTENNA__467__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_480_ _489_/CLK _480_/D _347_/S VGND VGND VPWR VPWR _480_/Q sky130_fd_sc_hd__dfrtn_1 +XFILLER_8_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_5_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +X_394_ _493_/Q _460_/Q _492_/Q VGND VGND VPWR VPWR _395_/B sky130_fd_sc_hd__nor3_1 +XFILLER_4_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_463_ _435_/A1 _463_/D _347_/S VGND VGND VPWR VPWR _463_/Q sky130_fd_sc_hd__dfstp_1 +XANTENNA__482__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_446_ _446_/CLK hold2/X _347_/S VGND VGND VPWR VPWR hold3/A sky130_fd_sc_hd__dfstp_1 +X_377_ _485_/Q _484_/Q VGND VGND VPWR VPWR _377_/Y sky130_fd_sc_hd__xnor2_1 +XFILLER_1_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 Xclkbuf_0_ext_clk ext_clk VGND VGND VPWR VPWR clkbuf_0_ext_clk/X sky130_fd_sc_hd__clkbuf_16 -X_231_ _231_/A _254_/B _463_/Q VGND VGND VPWR VPWR _231_/Y sky130_fd_sc_hd__nand3_1 -X_300_ _296_/B _299_/X _292_/Y VGND VGND VPWR VPWR _449_/D sky130_fd_sc_hd__o21ai_1 -X_429_ _351_/Y _429_/D _343_/S VGND VGND VPWR VPWR _432_/D sky130_fd_sc_hd__dfrtp_1 +X_231_ _489_/Q _488_/Q VGND VGND VPWR VPWR _232_/A sky130_fd_sc_hd__nor2_1 +X_429_ _382_/X _380_/B _491_/Q VGND VGND VPWR VPWR _429_/X sky130_fd_sc_hd__mux2_1 XFILLER_19_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_19_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_10_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -Xinput1 ext_clk_sel VGND VGND VPWR VPWR _374_/A sky130_fd_sc_hd__clkbuf_1 +XFILLER_19_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +Xinput1 ext_clk_sel VGND VGND VPWR VPWR _392_/A sky130_fd_sc_hd__dlymetal6s2s_1 XTAP_90 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_19_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_214_ _211_/Y _212_/X _222_/C VGND VGND VPWR VPWR _214_/Y sky130_fd_sc_hd__o21ai_1 -XANTENNA__313__A _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XANTENNA__436__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_7_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_393_ _412_/X _351_/Y _425_/Q VGND VGND VPWR VPWR _393_/X sky130_fd_sc_hd__mux2_1 -X_462_ _464_/CLK _462_/D _343_/S VGND VGND VPWR VPWR _462_/Q sky130_fd_sc_hd__dfrtn_1 -XANTENNA__451__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_376_ _469_/Q _436_/Q _468_/Q VGND VGND VPWR VPWR _377_/B sky130_fd_sc_hd__nor3_1 -X_445_ _453_/CLK _445_/D _343_/S VGND VGND VPWR VPWR _445_/Q sky130_fd_sc_hd__dfrtp_1 +XFILLER_7_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XANTENNA__481__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_393_ _393_/A _445_/Q VGND VGND VPWR VPWR _393_/Y sky130_fd_sc_hd__nor2_1 +X_462_ _357_/Y _462_/D _347_/S VGND VGND VPWR VPWR _462_/Q sky130_fd_sc_hd__dfrtp_4 +XFILLER_4_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_4_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XANTENNA__451__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_445_ _445_/CLK hold3/X _347_/S VGND VGND VPWR VPWR _445_/Q sky130_fd_sc_hd__dfstp_1 +X_376_ _460_/Q _461_/Q VGND VGND VPWR VPWR _376_/Y sky130_fd_sc_hd__xnor2_1 XANTENNA_input2_A ext_reset VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_230_ _392_/X _217_/Y _229_/Y VGND VGND VPWR VPWR _464_/D sky130_fd_sc_hd__a21bo_1 -XFILLER_10_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XANTENNA__385__A1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_428_ _351_/Y _428_/D _343_/S VGND VGND VPWR VPWR _431_/D sky130_fd_sc_hd__dfstp_1 -X_359_ _452_/Q _451_/Q VGND VGND VPWR VPWR _359_/Y sky130_fd_sc_hd__xnor2_1 -Xinput2 ext_reset VGND VGND VPWR VPWR _375_/A sky130_fd_sc_hd__clkbuf_1 +X_230_ _222_/Y _226_/Y _229_/Y VGND VGND VPWR VPWR _491_/D sky130_fd_sc_hd__o21a_1 +X_428_ _377_/Y _380_/Y _491_/Q VGND VGND VPWR VPWR _428_/X sky130_fd_sc_hd__mux2_1 +XFILLER_1_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_359_ _361_/A _361_/C VGND VGND VPWR VPWR _359_/Y sky130_fd_sc_hd__xnor2_1 +Xinput2 ext_reset VGND VGND VPWR VPWR _393_/A sky130_fd_sc_hd__clkbuf_1 +X_267__3 _489_/CLK VGND VGND VPWR VPWR _481_/CLK sky130_fd_sc_hd__inv_4 XTAP_80 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_91 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_213_ _437_/Q _438_/Q _436_/Q VGND VGND VPWR VPWR _222_/C sky130_fd_sc_hd__o21a_1 XFILLER_18_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_2_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_16_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_15_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__458__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_16_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_7_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_16_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_16_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XANTENNA__441__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_7_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_392_ _391_/X _437_/Q _467_/Q VGND VGND VPWR VPWR _392_/X sky130_fd_sc_hd__mux2_1 -X_461_ _461_/CLK _461_/D _343_/S VGND VGND VPWR VPWR _461_/Q sky130_fd_sc_hd__dfstp_1 -XFILLER_4_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_13_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_1_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_375_ _375_/A _421_/Q VGND VGND VPWR VPWR _375_/Y sky130_fd_sc_hd__nor2_1 -XFILLER_0_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_444_ _453_/CLK _444_/D _343_/S VGND VGND VPWR VPWR _444_/Q sky130_fd_sc_hd__dfstp_2 -XFILLER_1_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_427_ _351_/Y _427_/D _343_/S VGND VGND VPWR VPWR _430_/D sky130_fd_sc_hd__dfrtp_1 -X_358_ _451_/Q VGND VGND VPWR VPWR _358_/Y sky130_fd_sc_hd__clkinv_2 -Xinput3 resetb VGND VGND VPWR VPWR _343_/S sky130_fd_sc_hd__buf_12 +XFILLER_16_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_16_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_461_ _357_/Y _461_/D _347_/S VGND VGND VPWR VPWR _461_/Q sky130_fd_sc_hd__dfstp_4 +XFILLER_4_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_4_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_392_ _392_/A VGND VGND VPWR VPWR _448_/D sky130_fd_sc_hd__clkinv_4 +XFILLER_13_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XANTENNA__491__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_444_ _478_/CLK _444_/D VGND VGND VPWR VPWR hold1/A sky130_fd_sc_hd__dfxtp_1 +X_375_ _484_/Q VGND VGND VPWR VPWR _375_/Y sky130_fd_sc_hd__clkinv_2 +X_427_ _375_/Y _376_/Y _491_/Q VGND VGND VPWR VPWR _427_/X sky130_fd_sc_hd__mux2_1 +X_358_ _472_/Q VGND VGND VPWR VPWR _358_/Y sky130_fd_sc_hd__clkinv_2 +Xinput3 resetb VGND VGND VPWR VPWR _347_/S sky130_fd_sc_hd__buf_12 XTAP_70 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_81 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_289_ _405_/X _283_/Y _288_/Y VGND VGND VPWR VPWR _451_/D sky130_fd_sc_hd__a21bo_1 +X_289_ _296_/A _352_/A _284_/B VGND VGND VPWR VPWR _290_/C sky130_fd_sc_hd__o21bai_1 XTAP_92 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_19_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_19_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XFILLER_19_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_212_ _419_/Q _438_/Q VGND VGND VPWR VPWR _212_/X sky130_fd_sc_hd__and2_1 -XFILLER_18_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__464__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_15_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XANTENNA__445__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_391_ _369_/Y _437_/Q _391_/S VGND VGND VPWR VPWR _391_/X sky130_fd_sc_hd__mux2_1 -X_460_ _464_/CLK _460_/D _343_/S VGND VGND VPWR VPWR _460_/Q sky130_fd_sc_hd__dfrtn_1 -XFILLER_4_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_4_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_13_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_13_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XANTENNA__460__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_1_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_0_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_374_ _374_/A VGND VGND VPWR VPWR _424_/D sky130_fd_sc_hd__clkinv_4 -X_443_ _411_/A1 _443_/D _343_/S VGND VGND VPWR VPWR _443_/Q sky130_fd_sc_hd__dfrtp_4 -XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_426_ _411_/A1 hold1/X _343_/S VGND VGND VPWR VPWR _426_/Q sky130_fd_sc_hd__dfrtp_1 -Xinput4 sel2[0] VGND VGND VPWR VPWR _433_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_16_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_16_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_12_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__421__A1 _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_460_ _357_/Y _460_/D _347_/S VGND VGND VPWR VPWR _460_/Q sky130_fd_sc_hd__dfrtp_4 +X_391_ _493_/Q _492_/Q VGND VGND VPWR VPWR _391_/Y sky130_fd_sc_hd__xnor2_1 +XFILLER_8_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_4_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_13_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XANTENNA__460__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_443_ _437_/A1 _462_/Q VGND VGND VPWR VPWR _443_/Q sky130_fd_sc_hd__dfxtp_1 +X_374_ _469_/Q _468_/Q VGND VGND VPWR VPWR _374_/Y sky130_fd_sc_hd__xnor2_1 +XFILLER_1_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_357_ _278_/B _437_/X _243_/A _356_/Y VGND VGND VPWR VPWR _357_/Y sky130_fd_sc_hd__o2bb2ai_2 +Xinput4 sel2[0] VGND VGND VPWR VPWR _457_/D sky130_fd_sc_hd__clkbuf_1 XTAP_60 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_357_ _442_/Q _357_/B VGND VGND VPWR VPWR _357_/X sky130_fd_sc_hd__xor2_1 +X_288_ _291_/A VGND VGND VPWR VPWR _296_/A sky130_fd_sc_hd__clkbuf_2 XTAP_71 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_82 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_288_ _288_/A _451_/Q _326_/B VGND VGND VPWR VPWR _288_/Y sky130_fd_sc_hd__nand3_1 XTAP_93 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_211_ _419_/Q _438_/Q VGND VGND VPWR VPWR _211_/Y sky130_fd_sc_hd__nor2_1 -XFILLER_10_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_409_ _408_/X _437_/Q _467_/Q VGND VGND VPWR VPWR _409_/X sky130_fd_sc_hd__mux2_1 -XFILLER_15_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_16_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_426_ _374_/Y _440_/D _426_/S VGND VGND VPWR VPWR _426_/X sky130_fd_sc_hd__mux2_1 +XFILLER_18_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_409_ _390_/Y _461_/Q _434_/S VGND VGND VPWR VPWR _409_/X sky130_fd_sc_hd__mux2_1 +XFILLER_15_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_15_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XFILLER_12_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_7_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_7_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_390_ _217_/Y _467_/Q _390_/S VGND VGND VPWR VPWR _390_/X sky130_fd_sc_hd__mux2_1 -XFILLER_4_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_11_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_7_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_390_ _492_/Q VGND VGND VPWR VPWR _390_/Y sky130_fd_sc_hd__clkinv_2 XTAP_200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_373_ _469_/Q _468_/Q VGND VGND VPWR VPWR _373_/Y sky130_fd_sc_hd__xnor2_1 -X_442_ _411_/A1 _442_/D _343_/S VGND VGND VPWR VPWR _442_/Q sky130_fd_sc_hd__dfrtn_1 -XANTENNA__447__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_425_ _411_/A1 _425_/D _343_/S VGND VGND VPWR VPWR _425_/Q sky130_fd_sc_hd__dfrtp_1 -Xinput5 sel2[1] VGND VGND VPWR VPWR _434_/D sky130_fd_sc_hd__clkbuf_1 -X_356_ _441_/Q _440_/Q VGND VGND VPWR VPWR _357_/B sky130_fd_sc_hd__nor2_1 -X_287_ _402_/X _283_/Y _286_/Y VGND VGND VPWR VPWR _452_/D sky130_fd_sc_hd__a21bo_1 +X_346__7 _399_/X VGND VGND VPWR VPWR _445_/CLK sky130_fd_sc_hd__inv_4 +X_442_ _489_/CLK _461_/Q VGND VGND VPWR VPWR _442_/Q sky130_fd_sc_hd__dfxtp_1 +XFILLER_1_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_373_ _468_/Q VGND VGND VPWR VPWR _373_/Y sky130_fd_sc_hd__clkinv_2 +XFILLER_0_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XANTENNA__447__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +Xinput5 sel2[1] VGND VGND VPWR VPWR _458_/D sky130_fd_sc_hd__clkbuf_1 +X_356_ _490_/Q _483_/Q VGND VGND VPWR VPWR _356_/Y sky130_fd_sc_hd__xnor2_1 +X_287_ _456_/Q _455_/Q _454_/Q VGND VGND VPWR VPWR _291_/A sky130_fd_sc_hd__o21ai_1 +X_425_ _373_/Y _439_/D _426_/S VGND VGND VPWR VPWR _425_/X sky130_fd_sc_hd__mux2_1 +XFILLER_19_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_10_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_61 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_50 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_72 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_83 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_94 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_18_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_18_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_210_ _210_/A _210_/B _417_/Q VGND VGND VPWR VPWR _210_/Y sky130_fd_sc_hd__nand3_1 -X_408_ _365_/Y _437_/Q _408_/S VGND VGND VPWR VPWR _408_/X sky130_fd_sc_hd__mux2_1 -X_339_ _439_/Q _339_/B VGND VGND VPWR VPWR _439_/D sky130_fd_sc_hd__xnor2_1 -XANTENNA__414__D _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_340__9 _393_/X VGND VGND VPWR VPWR _423_/CLK sky130_fd_sc_hd__inv_4 +XFILLER_18_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_408_ _407_/X _462_/Q _491_/Q VGND VGND VPWR VPWR _408_/X sky130_fd_sc_hd__mux2_1 +X_339_ _337_/Y _338_/A _338_/Y VGND VGND VPWR VPWR _464_/D sky130_fd_sc_hd__o21ai_1 +XFILLER_2_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_2_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_7_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XFILLER_12_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XANTENNA__454__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_14_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_8_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_8_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_7_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_8_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_13_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_372_ _468_/Q VGND VGND VPWR VPWR _372_/Y sky130_fd_sc_hd__clkinv_2 -X_441_ _441_/CLK _441_/D _343_/S VGND VGND VPWR VPWR _441_/Q sky130_fd_sc_hd__dfstp_2 -Xclkbuf_1_0_0_ext_clk clkbuf_0_ext_clk/X VGND VGND VPWR VPWR _343_/A1 sky130_fd_sc_hd__clkbuf_2 -X_424_ _411_/A1 _424_/D _343_/S VGND VGND VPWR VPWR _425_/D sky130_fd_sc_hd__dfrtp_1 -X_355_ _441_/Q _440_/Q VGND VGND VPWR VPWR _355_/Y sky130_fd_sc_hd__xnor2_1 -X_286_ _288_/A _452_/Q _326_/B VGND VGND VPWR VPWR _286_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_13_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_441_ _437_/A1 _460_/Q VGND VGND VPWR VPWR _441_/Q sky130_fd_sc_hd__dfxtp_1 +XFILLER_1_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_372_ _477_/Q _372_/B VGND VGND VPWR VPWR _372_/X sky130_fd_sc_hd__xor2_1 +Xclkbuf_1_0_0_ext_clk clkbuf_0_ext_clk/X VGND VGND VPWR VPWR _347_/A1 sky130_fd_sc_hd__clkbuf_2 +X_286_ _329_/B VGND VGND VPWR VPWR _335_/B sky130_fd_sc_hd__clkbuf_2 +X_424_ _423_/X _440_/D _467_/Q VGND VGND VPWR VPWR _424_/X sky130_fd_sc_hd__mux2_1 +X_355_ _342_/B _435_/X _296_/A _354_/Y VGND VGND VPWR VPWR _355_/Y sky130_fd_sc_hd__o2bb2ai_2 XFILLER_19_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_19_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -Xinput6 sel2[2] VGND VGND VPWR VPWR _435_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_19_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +Xinput6 sel2[2] VGND VGND VPWR VPWR _459_/D sky130_fd_sc_hd__clkbuf_1 +XTAP_62 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_51 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_40 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XTAP_62 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_73 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_84 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_95 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_269_ _346_/A _269_/B _269_/C VGND VGND VPWR VPWR _270_/B sky130_fd_sc_hd__nand3_1 -X_407_ _406_/X _432_/Q _443_/Q VGND VGND VPWR VPWR _407_/X sky130_fd_sc_hd__mux2_1 -X_338_ _430_/Q _364_/A _444_/Q VGND VGND VPWR VPWR _339_/B sky130_fd_sc_hd__nand3b_1 -XFILLER_15_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_11_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_269_ _269_/A _269_/B _481_/Q VGND VGND VPWR VPWR _270_/B sky130_fd_sc_hd__nand3_1 +X_407_ _389_/X _462_/Q _432_/S VGND VGND VPWR VPWR _407_/X sky130_fd_sc_hd__mux2_1 +X_338_ _338_/A _414_/X VGND VGND VPWR VPWR _338_/Y sky130_fd_sc_hd__nand2_1 +XFILLER_2_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_14_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__494__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__349__B _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_7_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XTAP_202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_371_ _465_/Q _371_/B VGND VGND VPWR VPWR _371_/X sky130_fd_sc_hd__xor2_1 -X_440_ _411_/A1 _440_/D _343_/S VGND VGND VPWR VPWR _440_/Q sky130_fd_sc_hd__dfrtn_1 -XFILLER_0_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_0_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_5_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_423_ _423_/CLK _423_/D _343_/S VGND VGND VPWR VPWR hold2/A sky130_fd_sc_hd__dfstp_1 -X_354_ _269_/B _413_/X _231_/A _353_/Y VGND VGND VPWR VPWR _354_/Y sky130_fd_sc_hd__o2bb2ai_2 -X_285_ _399_/X _283_/Y _284_/Y VGND VGND VPWR VPWR _453_/D sky130_fd_sc_hd__a21bo_1 +XFILLER_13_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_371_ _476_/Q _475_/Q VGND VGND VPWR VPWR _372_/B sky130_fd_sc_hd__nor2_1 +X_440_ _435_/A1 _440_/D VGND VGND VPWR VPWR _440_/Q sky130_fd_sc_hd__dfxtp_1 +XANTENNA__476__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_5_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_14_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_285_ _467_/Q VGND VGND VPWR VPWR _329_/B sky130_fd_sc_hd__clkinv_4 +X_423_ _372_/X split8/A _423_/S VGND VGND VPWR VPWR _423_/X sky130_fd_sc_hd__mux2_1 +X_354_ _478_/Q _471_/Q VGND VGND VPWR VPWR _354_/Y sky130_fd_sc_hd__xnor2_1 XTAP_52 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_41 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_63 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__448__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XTAP_74 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -Xinput7 sel[0] VGND VGND VPWR VPWR _427_/D sky130_fd_sc_hd__clkbuf_1 +Xinput7 sel[0] VGND VGND VPWR VPWR _451_/D sky130_fd_sc_hd__clkbuf_1 XTAP_85 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_96 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_19_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XANTENNA__448__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_4_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_268_ _346_/A _269_/B _269_/C VGND VGND VPWR VPWR _270_/A sky130_fd_sc_hd__a21o_1 -X_406_ _357_/X _432_/Q _406_/S VGND VGND VPWR VPWR _406_/X sky130_fd_sc_hd__mux2_1 -X_337_ _446_/Q _445_/Q VGND VGND VPWR VPWR _364_/A sky130_fd_sc_hd__nor2_1 -XFILLER_15_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +X_268_ _272_/A _404_/X VGND VGND VPWR VPWR _270_/A sky130_fd_sc_hd__nand2_1 +X_406_ _405_/X _461_/Q _491_/Q VGND VGND VPWR VPWR _406_/X sky130_fd_sc_hd__mux2_1 +X_337_ _464_/Q VGND VGND VPWR VPWR _337_/Y sky130_fd_sc_hd__inv_2 XANTENNA_clkbuf_0_pll_clk90_A pll_clk90 VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_11_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__463__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_8_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__315__S _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_16_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_4_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_3_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_13_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_1_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_370_ _464_/Q _463_/Q VGND VGND VPWR VPWR _371_/B sky130_fd_sc_hd__nor2_1 -X_422_ _422_/CLK hold2/X _343_/S VGND VGND VPWR VPWR hold3/A sky130_fd_sc_hd__dfstp_1 -X_353_ _466_/Q _459_/Q VGND VGND VPWR VPWR _353_/Y sky130_fd_sc_hd__xnor2_1 -X_284_ _288_/A _453_/Q _332_/B VGND VGND VPWR VPWR _284_/Y sky130_fd_sc_hd__nand3_1 +X_370_ _476_/Q _475_/Q VGND VGND VPWR VPWR _370_/Y sky130_fd_sc_hd__xnor2_1 +XFILLER_5_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_353_ _353_/A VGND VGND VPWR VPWR _432_/S sky130_fd_sc_hd__clkinv_4 +X_422_ _421_/X _439_/D _467_/Q VGND VGND VPWR VPWR _422_/X sky130_fd_sc_hd__mux2_1 +X_284_ _352_/A _284_/B _284_/C VGND VGND VPWR VPWR _290_/A sky130_fd_sc_hd__nand3b_1 XTAP_53 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_42 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_64 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_75 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_86 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_97 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -Xinput8 sel[1] VGND VGND VPWR VPWR _428_/D sky130_fd_sc_hd__clkbuf_1 -XFILLER_19_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_267_ _455_/Q VGND VGND VPWR VPWR _269_/C sky130_fd_sc_hd__inv_2 -X_336_ _334_/Y _335_/A _335_/Y VGND VGND VPWR VPWR _440_/D sky130_fd_sc_hd__o21ai_1 -X_405_ _404_/X _430_/Q _443_/Q VGND VGND VPWR VPWR _405_/X sky130_fd_sc_hd__mux2_1 +Xinput8 sel[1] VGND VGND VPWR VPWR _452_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_19_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +X_405_ _387_/Y _461_/Q _432_/S VGND VGND VPWR VPWR _405_/X sky130_fd_sc_hd__mux2_1 +X_336_ _336_/A _336_/B VGND VGND VPWR VPWR _465_/D sky130_fd_sc_hd__nand2_1 XFILLER_17_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_11_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_319_ _415_/D _415_/Q VGND VGND VPWR VPWR _321_/A sky130_fd_sc_hd__or2b_1 -XANTENNA__432__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_7_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XANTENNA__459__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_17_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_4_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_16_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__439__D _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_319_ _319_/A VGND VGND VPWR VPWR _469_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_11_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_300__4 _478_/CLK VGND VGND VPWR VPWR _473_/CLK sky130_fd_sc_hd__inv_4 XTAP_204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XANTENNA_input9_A sel[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_0_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_421_ _421_/CLK hold3/X _343_/S VGND VGND VPWR VPWR _421_/Q sky130_fd_sc_hd__dfstp_1 -XANTENNA__338__A_N _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_352_ _437_/Q _438_/Q VGND VGND VPWR VPWR _413_/S sky130_fd_sc_hd__nor2_1 -XFILLER_14_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -Xinput9 sel[2] VGND VGND VPWR VPWR _429_/D sky130_fd_sc_hd__clkbuf_1 +XFILLER_0_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_421_ _370_/Y _439_/D _423_/S VGND VGND VPWR VPWR _421_/X sky130_fd_sc_hd__mux2_1 +Xinput9 sel[2] VGND VGND VPWR VPWR _453_/D sky130_fd_sc_hd__clkbuf_1 XTAP_54 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_43 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_65 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_283_ _303_/A _326_/B VGND VGND VPWR VPWR _283_/Y sky130_fd_sc_hd__nand2_2 XTAP_76 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +X_352_ _352_/A VGND VGND VPWR VPWR _423_/S sky130_fd_sc_hd__clkinv_4 XTAP_87 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +X_283_ _440_/D _439_/D _454_/Q VGND VGND VPWR VPWR _284_/C sky130_fd_sc_hd__o21a_1 XTAP_98 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_266_ _436_/Q VGND VGND VPWR VPWR _269_/B sky130_fd_sc_hd__clkinv_4 -X_335_ _335_/A _386_/X VGND VGND VPWR VPWR _335_/Y sky130_fd_sc_hd__nand2_1 -X_404_ _358_/Y _430_/Q _404_/S VGND VGND VPWR VPWR _404_/X sky130_fd_sc_hd__mux2_1 -X_249_ _458_/Q _457_/Q VGND VGND VPWR VPWR _250_/A sky130_fd_sc_hd__nor2_1 -X_318_ _318_/A VGND VGND VPWR VPWR _444_/D sky130_fd_sc_hd__clkbuf_1 -XFILLER_7_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_8_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_17_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_17_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_4_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_378__13 VGND VGND VPWR VPWR _378__13/HI _423_/D sky130_fd_sc_hd__conb_1 +XANTENNA__457__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_266_ _266_/A _266_/B VGND VGND VPWR VPWR _482_/D sky130_fd_sc_hd__nand2_1 +X_404_ _403_/X _461_/Q _491_/Q VGND VGND VPWR VPWR _404_/X sky130_fd_sc_hd__mux2_1 +X_335_ _335_/A _335_/B _465_/Q VGND VGND VPWR VPWR _336_/B sky130_fd_sc_hd__nand3_1 +XFILLER_17_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_2_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_249_ _249_/A VGND VGND VPWR VPWR _485_/D sky130_fd_sc_hd__clkbuf_1 +X_318_ _426_/X _469_/Q _320_/S VGND VGND VPWR VPWR _319_/A sky130_fd_sc_hd__mux2_1 +XANTENNA__472__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_11_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_7_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_396__13 VGND VGND VPWR VPWR _396__13/HI _447_/D sky130_fd_sc_hd__conb_1 Xhold1 hold1/A VGND VGND VPWR VPWR hold1/X sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_5_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_420_ _453_/CLK _420_/D VGND VGND VPWR VPWR hold1/A sky130_fd_sc_hd__dfxtp_1 -XFILLER_14_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_13_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__465__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_3_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_0_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_0_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XFILLER_14_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_282_ _282_/A VGND VGND VPWR VPWR _326_/B sky130_fd_sc_hd__clkbuf_2 -X_351_ _288_/A _349_/Y _350_/X VGND VGND VPWR VPWR _351_/Y sky130_fd_sc_hd__o21bai_2 +X_420_ _419_/X _420_/A1 _467_/Q VGND VGND VPWR VPWR _420_/X sky130_fd_sc_hd__mux2_1 +X_282_ _478_/Q VGND VGND VPWR VPWR _284_/B sky130_fd_sc_hd__inv_2 XFILLER_5_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_351_ _470_/Q _469_/Q _468_/Q VGND VGND VPWR VPWR _426_/S sky130_fd_sc_hd__nor3b_1 XTAP_44 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_55 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_66 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_77 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_88 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_99 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__426__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_334_ _440_/Q VGND VGND VPWR VPWR _334_/Y sky130_fd_sc_hd__inv_2 -X_403_ _432_/Q _363_/Y _403_/S VGND VGND VPWR VPWR _403_/X sky130_fd_sc_hd__mux2_1 -X_265_ _469_/Q _470_/Q _468_/Q VGND VGND VPWR VPWR _346_/A sky130_fd_sc_hd__nor3b_2 -X_248_ _390_/S _248_/B _408_/S VGND VGND VPWR VPWR _253_/A sky130_fd_sc_hd__nand3_1 +XFILLER_18_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_403_ _383_/Y _461_/Q _430_/S VGND VGND VPWR VPWR _403_/X sky130_fd_sc_hd__mux2_1 +X_334_ _338_/A _416_/X VGND VGND VPWR VPWR _336_/A sky130_fd_sc_hd__nand2_1 +XANTENNA__323__B_N _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_265_ _269_/A _269_/B _482_/Q VGND VGND VPWR VPWR _266_/B sky130_fd_sc_hd__nand3_1 +XFILLER_2_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_11_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XFILLER_14_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_317_ _400_/X _444_/Q _430_/Q VGND VGND VPWR VPWR _318_/A sky130_fd_sc_hd__mux2_1 -XFILLER_7_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_7_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_6_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_17_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_248_ _485_/Q _428_/X _397_/X VGND VGND VPWR VPWR _249_/A sky130_fd_sc_hd__mux2_1 +XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_317_ _470_/Q _317_/B VGND VGND VPWR VPWR _470_/D sky130_fd_sc_hd__xor2_1 +XFILLER_11_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__488__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_17_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_4_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_4_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 Xhold2 hold2/A VGND VGND VPWR VPWR hold2/X sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_14_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_14_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_350_ _430_/Q _411_/X VGND VGND VPWR VPWR _350_/X sky130_fd_sc_hd__and2b_2 -X_281_ _281_/A _332_/B _281_/C VGND VGND VPWR VPWR _454_/D sky130_fd_sc_hd__nand3_1 +XANTENNA__471__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_0_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_5_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_350_ _462_/Q _461_/Q VGND VGND VPWR VPWR _437_/S sky130_fd_sc_hd__nor2_1 +XFILLER_14_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_281_ _281_/A _475_/Q VGND VGND VPWR VPWR _352_/A sky130_fd_sc_hd__nand2_1 +X_479_ _437_/A1 _479_/D _347_/S VGND VGND VPWR VPWR _479_/Q sky130_fd_sc_hd__dfstp_1 XTAP_45 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_56 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_67 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_78 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_89 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +XANTENNA__466__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_4_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_264_ _262_/Y _263_/A _263_/Y VGND VGND VPWR VPWR _456_/D sky130_fd_sc_hd__o21ai_1 -XFILLER_2_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_333_ _333_/A _333_/B VGND VGND VPWR VPWR _441_/D sky130_fd_sc_hd__nand2_1 -XFILLER_2_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_402_ _401_/X _415_/D _443_/Q VGND VGND VPWR VPWR _402_/X sky130_fd_sc_hd__mux2_1 -X_247_ _458_/Q _457_/Q _456_/Q VGND VGND VPWR VPWR _408_/S sky130_fd_sc_hd__nor3b_2 -X_316_ _316_/A VGND VGND VPWR VPWR _445_/D sky130_fd_sc_hd__clkbuf_1 -XFILLER_11_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__431__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_264_ _272_/A _431_/X VGND VGND VPWR VPWR _266_/A sky130_fd_sc_hd__nand2_1 +X_402_ _401_/X _460_/Q _491_/Q VGND VGND VPWR VPWR _402_/X sky130_fd_sc_hd__mux2_1 +XFILLER_14_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_11_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_11_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_11_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_316_ _454_/Q _469_/Q _468_/Q VGND VGND VPWR VPWR _317_/B sky130_fd_sc_hd__nor3_1 +XFILLER_9_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_11_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_7_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 Xhold3 hold3/A VGND VGND VPWR VPWR hold3/X sky130_fd_sc_hd__clkdlybuf4s25_1 -XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_5_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_0_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_0_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XFILLER_5_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_280_ _288_/A _345_/A _275_/B VGND VGND VPWR VPWR _281_/C sky130_fd_sc_hd__o21bai_1 +X_280_ _477_/Q _476_/Q VGND VGND VPWR VPWR _281_/A sky130_fd_sc_hd__nor2_1 XANTENNA_input7_A sel[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XTAP_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_57 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +XTAP_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_68 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_79 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__435__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_478_ _478_/CLK _478_/D _347_/S VGND VGND VPWR VPWR _478_/Q sky130_fd_sc_hd__dfstp_1 +X_263_ _269_/A _263_/B VGND VGND VPWR VPWR _272_/A sky130_fd_sc_hd__nand2_1 XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_263_ _263_/A _384_/X VGND VGND VPWR VPWR _263_/Y sky130_fd_sc_hd__nand2_1 -X_332_ _332_/A _332_/B _441_/Q VGND VGND VPWR VPWR _333_/B sky130_fd_sc_hd__nand3_1 -X_401_ _359_/Y _415_/D _404_/S VGND VGND VPWR VPWR _401_/X sky130_fd_sc_hd__mux2_1 -X_246_ _459_/Q VGND VGND VPWR VPWR _248_/B sky130_fd_sc_hd__clkinv_4 -X_315_ _403_/X _445_/Q _430_/Q VGND VGND VPWR VPWR _316_/A sky130_fd_sc_hd__mux2_1 -XANTENNA__454__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_229_ _231_/A _254_/B _464_/Q VGND VGND VPWR VPWR _229_/Y sky130_fd_sc_hd__nand3_1 -XANTENNA__450__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_8_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_17_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_3_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_401_ _271_/Y _460_/Q _430_/S VGND VGND VPWR VPWR _401_/X sky130_fd_sc_hd__mux2_1 +X_332_ _332_/A _332_/B VGND VGND VPWR VPWR _466_/D sky130_fd_sc_hd__nand2_1 +XFILLER_14_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_246_ _246_/A VGND VGND VPWR VPWR _486_/D sky130_fd_sc_hd__clkbuf_1 +X_315_ _315_/A _315_/B _335_/B VGND VGND VPWR VPWR _471_/D sky130_fd_sc_hd__nand3_1 +XFILLER_11_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__450__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_229_ _236_/A _263_/B VGND VGND VPWR VPWR _229_/Y sky130_fd_sc_hd__nand2_2 +XFILLER_8_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_17_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_3_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_12_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_0_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_0_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_494_ _437_/A1 _494_/D _347_/S VGND VGND VPWR VPWR _494_/Q sky130_fd_sc_hd__dfrtp_1 XTAP_47 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_58 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_69 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +X_477_ _478_/CLK _477_/D _347_/S VGND VGND VPWR VPWR _477_/Q sky130_fd_sc_hd__dfrtp_1 +X_262_ _262_/A _262_/B _269_/B VGND VGND VPWR VPWR _483_/D sky130_fd_sc_hd__nand3_1 +X_400_ _436_/X _357_/Y _449_/Q VGND VGND VPWR VPWR _400_/X sky130_fd_sc_hd__mux2_1 XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_262_ _456_/Q VGND VGND VPWR VPWR _262_/Y sky130_fd_sc_hd__inv_2 XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_331_ _335_/A _382_/X VGND VGND VPWR VPWR _333_/A sky130_fd_sc_hd__nand2_1 -X_400_ _415_/D _362_/Y _403_/S VGND VGND VPWR VPWR _400_/X sky130_fd_sc_hd__mux2_1 -XFILLER_11_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_14_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_14_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_14_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_245_ _437_/Q _438_/Q _436_/Q _234_/Y VGND VGND VPWR VPWR _390_/S sky130_fd_sc_hd__o211a_1 -X_314_ _446_/Q _314_/B VGND VGND VPWR VPWR _446_/D sky130_fd_sc_hd__xor2_1 -XFILLER_11_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_330__6 _411_/A1 VGND VGND VPWR VPWR _441_/CLK sky130_fd_sc_hd__inv_4 +X_331_ _335_/A _335_/B _466_/Q VGND VGND VPWR VPWR _332_/B sky130_fd_sc_hd__nand3_1 +XANTENNA__475__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_245_ _486_/Q _429_/X _397_/X VGND VGND VPWR VPWR _246_/A sky130_fd_sc_hd__mux2_1 +X_314_ _312_/Y _335_/A _310_/B VGND VGND VPWR VPWR _315_/B sky130_fd_sc_hd__o21bai_1 +XFILLER_11_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_228_ _389_/X _217_/Y _227_/Y VGND VGND VPWR VPWR _465_/D sky130_fd_sc_hd__a21bo_1 -XFILLER_8_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XANTENNA__429__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_0_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_0_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_14_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_7_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_8_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_228_ _491_/Q VGND VGND VPWR VPWR _263_/B sky130_fd_sc_hd__clkinv_4 +XFILLER_0_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_0_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_493_ _437_/A1 _493_/D _347_/S VGND VGND VPWR VPWR _493_/Q sky130_fd_sc_hd__dfrtp_1 +XANTENNA__322__A _439_/D VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XFILLER_14_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_59 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_48 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XANTENNA__437__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_261_ _261_/A _261_/B VGND VGND VPWR VPWR _457_/D sky130_fd_sc_hd__nand2_1 +X_476_ _478_/CLK _476_/D _347_/S VGND VGND VPWR VPWR _476_/Q sky130_fd_sc_hd__dfstp_1 +X_261_ _259_/Y _269_/A _257_/B VGND VGND VPWR VPWR _262_/B sky130_fd_sc_hd__o21bai_1 XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_330_ _338_/A _418_/X VGND VGND VPWR VPWR _332_/A sky130_fd_sc_hd__nand2_1 +X_333__6 _435_/A1 VGND VGND VPWR VPWR _465_/CLK sky130_fd_sc_hd__inv_4 XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_459_ _459_/CLK _459_/D _343_/S VGND VGND VPWR VPWR _459_/Q sky130_fd_sc_hd__dfstp_1 -XFILLER_17_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_2_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_313_ _430_/Q _445_/Q _444_/Q VGND VGND VPWR VPWR _314_/B sky130_fd_sc_hd__nor3_1 -X_227_ _231_/A _260_/B _465_/Q VGND VGND VPWR VPWR _227_/Y sky130_fd_sc_hd__nand3_1 -XFILLER_10_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_3_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XANTENNA__469__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_0_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_459_ _357_/Y _459_/D _347_/S VGND VGND VPWR VPWR _462_/D sky130_fd_sc_hd__dfrtp_1 +X_244_ _433_/X _229_/Y _243_/Y VGND VGND VPWR VPWR _487_/D sky130_fd_sc_hd__a21bo_1 +X_313_ _313_/A1 _313_/A2 _313_/B1 _306_/Y VGND VGND VPWR VPWR _335_/A sky130_fd_sc_hd__o211ai_4 +XANTENNA__483__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_227_ _462_/Q _461_/Q _460_/Q VGND VGND VPWR VPWR _236_/A sky130_fd_sc_hd__o21ai_1 +XFILLER_10_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_6_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_3_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XFILLER_3_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XANTENNA__469__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_492_ _437_/A1 _492_/D _347_/S VGND VGND VPWR VPWR _492_/Q sky130_fd_sc_hd__dfstp_1 XFILLER_5_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_14_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_14_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_14_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XTAP_49 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_260_ _260_/A _260_/B _457_/Q VGND VGND VPWR VPWR _261_/B sky130_fd_sc_hd__nand3_1 +X_475_ _435_/A1 _475_/D _347_/S VGND VGND VPWR VPWR _475_/Q sky130_fd_sc_hd__dfrtp_2 XPHY_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XANTENNA_input5_A sel2[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_260_ _462_/Q _461_/Q _460_/Q _253_/Y VGND VGND VPWR VPWR _269_/A sky130_fd_sc_hd__o211ai_4 XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_458_ _464_/CLK _458_/D _343_/S VGND VGND VPWR VPWR _458_/Q sky130_fd_sc_hd__dfrtn_1 -X_389_ _388_/X _438_/Q _467_/Q VGND VGND VPWR VPWR _389_/X sky130_fd_sc_hd__mux2_1 -XFILLER_2_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_11_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_458_ _357_/Y _458_/D _347_/S VGND VGND VPWR VPWR _461_/D sky130_fd_sc_hd__dfstp_1 +X_389_ _489_/Q _389_/B VGND VGND VPWR VPWR _389_/X sky130_fd_sc_hd__xor2_1 +XANTENNA__484__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XTAP_190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_11_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_243_ _236_/Y _460_/Q _233_/Y VGND VGND VPWR VPWR _460_/D sky130_fd_sc_hd__a21o_1 -X_312_ _312_/A _312_/B _332_/B VGND VGND VPWR VPWR _447_/D sky130_fd_sc_hd__nand3_1 -XFILLER_9_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_14_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_243_ _243_/A _263_/B _487_/Q VGND VGND VPWR VPWR _243_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_11_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_312_ _312_/A _464_/Q VGND VGND VPWR VPWR _312_/Y sky130_fd_sc_hd__nand2_1 +XFILLER_13_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_11_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 XFILLER_19_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -X_226_ _226_/A _260_/B _226_/C VGND VGND VPWR VPWR _466_/D sky130_fd_sc_hd__nand3_1 -XFILLER_8_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_209_ _437_/Q _418_/Q VGND VGND VPWR VPWR _210_/B sky130_fd_sc_hd__or2b_1 -XFILLER_0_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XANTENNA__438__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__466__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_226_ _223_/Y _224_/X _234_/C VGND VGND VPWR VPWR _226_/Y sky130_fd_sc_hd__o21ai_1 +XFILLER_6_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_491_ _437_/A1 _491_/D _347_/S VGND VGND VPWR VPWR _491_/Q sky130_fd_sc_hd__dfrtp_4 +XFILLER_10_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_474_ _478_/CLK _474_/D _347_/S VGND VGND VPWR VPWR _474_/Q sky130_fd_sc_hd__dfrtn_1 XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_6_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 XFILLER_17_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XANTENNA__453__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__278__B1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_457_ _457_/CLK _457_/D _343_/S VGND VGND VPWR VPWR _457_/Q sky130_fd_sc_hd__dfstp_1 -X_388_ _371_/X _438_/Q _391_/S VGND VGND VPWR VPWR _388_/X sky130_fd_sc_hd__mux2_1 +XFILLER_2_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__453__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_388_ _488_/Q _487_/Q VGND VGND VPWR VPWR _389_/B sky130_fd_sc_hd__nor2_1 +X_457_ _357_/Y _457_/D _347_/S VGND VGND VPWR VPWR _460_/D sky130_fd_sc_hd__dfrtp_1 XTAP_191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 +X_242_ _406_/X _229_/Y _241_/Y VGND VGND VPWR VPWR _488_/D sky130_fd_sc_hd__a21bo_1 XFILLER_11_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_242_ _240_/Y _241_/X _237_/Y VGND VGND VPWR VPWR _461_/D sky130_fd_sc_hd__o21ai_1 -X_311_ _308_/Y _332_/A _306_/B VGND VGND VPWR VPWR _312_/B sky130_fd_sc_hd__o21bai_1 -XFILLER_9_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_9_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_3_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_225_ _231_/A _347_/A _222_/B VGND VGND VPWR VPWR _226_/C sky130_fd_sc_hd__o21bai_1 -XFILLER_10_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_8_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -X_341__8 _393_/X VGND VGND VPWR VPWR _422_/CLK sky130_fd_sc_hd__inv_4 -XFILLER_3_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_3_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_208_ _418_/Q _437_/Q VGND VGND VPWR VPWR _210_/A sky130_fd_sc_hd__or2b_1 -XFILLER_0_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_5_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_14_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_311_ _466_/Q _465_/Q VGND VGND VPWR VPWR _312_/A sky130_fd_sc_hd__nor2_1 +XFILLER_13_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_9_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_11_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_225_ _462_/Q _461_/Q _460_/Q VGND VGND VPWR VPWR _234_/C sky130_fd_sc_hd__o21a_1 +XFILLER_8_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_17_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_490_ _437_/A1 _490_/D _347_/S VGND VGND VPWR VPWR _490_/Q sky130_fd_sc_hd__dfstp_1 +XFILLER_0_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_14_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_473_ _473_/CLK _473_/D _347_/S VGND VGND VPWR VPWR _473_/Q sky130_fd_sc_hd__dfstp_1 XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XANTENNA__493__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_456_ _464_/CLK _456_/D _343_/S VGND VGND VPWR VPWR _456_/Q sky130_fd_sc_hd__dfrtn_1 -X_387_ _437_/Q _372_/Y _410_/S VGND VGND VPWR VPWR _387_/X sky130_fd_sc_hd__mux2_1 +X_387_ _488_/Q _487_/Q VGND VGND VPWR VPWR _387_/Y sky130_fd_sc_hd__xnor2_1 +X_456_ _355_/Y _456_/D _347_/S VGND VGND VPWR VPWR _456_/Q sky130_fd_sc_hd__dfrtp_4 XTAP_192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_14_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_14_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_310_ _432_/Q _431_/Q _430_/Q _309_/Y VGND VGND VPWR VPWR _332_/A sky130_fd_sc_hd__o211ai_2 -X_241_ _460_/Q _390_/X VGND VGND VPWR VPWR _241_/X sky130_fd_sc_hd__and2b_1 -X_439_ _453_/CLK _439_/D _343_/S VGND VGND VPWR VPWR _439_/Q sky130_fd_sc_hd__dfstp_1 -X_224_ _224_/A VGND VGND VPWR VPWR _231_/A sky130_fd_sc_hd__clkbuf_2 -XANTENNA__405__A1 _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_6_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_8_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_3_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_207_ _207_/A VGND VGND VPWR VPWR _468_/D sky130_fd_sc_hd__clkbuf_1 -XANTENNA__449__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_14_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_6_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_310_ _310_/A _310_/B _417_/S VGND VGND VPWR VPWR _315_/A sky130_fd_sc_hd__nand3_1 +X_241_ _243_/A _263_/B _488_/Q VGND VGND VPWR VPWR _241_/Y sky130_fd_sc_hd__nand3_1 +X_439_ _435_/A1 _439_/D VGND VGND VPWR VPWR _439_/Q sky130_fd_sc_hd__dfxtp_1 +X_224_ _462_/Q _443_/Q VGND VGND VPWR VPWR _224_/X sky130_fd_sc_hd__and2_1 +XFILLER_10_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_17_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_3_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_0_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +XFILLER_0_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_472_ _478_/CLK _472_/D _347_/S VGND VGND VPWR VPWR _472_/Q sky130_fd_sc_hd__dfrtn_1 XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_298__4 _453_/CLK VGND VGND VPWR VPWR _449_/CLK sky130_fd_sc_hd__inv_4 +Xrebuffer10 _454_/Q VGND VGND VPWR VPWR _313_/B1 sky130_fd_sc_hd__clkbuf_1 XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_17_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_17_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_17_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XFILLER_17_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_455_ _413_/A1 _455_/D _343_/S VGND VGND VPWR VPWR _455_/Q sky130_fd_sc_hd__dfstp_1 -XANTENNA__462__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_2_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_386_ _385_/X _430_/Q _443_/Q VGND VGND VPWR VPWR _386_/X sky130_fd_sc_hd__mux2_1 +XANTENNA__462__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_386_ _487_/Q VGND VGND VPWR VPWR _386_/Y sky130_fd_sc_hd__clkinv_2 +X_455_ _355_/Y _455_/D _347_/S VGND VGND VPWR VPWR _455_/Q sky130_fd_sc_hd__dfstp_4 XTAP_193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA_input3_A resetb VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XTAP_160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_11_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_240_ _461_/Q VGND VGND VPWR VPWR _240_/Y sky130_fd_sc_hd__inv_2 -X_438_ _354_/Y _438_/D _343_/S VGND VGND VPWR VPWR _438_/Q sky130_fd_sc_hd__dfrtp_4 -X_369_ _464_/Q _463_/Q VGND VGND VPWR VPWR _369_/Y sky130_fd_sc_hd__xnor2_1 -X_223_ _254_/B VGND VGND VPWR VPWR _260_/B sky130_fd_sc_hd__clkbuf_2 -XFILLER_10_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_206_ _387_/X _468_/Q _436_/Q VGND VGND VPWR VPWR _207_/A sky130_fd_sc_hd__mux2_1 -XFILLER_2_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_0_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +XFILLER_11_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_344__9 _399_/X VGND VGND VPWR VPWR _447_/CLK sky130_fd_sc_hd__inv_4 +X_240_ _408_/X _229_/Y _239_/Y VGND VGND VPWR VPWR _489_/D sky130_fd_sc_hd__a21bo_1 +XFILLER_14_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_369_ _475_/Q VGND VGND VPWR VPWR _369_/Y sky130_fd_sc_hd__clkinv_2 +X_438_ _435_/A1 _454_/Q VGND VGND VPWR VPWR _438_/Q sky130_fd_sc_hd__dfxtp_1 +X_223_ _462_/Q _443_/Q VGND VGND VPWR VPWR _223_/Y sky130_fd_sc_hd__nor2_1 +XFILLER_10_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_12_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_10_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_3_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_2_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XFILLER_0_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XANTENNA__455__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__487__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +Xsplit15 _456_/Q VGND VGND VPWR VPWR split8/A sky130_fd_sc_hd__clkbuf_2 +XANTENNA__455__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_471_ _471_/CLK _471_/D _347_/S VGND VGND VPWR VPWR _471_/Q sky130_fd_sc_hd__dfstp_1 XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +Xrebuffer11 _454_/Q VGND VGND VPWR VPWR _361_/A sky130_fd_sc_hd__clkbuf_1 XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_385_ _334_/Y _430_/Q _406_/S VGND VGND VPWR VPWR _385_/X sky130_fd_sc_hd__mux2_1 -X_454_ _411_/A1 _454_/D _343_/S VGND VGND VPWR VPWR _454_/Q sky130_fd_sc_hd__dfstp_1 +X_385_ _482_/Q _385_/B VGND VGND VPWR VPWR _385_/X sky130_fd_sc_hd__xor2_1 +XFILLER_15_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_454_ _355_/Y _454_/D _347_/S VGND VGND VPWR VPWR _454_/Q sky130_fd_sc_hd__dfrtp_4 XTAP_150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_437_ _354_/Y _437_/D _343_/S VGND VGND VPWR VPWR _437_/Q sky130_fd_sc_hd__dfstp_4 -XFILLER_13_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -X_368_ _463_/Q VGND VGND VPWR VPWR _368_/Y sky130_fd_sc_hd__clkinv_2 -X_299_ _448_/Q _299_/B VGND VGND VPWR VPWR _299_/X sky130_fd_sc_hd__and2b_1 -XFILLER_3_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XFILLER_3_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_222_ _347_/A _222_/B _222_/C VGND VGND VPWR VPWR _226_/A sky130_fd_sc_hd__nand3b_1 -XFILLER_12_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_205_ _205_/A VGND VGND VPWR VPWR _469_/D sky130_fd_sc_hd__clkbuf_1 +X_437_ _479_/Q _437_/A1 _437_/S VGND VGND VPWR VPWR _437_/X sky130_fd_sc_hd__mux2_1 +X_368_ _466_/Q _368_/B VGND VGND VPWR VPWR _368_/X sky130_fd_sc_hd__xor2_1 +XFILLER_3_95 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +X_299_ _299_/A VGND VGND VPWR VPWR _474_/D sky130_fd_sc_hd__clkbuf_1 +X_222_ _222_/A _222_/B _441_/Q VGND VGND VPWR VPWR _222_/Y sky130_fd_sc_hd__nand3_1 +XFILLER_6_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 +XFILLER_6_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 Xclkbuf_0_pll_clk90 pll_clk90 VGND VGND VPWR VPWR clkbuf_0_pll_clk90/X sky130_fd_sc_hd__clkbuf_16 -XFILLER_9_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XANTENNA__456__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__461__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -X_470_ _413_/A1 _470_/D _343_/S VGND VGND VPWR VPWR _470_/Q sky130_fd_sc_hd__dfrtp_1 +XFILLER_9_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__478__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__456__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XANTENNA__461__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_470_ _478_/CLK _470_/D _347_/S VGND VGND VPWR VPWR _470_/Q sky130_fd_sc_hd__dfrtp_1 XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_6_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 +Xrebuffer12 _361_/A VGND VGND VPWR VPWR _320_/S sky130_fd_sc_hd__dlygate4sd1_1 +XFILLER_6_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_384_ _383_/X _436_/Q _467_/Q VGND VGND VPWR VPWR _384_/X sky130_fd_sc_hd__mux2_1 -X_453_ _453_/CLK _453_/D _343_/S VGND VGND VPWR VPWR _453_/Q sky130_fd_sc_hd__dfrtp_1 -XFILLER_16_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_384_ _481_/Q _480_/Q VGND VGND VPWR VPWR _385_/B sky130_fd_sc_hd__nor2_1 +X_453_ _355_/Y _453_/D _347_/S VGND VGND VPWR VPWR _456_/D sky130_fd_sc_hd__dfrtp_1 XTAP_195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XANTENNA_clkbuf_0_ext_clk_A ext_clk VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 XTAP_173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -XFILLER_14_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 XTAP_140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_367_ _458_/Q _367_/B VGND VGND VPWR VPWR _367_/X sky130_fd_sc_hd__xor2_1 -XFILLER_13_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_436_ _354_/Y _436_/D _343_/S VGND VGND VPWR VPWR _436_/Q sky130_fd_sc_hd__dfrtp_4 -XFILLER_9_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_3_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_221_ _466_/Q VGND VGND VPWR VPWR _222_/B sky130_fd_sc_hd__inv_2 -X_419_ _464_/CLK _438_/Q VGND VGND VPWR VPWR _419_/Q sky130_fd_sc_hd__dfxtp_1 -X_204_ _410_/X _469_/Q _436_/Q VGND VGND VPWR VPWR _205_/A sky130_fd_sc_hd__mux2_1 -XFILLER_3_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_9_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_0_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__421__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__425__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_14_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -Xsplit4 _431_/Q VGND VGND VPWR VPWR _415_/D sky130_fd_sc_hd__clkbuf_2 +XFILLER_7_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_436_ _436_/A0 _450_/Q _449_/D VGND VGND VPWR VPWR _436_/X sky130_fd_sc_hd__mux2_1 +X_367_ _465_/Q _464_/Q VGND VGND VPWR VPWR _368_/B sky130_fd_sc_hd__nor2_1 +X_298_ _474_/Q _412_/X _398_/X VGND VGND VPWR VPWR _299_/A sky130_fd_sc_hd__mux2_1 +X_221_ _442_/Q _461_/Q VGND VGND VPWR VPWR _222_/B sky130_fd_sc_hd__or2b_1 +XFILLER_6_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +X_419_ _369_/Y _419_/A1 _423_/S VGND VGND VPWR VPWR _419_/X sky130_fd_sc_hd__mux2_1 +XFILLER_9_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +Xsplit4 _455_/Q VGND VGND VPWR VPWR _439_/D sky130_fd_sc_hd__clkbuf_4 XPHY_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XPHY_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_6_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +Xrebuffer13 _454_/Q VGND VGND VPWR VPWR _419_/A1 sky130_fd_sc_hd__dlygate4sd1_1 XPHY_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 XFILLER_17_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_15_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_383_ _262_/Y _436_/Q _408_/S VGND VGND VPWR VPWR _383_/X sky130_fd_sc_hd__mux2_1 -X_452_ _453_/CLK _452_/D _343_/S VGND VGND VPWR VPWR _452_/Q sky130_fd_sc_hd__dfstp_1 -XFILLER_15_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__440__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_383_ _481_/Q _480_/Q VGND VGND VPWR VPWR _383_/Y sky130_fd_sc_hd__xnor2_1 +X_452_ _355_/Y _452_/D _347_/S VGND VGND VPWR VPWR _455_/D sky130_fd_sc_hd__dfstp_1 XTAP_196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -1032,28 +1002,28 @@ XTAP_163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_366_ _457_/Q _456_/Q VGND VGND VPWR VPWR _367_/B sky130_fd_sc_hd__nor2_1 -X_435_ _354_/Y _435_/D _343_/S VGND VGND VPWR VPWR _438_/D sky130_fd_sc_hd__dfrtp_1 -X_297_ _450_/Q _292_/Y _293_/Y _303_/B VGND VGND VPWR VPWR _450_/D sky130_fd_sc_hd__o2bb2ai_1 -XFILLER_3_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_220_ _220_/A _463_/Q VGND VGND VPWR VPWR _347_/A sky130_fd_sc_hd__nand2_1 -XFILLER_12_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_10_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 +X_366_ _465_/Q _464_/Q VGND VGND VPWR VPWR _366_/Y sky130_fd_sc_hd__xnor2_1 +X_297_ _420_/X _291_/Y _296_/Y VGND VGND VPWR VPWR _475_/D sky130_fd_sc_hd__a21bo_1 +X_435_ _463_/Q _435_/A1 _435_/S VGND VGND VPWR VPWR _435_/X sky130_fd_sc_hd__mux2_1 +XFILLER_3_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_12_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +X_220_ _461_/Q _442_/Q VGND VGND VPWR VPWR _222_/A sky130_fd_sc_hd__or2b_1 +XFILLER_10_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XANTENNA_input1_A ext_clk_sel VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_6_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_418_ _464_/CLK _437_/Q VGND VGND VPWR VPWR _418_/Q sky130_fd_sc_hd__dfxtp_1 -X_239__1 _464_/CLK VGND VGND VPWR VPWR _461_/CLK sky130_fd_sc_hd__inv_4 -X_349_ _454_/Q _447_/Q VGND VGND VPWR VPWR _349_/Y sky130_fd_sc_hd__xnor2_1 -XFILLER_5_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XANTENNA__444__SET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XFILLER_0_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_9_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4 -XANTENNA__465__RESET_B _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 -XANTENNA__343__S _343_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_6_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_349_ _440_/D _439_/D VGND VGND VPWR VPWR _435_/S sky130_fd_sc_hd__nor2_1 +X_418_ _417_/X split8/A _467_/Q VGND VGND VPWR VPWR _418_/X sky130_fd_sc_hd__mux2_1 +XFILLER_2_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_18_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XANTENNA__490__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_6_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_247__1 _489_/CLK VGND VGND VPWR VPWR _485_/CLK sky130_fd_sc_hd__inv_4 XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_382_ _381_/X _431_/Q _443_/Q VGND VGND VPWR VPWR _382_/X sky130_fd_sc_hd__mux2_1 -X_451_ _453_/CLK _451_/D _343_/S VGND VGND VPWR VPWR _451_/Q sky130_fd_sc_hd__dfrtp_2 +Xrebuffer14 _419_/A1 VGND VGND VPWR VPWR _420_/A1 sky130_fd_sc_hd__dlygate4sd1_1 +XANTENNA__480__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_382_ _486_/Q _382_/B VGND VGND VPWR VPWR _382_/X sky130_fd_sc_hd__xor2_1 +X_451_ _355_/Y _451_/D _347_/S VGND VGND VPWR VPWR _454_/D sky130_fd_sc_hd__dfrtp_1 XTAP_197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -1062,28 +1032,24 @@ XTAP_153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_365_ _457_/Q _456_/Q VGND VGND VPWR VPWR _365_/Y sky130_fd_sc_hd__xnor2_1 -X_434_ _354_/Y _434_/D _343_/S VGND VGND VPWR VPWR _437_/D sky130_fd_sc_hd__dfstp_1 -XFILLER_13_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_9_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_9_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -X_296_ _296_/A _296_/B VGND VGND VPWR VPWR _303_/B sky130_fd_sc_hd__nand2_1 -X_244__2 _464_/CLK VGND VGND VPWR VPWR _459_/CLK sky130_fd_sc_hd__inv_4 -Xrebuffer5 _397_/S VGND VGND VPWR VPWR _306_/A sky130_fd_sc_hd__dlygate4sd1_1 -XFILLER_6_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -X_417_ _413_/A1 _436_/Q VGND VGND VPWR VPWR _417_/Q sky130_fd_sc_hd__dfxtp_1 -X_279_ _303_/A VGND VGND VPWR VPWR _288_/A sky130_fd_sc_hd__clkbuf_2 -X_348_ _432_/Q _415_/D VGND VGND VPWR VPWR _411_/S sky130_fd_sc_hd__nor2_1 +X_434_ _391_/Y _462_/Q _434_/S VGND VGND VPWR VPWR _434_/X sky130_fd_sc_hd__mux2_1 +X_296_ _296_/A _475_/Q _329_/B VGND VGND VPWR VPWR _296_/Y sky130_fd_sc_hd__nand3_1 +X_365_ _474_/Q _365_/B VGND VGND VPWR VPWR _365_/X sky130_fd_sc_hd__xor2_1 +Xrebuffer5 split8/A VGND VGND VPWR VPWR _313_/A1 sky130_fd_sc_hd__clkbuf_1 +X_348_ _348_/A VGND VGND VPWR VPWR _444_/D sky130_fd_sc_hd__buf_1 +X_279_ _279_/A _279_/B VGND VGND VPWR VPWR _479_/D sky130_fd_sc_hd__nand2_1 +X_417_ _368_/X _456_/Q _417_/S VGND VGND VPWR VPWR _417_/X sky130_fd_sc_hd__mux2_1 XFILLER_0_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_2_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XFILLER_2_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 -XFILLER_9_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8 -XFILLER_0_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_6_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_9_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +XFILLER_0_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_252__2 _489_/CLK VGND VGND VPWR VPWR _483_/CLK sky130_fd_sc_hd__inv_4 +XFILLER_7_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 XFILLER_19_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_381_ _355_/Y _431_/Q _406_/S VGND VGND VPWR VPWR _381_/X sky130_fd_sc_hd__mux2_1 -X_450_ _453_/CLK _450_/D _343_/S VGND VGND VPWR VPWR _450_/Q sky130_fd_sc_hd__dfrtn_1 -XANTENNA__350__A_N _430_/Q VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_450_ _435_/A1 hold1/X _347_/S VGND VGND VPWR VPWR _450_/Q sky130_fd_sc_hd__dfrtp_1 +X_381_ _485_/Q _484_/Q VGND VGND VPWR VPWR _382_/B sky130_fd_sc_hd__nor2_1 XTAP_198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 @@ -1093,22 +1059,28 @@ XTAP_143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 -X_433_ _354_/Y _433_/D _343_/S VGND VGND VPWR VPWR _436_/D sky130_fd_sc_hd__dfrtp_1 -XFILLER_13_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -X_295_ _449_/Q VGND VGND VPWR VPWR _296_/B sky130_fd_sc_hd__clkinv_4 -Xrebuffer6 _397_/X VGND VGND VPWR VPWR _299_/B sky130_fd_sc_hd__buf_2 -X_364_ _364_/A _444_/Q VGND VGND VPWR VPWR _403_/S sky130_fd_sc_hd__nand2_1 -X_347_ _347_/A VGND VGND VPWR VPWR _391_/S sky130_fd_sc_hd__clkinv_4 -X_278_ _432_/Q _431_/Q _430_/Q VGND VGND VPWR VPWR _303_/A sky130_fd_sc_hd__o21ai_2 -X_416_ _411_/A1 _432_/Q VGND VGND VPWR VPWR _416_/Q sky130_fd_sc_hd__dfxtp_1 -XFILLER_5_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12 -XFILLER_2_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_19_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_6_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 -XFILLER_6_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -XFILLER_6_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 -XFILLER_15_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 -X_380_ _379_/X _436_/Q _467_/Q VGND VGND VPWR VPWR _380_/X sky130_fd_sc_hd__mux2_1 +X_433_ _432_/X _460_/Q _491_/Q VGND VGND VPWR VPWR _433_/X sky130_fd_sc_hd__mux2_1 +XFILLER_9_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +X_295_ _422_/X _291_/Y _294_/Y VGND VGND VPWR VPWR _476_/D sky130_fd_sc_hd__a21bo_1 +X_364_ _473_/Q _472_/Q VGND VGND VPWR VPWR _365_/B sky130_fd_sc_hd__nor2_1 +XFILLER_3_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_3_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +Xrebuffer6 _455_/Q VGND VGND VPWR VPWR _313_/A2 sky130_fd_sc_hd__dlygate4sd1_1 +X_347_ hold1/A _347_/A1 _347_/S VGND VGND VPWR VPWR _348_/A sky130_fd_sc_hd__mux2_2 +XANTENNA__459__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +X_278_ _434_/S _278_/B _278_/C VGND VGND VPWR VPWR _279_/B sky130_fd_sc_hd__nand3_1 +X_416_ _415_/X _455_/Q _467_/Q VGND VGND VPWR VPWR _416_/X sky130_fd_sc_hd__mux2_1 +XANTENNA__473__SET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_2_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_9_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_9_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 +XANTENNA__474__RESET_B _347_/S VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2 +XFILLER_19_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1 +XFILLER_6_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2 +Xrebuffer16 _398_/S VGND VGND VPWR VPWR _310_/A sky130_fd_sc_hd__dlygate4sd1_1 +XFILLER_6_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +XFILLER_15_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3 +X_380_ _380_/A _380_/B VGND VGND VPWR VPWR _380_/Y sky130_fd_sc_hd__nor2_1 XFILLER_16_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6 XTAP_166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 XTAP_155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1 diff --git a/verilog/gl/caravel_clocking.v b/verilog/gl/caravel_clocking.v index 11ff1d93..3e798c0b 100644 --- a/verilog/gl/caravel_clocking.v +++ b/verilog/gl/caravel_clocking.v @@ -73,6 +73,15 @@ module caravel_clocking (VGND, wire _045_; wire _046_; wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; wire _057_; wire _058_; wire _059_; @@ -95,15 +104,6 @@ module caravel_clocking (VGND, wire _076_; wire _077_; wire _078_; - wire _079_; - wire _080_; - wire _081_; - wire _082_; - wire _083_; - wire _084_; - wire _085_; - wire _086_; - wire _087_; wire _088_; wire _089_; wire _090_; @@ -219,6 +219,18 @@ module caravel_clocking (VGND, wire _200_; wire _201_; wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; wire clknet_0_ext_clk; wire clknet_0_pll_clk; wire clknet_0_pll_clk90; @@ -303,7 +315,17 @@ module caravel_clocking (VGND, wire net26; wire net27; wire net28; + wire net29; wire net3; + wire net30; + wire net31; + wire net32; + wire net33; + wire net34; + wire net35; + wire net36; + wire net37; + wire net38; wire net4; wire net5; wire net6; @@ -317,202 +339,57 @@ module caravel_clocking (VGND, wire use_pll_first; wire use_pll_second; - sky130_fd_sc_hd__diode_2 ANTENNA__274__B1 (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__283__A2 (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__278__B1 (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__322__A (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__310__B1 (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__323__B_N (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__313__A (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__347__S (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__315__S (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__349__B (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__317__S (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__421__A1 (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__338__A_N (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__422__A1 (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__343__S (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__425__A1 (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__350__A_N (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__439__D (.DIODE(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__385__A1 (.DIODE(\divider.even_0.N[0] ), + sky130_fd_sc_hd__diode_2 ANTENNA__445__SET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__386__A1 (.DIODE(\divider.even_0.N[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__404__A1 (.DIODE(\divider.even_0.N[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__405__A1 (.DIODE(\divider.even_0.N[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__414__D (.DIODE(\divider.even_0.N[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__421__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__422__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__423__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__424__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__425__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__426__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__427__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__428__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__429__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__430__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__431__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__432__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__433__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__434__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__435__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__436__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__437__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__438__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__439__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__440__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__441__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__442__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__443__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__444__SET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__445__RESET_B (.DIODE(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__446__RESET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__446__SET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -527,7 +404,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__449__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__449__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -552,7 +429,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__454__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__454__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -567,17 +444,17 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__457__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__457__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__458__RESET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__458__SET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__459__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__459__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -597,22 +474,22 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__463__RESET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__463__SET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__464__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__464__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__465__RESET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__465__SET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA__466__SET_B (.DIODE(net3), + sky130_fd_sc_hd__diode_2 ANTENNA__466__RESET_B (.DIODE(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -637,6 +514,126 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__471__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__472__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__473__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__474__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__475__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__476__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__477__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__478__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__479__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__480__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__481__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__482__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__483__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__484__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__485__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__486__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__487__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__488__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__489__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__490__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__491__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__492__SET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__493__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA__494__RESET_B (.DIODE(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_ext_clk_A (.DIODE(ext_clk), .VGND(VGND), .VNB(VGND), @@ -697,11 +694,11 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_103 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_105 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -709,43 +706,55 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_135 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_122 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_150 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_142 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_157 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_0_144 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_180 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_157 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_190 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_194 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_17 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_196 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_0_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_196 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -757,95 +766,103 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_61 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_69 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_0_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_10_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_98 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_10_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_10_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_10_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_10_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_10_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_10_144 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_10_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_10_152 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_10_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_10_161 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_10_170 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_10_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_10_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_10_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_10_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_10_32 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_11_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_10_92 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_11_117 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_11_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_11_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_11_151 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_11_174 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_11_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_11_155 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_11_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_11_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -857,23 +874,27 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_11_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_30 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_11_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_11_42 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_11_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_11_79 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_11_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_11_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_11_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_11_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -885,19 +906,23 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_12_134 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); sky130_fd_sc_hd__decap_4 FILLER_12_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_12_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_12_14 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_12_170 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_12_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_12_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_12_159 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -905,27 +930,27 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_12_22 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_12_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_12_25 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_12_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_12_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_12_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_12_40 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_12_59 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_12_60 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_12_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_12_66 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_12_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -937,59 +962,51 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_13_131 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_13_126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_13_143 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_13_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_13_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_13_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_13_183 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_13_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_13_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_13_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_13_201 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_13_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_13_31 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_13_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_13_39 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_13_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_13_43 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_13_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_13_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_13_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_13_68 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_13_61 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_13_76 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_13_79 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_13_89 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_13_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -997,35 +1014,23 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_14_115 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_14_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_118 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_14_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_14_142 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_14_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_144 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_148 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_14_166 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_18 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_14_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1033,15 +1038,11 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_22 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); sky130_fd_sc_hd__decap_8 FILLER_14_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_14_37 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_14_36 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1049,91 +1050,67 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_52 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_14_52 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_56 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_14_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_61 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_14_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_14_74 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_15_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_78 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_15_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_14_84 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_15_160 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_15_105 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_15_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_15_134 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_15_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_15_148 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_15_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_15_155 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_15_59 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_15_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_15_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_15_183 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_15_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_15_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_16_104 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_15_31 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_16_127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_15_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_16_142 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_15_79 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_15_83 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_15_88 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_16_11 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_16_114 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_16_139 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_16_170 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_16_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1141,35 +1118,43 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_16_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_16_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_16_35 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_16_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_16_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_16_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_16_53 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_16_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_16_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_16_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_16_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_16_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_16_90 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_16_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_16_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_16_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_16_88 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_16_9 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1181,11 +1166,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_17_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_17_135 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_17_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_17_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_17_181 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1197,39 +1186,31 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_17_40 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_17_47 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_17_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_17_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_17_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_17_75 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_17_60 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_17_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_17_72 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_18_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_18_11 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_18_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_18_142 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_18_144 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_18_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_18_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1237,19 +1218,19 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_18_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_18_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_18_35 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_18_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_18_56 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_18_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_18_64 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_18_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1257,23 +1238,43 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_18_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_18_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); sky130_fd_sc_hd__decap_3 FILLER_19_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_19_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); sky130_fd_sc_hd__fill_2 FILLER_19_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_19_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); sky130_fd_sc_hd__fill_1 FILLER_19_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_19_127 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_19_120 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_19_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_19_128 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_19_14 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1281,15 +1282,19 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_19_155 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_19_144 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_19_160 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_19_154 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_19_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_19_160 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_19_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1305,11 +1310,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_19_20 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_19_20 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_19_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_19_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_12 FILLER_19_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1317,10 +1326,6 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_19_37 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); sky130_fd_sc_hd__decap_4 FILLER_19_47 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -1333,95 +1338,79 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_19_66 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_19_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_19_86 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_19_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_1_114 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_19_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_1_122 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_1_127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_1_129 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_1_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_1_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_1_155 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_1_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_1_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_1_183 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_1_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_1_194 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_1_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_1_23 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_1_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_1_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_1_85 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_2_10 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_1_97 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_2_115 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_2_11 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_2_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_2_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_2_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_2_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_2_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_2_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_2_147 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_142 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_2_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_144 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_2_148 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_156 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_170 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_18 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_2_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1429,75 +1418,83 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_2_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_2_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_48 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_2_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_2_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_2_42 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_2_90 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_2_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_3_102 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_2_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_3_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_2_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_3_117 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_3_12 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_3_125 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_3_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_3_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_3_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_3_157 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_3_147 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_3_192 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_3_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_3_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_3_172 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_3_25 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_3_199 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_3_41 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_3_20 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_3_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_3_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_3_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_3_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_3_84 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_3_95 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_3_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_3_99 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_4_100 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_4_107 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1505,27 +1502,19 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_4_127 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_4_115 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_4_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_4_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_4_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_4_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_4_144 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_4_156 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_4_196 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_4_14 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1533,11 +1522,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_4_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_4_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_4_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_4_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_4_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1545,15 +1538,11 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_4_70 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_4_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_4_80 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_4_88 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_4_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1561,23 +1550,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_5_112 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_5_108 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_5_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_5_120 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_5_181 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_5_183 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_5_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_5_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1589,19 +1570,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_5_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_5_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_5_44 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_5_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_5_53 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_5_94 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_5_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -1609,259 +1586,227 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_6_107 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_6_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_6_115 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_6_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_12 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_6_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_6_127 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_6_14 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_6_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_168 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_170 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_6_17 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_6_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_6_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_6_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_6_28 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_32 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_6_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_38 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_6_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_6_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_56 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_6_52 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_64 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_60 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_6_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_6_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_6_78 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_6_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_6_84 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_6_80 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_7_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_6_88 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_7_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_7_114 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_7_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_7_120 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_7_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_7_124 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_7_155 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_7_131 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_7_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_7_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_7_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_7_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_7_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_7_183 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_7_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_7_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_7_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_7_46 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_7_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_7_74 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_7_67 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_7_88 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_7_75 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_8_103 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_7_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_8_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_7_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_8_115 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_7_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_8_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_8_12 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_8_168 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_8_127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_8_196 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_8_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_8_26 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_8_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_8_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_8_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_8_30 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_8_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_8_38 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_8_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_8_61 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_8_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_8_81 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_8_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_8_89 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_9_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_8_92 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_9_14 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_9_102 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_9_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_9_107 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_9_18 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_9_118 (.VGND(VGND), + sky130_fd_sc_hd__decap_12 FILLER_9_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_9_126 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_9_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_9_151 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_9_43 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_9_155 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_9_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_9_157 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_9_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_12 FILLER_9_183 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_9_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_9_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_9_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_9_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_9_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_9_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_9_87 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_9_33 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_9_37 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_9_40 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_9_44 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_9_53 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_9_61 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_9_66 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_9_74 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_9_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_9_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -2355,1374 +2300,1320 @@ module caravel_clocking (VGND, .VPWR(VPWR)); sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_99 (.VGND(VGND), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _204_ (.A0(_013_), + sky130_fd_sc_hd__mux2_1 _216_ (.A0(_016_), .A1(\divider2.even_0.counter[1] ), .S(\divider2.even_0.N[0] ), - .X(_090_), + .X(_121_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 _205_ (.A(_090_), - .X(_088_), + sky130_fd_sc_hd__clkbuf_1 _217_ (.A(_121_), + .X(_119_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _206_ (.A0(_012_), + sky130_fd_sc_hd__mux2_1 _218_ (.A0(_015_), .A1(\divider2.even_0.counter[0] ), .S(\divider2.even_0.N[0] ), - .X(_091_), + .X(_122_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 _207_ (.A(_091_), - .X(_087_), + sky130_fd_sc_hd__clkbuf_1 _219_ (.A(_122_), + .X(_118_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__or2b_1 _208_ (.A(\divider2.odd_0.old_N[1] ), - .B_N(\divider2.even_0.N[1] ), - .X(_092_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__or2b_1 _209_ (.A(\divider2.even_0.N[1] ), + sky130_fd_sc_hd__or2b_1 _220_ (.A(\divider2.even_0.N[1] ), .B_N(\divider2.odd_0.old_N[1] ), - .X(_093_), + .X(_123_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _210_ (.A(_092_), - .B(_093_), + sky130_fd_sc_hd__or2b_1 _221_ (.A(\divider2.odd_0.old_N[1] ), + .B_N(\divider2.even_0.N[1] ), + .X(_124_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _222_ (.A(_123_), + .B(_124_), .C(\divider2.odd_0.old_N[0] ), - .Y(_094_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _211_ (.A(\divider2.odd_0.old_N[2] ), - .B(\divider2.even_0.N[2] ), - .Y(_095_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__and2_1 _212_ (.A(\divider2.odd_0.old_N[2] ), - .B(\divider2.even_0.N[2] ), - .X(_096_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21a_1 _213_ (.A1(\divider2.even_0.N[1] ), - .A2(\divider2.even_0.N[2] ), - .B1(\divider2.even_0.N[0] ), - .X(_097_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _214_ (.A1(_095_), - .A2(_096_), - .B1(_097_), - .Y(_098_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _215_ (.A1(\divider2.even_0.N[1] ), - .A2(\divider2.even_0.N[2] ), - .B1(\divider2.even_0.N[0] ), - .Y(_099_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _216_ (.A(\divider2.odd_0.rst_pulse ), - .Y(_100_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_2 _217_ (.A(_099_), - .B(_100_), - .Y(_000_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21a_1 _218_ (.A1(_094_), - .A2(_098_), - .B1(_000_), - .X(_086_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _219_ (.A(\divider2.odd_0.counter[2] ), - .B(\divider2.odd_0.counter[1] ), - .Y(_101_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _220_ (.A(_101_), - .B(\divider2.odd_0.counter[0] ), - .Y(_102_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _221_ (.A(\divider2.odd_0.out_counter ), - .Y(_103_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3b_1 _222_ (.A_N(_102_), - .B(_103_), - .C(_097_), - .Y(_104_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 _223_ (.A(_100_), - .X(_105_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 _224_ (.A(_099_), - .X(_106_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _225_ (.A1(_106_), - .A2(_102_), - .B1_N(_103_), - .Y(_107_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _226_ (.A(_104_), - .B(_105_), - .C(_107_), - .Y(_085_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _227_ (.A(_106_), - .B(_105_), - .C(\divider2.odd_0.counter[2] ), - .Y(_108_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _228_ (.A1(_019_), - .A2(_000_), - .B1_N(_108_), - .X(_084_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _229_ (.A(_106_), - .B(_100_), - .C(\divider2.odd_0.counter[1] ), - .Y(_109_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _230_ (.A1(_018_), - .A2(_000_), - .B1_N(_109_), - .X(_083_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _231_ (.A(_106_), - .B(_100_), - .C(\divider2.odd_0.counter[0] ), - .Y(_110_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _232_ (.A1(_017_), - .A2(_000_), - .B1_N(_110_), - .X(_082_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor3b_1 _233_ (.A(\divider2.odd_0.rst_pulse ), - .B(\divider2.odd_0.initial_begin[0] ), - .C_N(_002_), - .Y(_111_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_2 _234_ (.A(\divider2.odd_0.initial_begin[2] ), - .B(\divider2.odd_0.initial_begin[1] ), - .Y(_112_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _235_ (.A(\divider2.odd_0.initial_begin[1] ), - .B(\divider2.odd_0.initial_begin[0] ), - .Y(_113_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _236_ (.A(_002_), - .Y(_114_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _237_ (.A1(\divider2.odd_0.rst_pulse ), - .A2(_113_), - .B1_N(_114_), - .Y(_115_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a22o_1 _238_ (.A1(_111_), - .A2(_112_), - .B1(_115_), - .B2(\divider2.odd_0.initial_begin[2] ), - .X(_081_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _239__1 (.A(clknet_1_0_0_pll_clk90), - .Y(net14), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _240_ (.A(\divider2.odd_0.initial_begin[1] ), - .Y(_116_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__and2b_1 _241_ (.A_N(\divider2.odd_0.initial_begin[0] ), - .B(_002_), - .X(_117_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _242_ (.A1(_116_), - .A2(_117_), - .B1(_115_), - .Y(_080_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a21o_1 _243_ (.A1(_114_), - .A2(\divider2.odd_0.initial_begin[0] ), - .B1(_111_), - .X(_079_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _244__2 (.A(clknet_1_0_0_pll_clk90), - .Y(net15), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o211a_1 _245_ (.A1(\divider2.even_0.N[1] ), - .A2(\divider2.even_0.N[2] ), - .B1(\divider2.even_0.N[0] ), - .C1(_112_), - .X(_027_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _246_ (.A(\divider2.odd_0.out_counter2 ), - .Y(_118_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor3b_2 _247_ (.A(\divider2.odd_0.counter2[2] ), - .B(\divider2.odd_0.counter2[1] ), - .C_N(\divider2.odd_0.counter2[0] ), - .Y(_028_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _248_ (.A(_027_), - .B(_118_), - .C(_028_), - .Y(_119_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _249_ (.A(\divider2.odd_0.counter2[2] ), - .B(\divider2.odd_0.counter2[1] ), - .Y(_120_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _250_ (.A(_120_), - .B(\divider2.odd_0.counter2[0] ), - .Y(_121_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o211ai_4 _251_ (.A1(\divider2.even_0.N[1] ), - .A2(\divider2.even_0.N[2] ), - .B1(\divider2.even_0.N[0] ), - .C1(_112_), - .Y(_122_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _252_ (.A1(_121_), - .A2(_122_), - .B1_N(_118_), - .Y(_123_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _253_ (.A(_119_), - .B(_123_), - .C(_105_), - .Y(_078_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _254_ (.A(_122_), - .B(_100_), - .Y(_124_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _255_ (.A(_124_), - .B(_016_), .Y(_125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _256_ (.A(_122_), - .B(_105_), - .C(\divider2.odd_0.counter2[2] ), + sky130_fd_sc_hd__nor2_1 _223_ (.A(\divider2.even_0.N[2] ), + .B(\divider2.odd_0.old_N[2] ), .Y(_126_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _257_ (.A(_125_), - .B(_126_), - .Y(_077_), + sky130_fd_sc_hd__and2_1 _224_ (.A(\divider2.even_0.N[2] ), + .B(\divider2.odd_0.old_N[2] ), + .X(_127_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _258__3 (.A(clknet_1_0_0_pll_clk90), - .Y(net16), + sky130_fd_sc_hd__o21a_1 _225_ (.A1(\divider2.even_0.N[2] ), + .A2(\divider2.even_0.N[1] ), + .B1(\divider2.even_0.N[0] ), + .X(_128_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _259_ (.A(_124_), - .B(_015_), - .Y(_127_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _260_ (.A(_122_), - .B(_105_), - .C(\divider2.odd_0.counter2[1] ), - .Y(_128_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _261_ (.A(_127_), - .B(_128_), - .Y(_076_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _262_ (.A(\divider2.odd_0.counter2[0] ), - .Y(_040_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _263_ (.A(_124_), - .B(_014_), + sky130_fd_sc_hd__o21ai_1 _226_ (.A1(_126_), + .A2(_127_), + .B1(_128_), .Y(_129_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _264_ (.A1(_040_), - .A2(_124_), - .B1(_129_), - .Y(_075_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor3b_2 _265_ (.A(\divider2.even_0.counter[1] ), - .B(\divider2.even_0.counter[2] ), - .C_N(\divider2.even_0.counter[0] ), + sky130_fd_sc_hd__o21ai_1 _227_ (.A1(\divider2.even_0.N[2] ), + .A2(\divider2.even_0.N[1] ), + .B1(\divider2.even_0.N[0] ), .Y(_130_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _266_ (.A(\divider2.even_0.N[0] ), + sky130_fd_sc_hd__clkinv_4 _228_ (.A(\divider2.odd_0.rst_pulse ), .Y(_131_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _267_ (.A(\divider2.even_0.out_counter ), + sky130_fd_sc_hd__nand2_2 _229_ (.A(_130_), + .B(_131_), + .Y(_000_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21a_1 _230_ (.A1(_125_), + .A2(_129_), + .B1(_000_), + .X(_117_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _231_ (.A(\divider2.odd_0.counter[2] ), + .B(\divider2.odd_0.counter[1] ), .Y(_132_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__a21o_1 _268_ (.A1(_130_), - .A2(_131_), - .B1(_132_), - .X(_133_), + sky130_fd_sc_hd__nand2_1 _232_ (.A(_132_), + .B(\divider2.odd_0.counter[0] ), + .Y(_133_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _269_ (.A(_130_), - .B(_131_), - .C(_132_), + sky130_fd_sc_hd__inv_2 _233_ (.A(\divider2.odd_0.out_counter ), .Y(_134_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _270_ (.A(_133_), + sky130_fd_sc_hd__nand3b_1 _234_ (.A_N(_133_), .B(_134_), - .Y(_074_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _271_ (.A(\divider.odd_0.counter[2] ), - .B(\divider.odd_0.counter[1] ), + .C(_128_), .Y(_135_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _272_ (.A(_135_), - .B(\divider.odd_0.counter[0] ), - .Y(_136_), + sky130_fd_sc_hd__clkbuf_2 _235_ (.A(_131_), + .X(_136_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _273_ (.A(\divider.odd_0.out_counter ), - .Y(_137_), + sky130_fd_sc_hd__clkbuf_2 _236_ (.A(_130_), + .X(_137_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21a_1 _274_ (.A1(\divider.even_0.N[2] ), - .A2(\divider.even_0.N[1] ), - .B1(\divider.even_0.N[0] ), - .X(_138_), + sky130_fd_sc_hd__o21bai_1 _237_ (.A1(_137_), + .A2(_133_), + .B1_N(_134_), + .Y(_138_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3b_1 _275_ (.A_N(_136_), - .B(_137_), + sky130_fd_sc_hd__nand3_1 _238_ (.A(_135_), + .B(_136_), .C(_138_), + .Y(_116_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _239_ (.A(_137_), + .B(_136_), + .C(\divider2.odd_0.counter[2] ), .Y(_139_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _276_ (.A(\divider.odd_0.rst_pulse ), + sky130_fd_sc_hd__a21bo_1 _240_ (.A1(_022_), + .A2(_000_), + .B1_N(_139_), + .X(_115_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _241_ (.A(_137_), + .B(_131_), + .C(\divider2.odd_0.counter[1] ), .Y(_140_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 _277_ (.A(_140_), - .X(_141_), + sky130_fd_sc_hd__a21bo_1 _242_ (.A1(_021_), + .A2(_000_), + .B1_N(_140_), + .X(_114_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_2 _278_ (.A1(\divider.even_0.N[2] ), - .A2(\divider.even_0.N[1] ), - .B1(\divider.even_0.N[0] ), - .Y(_142_), + sky130_fd_sc_hd__nand3_1 _243_ (.A(_137_), + .B(_131_), + .C(\divider2.odd_0.counter[0] ), + .Y(_141_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 _279_ (.A(_142_), + sky130_fd_sc_hd__a21bo_1 _244_ (.A1(_020_), + .A2(_000_), + .B1_N(_141_), + .X(_113_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _245_ (.A0(\divider2.odd_0.initial_begin[2] ), + .A1(_025_), + .S(_002_), + .X(_142_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _246_ (.A(_142_), + .X(_112_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _247__1 (.A(clknet_1_0_0_pll_clk90), + .Y(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _248_ (.A0(\divider2.odd_0.initial_begin[1] ), + .A1(_024_), + .S(_002_), .X(_143_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _280_ (.A1(_143_), - .A2(_136_), - .B1_N(_137_), - .Y(_144_), + sky130_fd_sc_hd__clkbuf_1 _249_ (.A(_143_), + .X(_111_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _281_ (.A(_139_), - .B(_141_), - .C(_144_), - .Y(_073_), + sky130_fd_sc_hd__mux2_1 _250_ (.A0(\divider2.odd_0.initial_begin[0] ), + .A1(_023_), + .S(_002_), + .X(_144_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 _282_ (.A(_140_), - .X(_145_), + sky130_fd_sc_hd__clkbuf_1 _251_ (.A(_144_), + .X(_110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_2 _283_ (.A(_142_), - .B(_145_), - .Y(_001_), + sky130_fd_sc_hd__inv_4 _252__2 (.A(clknet_1_0_0_pll_clk90), + .Y(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _284_ (.A(_143_), - .B(\divider.odd_0.counter[2] ), - .C(_141_), + sky130_fd_sc_hd__nor2_1 _253_ (.A(\divider2.odd_0.initial_begin[2] ), + .B(\divider2.odd_0.initial_begin[1] ), + .Y(_145_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o211a_1 _254_ (.A1(\divider2.even_0.N[2] ), + .A2(\divider2.even_0.N[1] ), + .B1(\divider2.even_0.N[0] ), + .C1(_145_), + .X(_033_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_2 _255_ (.A(\divider2.odd_0.out_counter2 ), .Y(_146_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _285_ (.A1(_011_), - .A2(_001_), - .B1_N(_146_), - .X(_072_), + sky130_fd_sc_hd__nor3b_2 _256_ (.A(\divider2.odd_0.counter2[2] ), + .B(\divider2.odd_0.counter2[1] ), + .C_N(\divider2.odd_0.counter2[0] ), + .Y(_034_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _286_ (.A(_143_), - .B(\divider.odd_0.counter[1] ), - .C(_145_), + sky130_fd_sc_hd__nand3_1 _257_ (.A(_033_), + .B(_146_), + .C(_034_), .Y(_147_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _287_ (.A1(_010_), - .A2(_001_), - .B1_N(_147_), - .X(_071_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _288_ (.A(_143_), - .B(\divider.odd_0.counter[0] ), - .C(_145_), + sky130_fd_sc_hd__nor2_1 _258_ (.A(\divider2.odd_0.counter2[2] ), + .B(\divider2.odd_0.counter2[1] ), .Y(_148_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _289_ (.A1(_009_), - .A2(_001_), - .B1_N(_148_), - .X(_070_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _290_ (.A(\divider.odd_0.initial_begin[1] ), - .B(\divider.odd_0.initial_begin[0] ), + sky130_fd_sc_hd__nand2_1 _259_ (.A(_148_), + .B(\divider2.odd_0.counter2[0] ), .Y(_149_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _291_ (.A(net28), + sky130_fd_sc_hd__o211ai_4 _260_ (.A1(\divider2.even_0.N[2] ), + .A2(\divider2.even_0.N[1] ), + .B1(\divider2.even_0.N[0] ), + .C1(_145_), .Y(_150_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _292_ (.A1(\divider.odd_0.rst_pulse ), - .A2(_149_), - .B1_N(_150_), + sky130_fd_sc_hd__o21bai_1 _261_ (.A1(_149_), + .A2(_150_), + .B1_N(_146_), .Y(_151_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3b_1 _293_ (.A_N(\divider.odd_0.initial_begin[0] ), - .B(_145_), - .C(_003_), + sky130_fd_sc_hd__nand3_1 _262_ (.A(_147_), + .B(_151_), + .C(_136_), + .Y(_109_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _263_ (.A(_150_), + .B(_131_), .Y(_152_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _294_ (.A(\divider.odd_0.initial_begin[2] ), + sky130_fd_sc_hd__nand2_1 _264_ (.A(_152_), + .B(_019_), .Y(_153_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _295_ (.A(\divider.odd_0.initial_begin[1] ), + sky130_fd_sc_hd__nand3_1 _265_ (.A(_150_), + .B(_136_), + .C(\divider2.odd_0.counter2[2] ), .Y(_154_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _296_ (.A(_153_), + sky130_fd_sc_hd__nand2_1 _266_ (.A(_153_), .B(_154_), + .Y(_108_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _267__3 (.A(clknet_1_0_0_pll_clk90), + .Y(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _268_ (.A(_152_), + .B(_018_), .Y(_155_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o2bb2ai_1 _297_ (.A1_N(\divider.odd_0.initial_begin[2] ), - .A2_N(_151_), - .B1(_152_), - .B2(_155_), - .Y(_069_), + sky130_fd_sc_hd__nand3_1 _269_ (.A(_150_), + .B(_136_), + .C(\divider2.odd_0.counter2[1] ), + .Y(_156_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _298__4 (.A(clknet_1_0_0_pll_clk), - .Y(net17), + sky130_fd_sc_hd__nand2_1 _270_ (.A(_155_), + .B(_156_), + .Y(_107_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__and2b_1 _299_ (.A_N(\divider.odd_0.initial_begin[0] ), - .B(net28), - .X(_156_), + sky130_fd_sc_hd__inv_2 _271_ (.A(\divider2.odd_0.counter2[0] ), + .Y(_065_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _300_ (.A1(_154_), - .A2(_156_), - .B1(_151_), - .Y(_068_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__a21bo_1 _301_ (.A1(_150_), - .A2(\divider.odd_0.initial_begin[0] ), - .B1_N(_152_), - .X(_067_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _302__5 (.A(clknet_1_1_0_pll_clk), - .Y(net18), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _303_ (.A(_142_), - .B(_155_), - .Y(_023_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _304_ (.A(\divider.odd_0.out_counter2 ), + sky130_fd_sc_hd__nand2_1 _272_ (.A(_152_), + .B(_017_), .Y(_157_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor3b_4 _305_ (.A(\divider.odd_0.counter2[2] ), - .B(\divider.odd_0.counter2[1] ), - .C_N(\divider.odd_0.counter2[0] ), - .Y(_024_), + sky130_fd_sc_hd__o21ai_1 _273_ (.A1(_065_), + .A2(_152_), + .B1(_157_), + .Y(_106_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _306_ (.A(net27), - .B(_157_), - .C(_024_), - .Y(_158_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _307_ (.A(\divider.odd_0.counter2[2] ), - .B(\divider.odd_0.counter2[1] ), - .Y(_159_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _308_ (.A(_159_), - .B(\divider.odd_0.counter2[0] ), - .Y(_160_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _309_ (.A(\divider.odd_0.initial_begin[2] ), - .B(\divider.odd_0.initial_begin[1] ), - .Y(_161_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o211ai_2 _310_ (.A1(\divider.even_0.N[2] ), - .A2(\divider.even_0.N[1] ), - .B1(\divider.even_0.N[0] ), - .C1(_161_), - .Y(_162_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_1 _311_ (.A1(_160_), - .A2(_162_), - .B1_N(_157_), - .Y(_163_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _312_ (.A(_158_), - .B(_163_), - .C(_141_), - .Y(_066_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor3_1 _313_ (.A(\divider.even_0.N[0] ), - .B(\divider.even_0.counter[1] ), - .C(\divider.even_0.counter[0] ), - .Y(_164_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _314_ (.A(\divider.even_0.counter[2] ), - .B(_164_), - .X(_065_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _315_ (.A0(_005_), - .A1(\divider.even_0.counter[1] ), - .S(\divider.even_0.N[0] ), - .X(_165_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 _316_ (.A(_165_), - .X(_064_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _317_ (.A0(_004_), - .A1(\divider.even_0.counter[0] ), - .S(\divider.even_0.N[0] ), - .X(_166_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 _318_ (.A(_166_), - .X(_063_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__or2b_1 _319_ (.A(net26), - .B_N(\divider.odd_0.old_N[1] ), - .X(_167_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__or2b_1 _320_ (.A(\divider.odd_0.old_N[1] ), - .B_N(\divider.even_0.N[1] ), - .X(_168_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _321_ (.A(_167_), - .B(_168_), - .C(\divider.odd_0.old_N[0] ), - .Y(_169_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _322_ (.A(\divider.even_0.N[2] ), - .B(\divider.odd_0.old_N[2] ), - .Y(_170_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__and2_1 _323_ (.A(\divider.even_0.N[2] ), - .B(\divider.odd_0.old_N[2] ), - .X(_171_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _324_ (.A1(_170_), - .A2(_171_), - .B1(_138_), - .Y(_172_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__o21a_1 _325_ (.A1(_169_), - .A2(_172_), - .B1(_001_), - .X(_062_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _326_ (.A(_162_), - .B(_145_), - .Y(_173_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _327_ (.A(_173_), - .B(_008_), - .Y(_174_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _328_ (.A(_162_), - .B(_141_), - .C(\divider.odd_0.counter2[2] ), - .Y(_175_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _329_ (.A(_174_), - .B(_175_), - .Y(_061_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _330__6 (.A(clknet_1_1_0_pll_clk), - .Y(net19), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _331_ (.A(_173_), - .B(_007_), - .Y(_176_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand3_1 _332_ (.A(_162_), - .B(_141_), - .C(\divider.odd_0.counter2[1] ), - .Y(_177_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _333_ (.A(_176_), - .B(_177_), - .Y(_060_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_2 _334_ (.A(\divider.odd_0.counter2[0] ), + sky130_fd_sc_hd__nor3b_2 _274_ (.A(\divider2.even_0.counter[1] ), + .B(\divider2.even_0.counter[2] ), + .C_N(\divider2.even_0.counter[0] ), .Y(_032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _335_ (.A(_173_), - .B(_006_), + sky130_fd_sc_hd__clkinv_4 _275_ (.A(\divider2.even_0.N[0] ), + .Y(_158_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _276_ (.A(\divider2.even_0.out_counter ), + .Y(_159_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__a21o_1 _277_ (.A1(_032_), + .A2(_158_), + .B1(_159_), + .X(_160_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _278_ (.A(_032_), + .B(_158_), + .C(_159_), + .Y(_161_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _279_ (.A(_160_), + .B(_161_), + .Y(_105_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _280_ (.A(\divider.odd_0.counter[2] ), + .B(\divider.odd_0.counter[1] ), + .Y(_162_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _281_ (.A(_162_), + .B(\divider.odd_0.counter[0] ), + .Y(_163_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_2 _282_ (.A(\divider.odd_0.out_counter ), + .Y(_164_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21a_1 _283_ (.A1(net30), + .A2(net26), + .B1(\divider.even_0.N[0] ), + .X(_165_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3b_1 _284_ (.A_N(_163_), + .B(_164_), + .C(_165_), + .Y(_166_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _285_ (.A(\divider.odd_0.rst_pulse ), + .Y(_167_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_2 _286_ (.A(_167_), + .X(_168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21ai_1 _287_ (.A1(\divider.even_0.N[2] ), + .A2(\divider.even_0.N[1] ), + .B1(\divider.even_0.N[0] ), + .Y(_169_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_2 _288_ (.A(_169_), + .X(_170_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21bai_1 _289_ (.A1(_170_), + .A2(_163_), + .B1_N(_164_), + .Y(_171_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _290_ (.A(_166_), + .B(_168_), + .C(_171_), + .Y(_104_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_2 _291_ (.A(_169_), + .B(_167_), + .Y(_001_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _292_ (.A(_170_), + .B(\divider.odd_0.counter[2] ), + .C(_168_), + .Y(_172_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__a21bo_1 _293_ (.A1(_011_), + .A2(_001_), + .B1_N(_172_), + .X(_103_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _294_ (.A(_170_), + .B(\divider.odd_0.counter[1] ), + .C(_167_), + .Y(_173_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__a21bo_1 _295_ (.A1(_010_), + .A2(_001_), + .B1_N(_173_), + .X(_102_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _296_ (.A(_170_), + .B(\divider.odd_0.counter[0] ), + .C(_167_), + .Y(_174_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__a21bo_1 _297_ (.A1(_009_), + .A2(_001_), + .B1_N(_174_), + .X(_101_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _298_ (.A0(\divider.odd_0.initial_begin[2] ), + .A1(_014_), + .S(_003_), + .X(_175_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _299_ (.A(_175_), + .X(_100_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _300__4 (.A(clknet_1_0_0_pll_clk), + .Y(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _301_ (.A0(\divider.odd_0.initial_begin[1] ), + .A1(_013_), + .S(_003_), + .X(_176_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _302_ (.A(_176_), + .X(_099_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _303_ (.A0(\divider.odd_0.initial_begin[0] ), + .A1(_012_), + .S(_003_), + .X(_177_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _304_ (.A(_177_), + .X(_098_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _305__5 (.A(clknet_1_1_0_pll_clk), + .Y(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _306_ (.A(\divider.odd_0.initial_begin[2] ), + .B(\divider.odd_0.initial_begin[1] ), .Y(_178_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21ai_1 _336_ (.A1(_032_), - .A2(_173_), - .B1(_178_), - .Y(_059_), + sky130_fd_sc_hd__o211a_1 _307_ (.A1(\divider.even_0.N[2] ), + .A2(\divider.even_0.N[1] ), + .B1(\divider.even_0.N[0] ), + .C1(_178_), + .X(_029_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _337_ (.A(\divider.even_0.counter[2] ), - .B(\divider.even_0.counter[1] ), + sky130_fd_sc_hd__inv_2 _308_ (.A(\divider.odd_0.out_counter2 ), .Y(_179_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand3b_1 _338_ (.A_N(\divider.even_0.N[0] ), + sky130_fd_sc_hd__nor3b_2 _309_ (.A(\divider.odd_0.counter2[2] ), + .B(\divider.odd_0.counter2[1] ), + .C_N(\divider.odd_0.counter2[0] ), + .Y(_030_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _310_ (.A(net38), .B(_179_), - .C(\divider.even_0.counter[0] ), + .C(_030_), .Y(_180_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _339_ (.A(\divider.even_0.out_counter ), - .B(_180_), - .Y(_058_), + sky130_fd_sc_hd__nor2_1 _311_ (.A(\divider.odd_0.counter2[2] ), + .B(\divider.odd_0.counter2[1] ), + .Y(_181_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _340__9 (.A(net10), - .Y(net22), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _341__8 (.A(net10), - .Y(net21), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__inv_4 _342__7 (.A(net10), - .Y(net20), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_2 _343_ (.A0(ext_clk_syncd_pre), - .A1(clknet_1_0_0_ext_clk), - .S(net3), - .X(_181_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__buf_1 _344_ (.A(_181_), - .X(_057_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _345_ (.A(_136_), - .Y(_025_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _346_ (.A(_130_), - .Y(_026_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _347_ (.A(_102_), - .Y(_029_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _348_ (.A(\divider.even_0.N[2] ), - .B(net26), - .Y(_020_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _349_ (.A(\divider.odd_0.out_counter ), - .B(\divider.odd_0.out_counter2 ), + sky130_fd_sc_hd__nand2_1 _312_ (.A(_181_), + .B(\divider.odd_0.counter2[0] ), .Y(_182_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__and2b_2 _350_ (.A_N(\divider.even_0.N[0] ), - .B(_030_), - .X(_183_), + sky130_fd_sc_hd__o211ai_4 _313_ (.A1(net27), + .A2(net28), + .B1(net32), + .C1(_178_), + .Y(_183_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o21bai_2 _351_ (.A1(_143_), - .A2(_182_), - .B1_N(_183_), - .Y(\divider.out ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _352_ (.A(\divider2.even_0.N[1] ), - .B(\divider2.even_0.N[2] ), - .Y(_021_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _353_ (.A(\divider2.odd_0.out_counter ), - .B(\divider2.odd_0.out_counter2 ), + sky130_fd_sc_hd__o21bai_1 _314_ (.A1(_182_), + .A2(_183_), + .B1_N(_179_), .Y(_184_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__o2bb2ai_2 _354_ (.A1_N(_131_), - .A2_N(_031_), - .B1(_106_), - .B2(_184_), - .Y(\divider2.out ), + sky130_fd_sc_hd__nand3_1 _315_ (.A(_180_), + .B(_184_), + .C(_168_), + .Y(_097_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _355_ (.A(\divider.odd_0.counter2[1] ), - .B(\divider.odd_0.counter2[0] ), - .Y(_033_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _356_ (.A(\divider.odd_0.counter2[1] ), - .B(\divider.odd_0.counter2[0] ), + sky130_fd_sc_hd__nor3_1 _316_ (.A(\divider.even_0.N[0] ), + .B(\divider.even_0.counter[1] ), + .C(\divider.even_0.counter[0] ), .Y(_185_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _357_ (.A(\divider.odd_0.counter2[2] ), + sky130_fd_sc_hd__xor2_1 _317_ (.A(\divider.even_0.counter[2] ), .B(_185_), - .X(_034_), + .X(_096_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_2 _358_ (.A(\divider.odd_0.counter[0] ), + sky130_fd_sc_hd__mux2_1 _318_ (.A0(_005_), + .A1(\divider.even_0.counter[1] ), + .S(net34), + .X(_186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _319_ (.A(_186_), + .X(_095_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _320_ (.A0(_004_), + .A1(\divider.even_0.counter[0] ), + .S(net34), + .X(_187_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 _321_ (.A(_187_), + .X(_094_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__or2b_1 _322_ (.A(net26), + .B_N(\divider.odd_0.old_N[1] ), + .X(_188_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__or2b_1 _323_ (.A(\divider.odd_0.old_N[1] ), + .B_N(net26), + .X(_189_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _324_ (.A(_188_), + .B(_189_), + .C(\divider.odd_0.old_N[0] ), + .Y(_190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _325_ (.A(net30), + .B(\divider.odd_0.old_N[2] ), + .Y(_191_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__and2_1 _326_ (.A(net30), + .B(\divider.odd_0.old_N[2] ), + .X(_192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21ai_1 _327_ (.A1(_191_), + .A2(_192_), + .B1(_165_), + .Y(_193_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21a_1 _328_ (.A1(_190_), + .A2(_193_), + .B1(_001_), + .X(_093_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _329_ (.A(_183_), + .B(_167_), + .Y(_194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _330_ (.A(_194_), + .B(_008_), + .Y(_195_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _331_ (.A(_183_), + .B(_168_), + .C(\divider.odd_0.counter2[2] ), + .Y(_196_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _332_ (.A(_195_), + .B(_196_), + .Y(_092_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _333__6 (.A(clknet_1_1_0_pll_clk), + .Y(net19), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _334_ (.A(_194_), + .B(_007_), + .Y(_197_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _335_ (.A(_183_), + .B(_168_), + .C(\divider.odd_0.counter2[1] ), + .Y(_198_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _336_ (.A(_197_), + .B(_198_), + .Y(_091_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_2 _337_ (.A(\divider.odd_0.counter2[0] ), + .Y(_045_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand2_1 _338_ (.A(_194_), + .B(_006_), + .Y(_199_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21ai_1 _339_ (.A1(_045_), + .A2(_194_), + .B1(_199_), + .Y(_090_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _340_ (.A(\divider.even_0.counter[2] ), + .B(\divider.even_0.counter[1] ), + .Y(_200_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _341_ (.A(net31), + .Y(_201_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nand3_1 _342_ (.A(_200_), + .B(_201_), + .C(\divider.even_0.counter[0] ), + .Y(_202_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _343_ (.A(\divider.even_0.out_counter ), + .B(_202_), + .Y(_089_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _344__9 (.A(net10), + .Y(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _345__8 (.A(net10), + .Y(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_4 _346__7 (.A(net10), + .Y(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_2 _347_ (.A0(ext_clk_syncd_pre), + .A1(clknet_1_0_0_ext_clk), + .S(net3), + .X(_203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__buf_1 _348_ (.A(_203_), + .X(_088_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _349_ (.A(net30), + .B(net26), + .Y(_026_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _350_ (.A(\divider2.even_0.N[2] ), + .B(\divider2.even_0.N[1] ), + .Y(_027_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor3b_1 _351_ (.A(\divider.even_0.counter[2] ), + .B(\divider.even_0.counter[1] ), + .C_N(\divider.even_0.counter[0] ), + .Y(_028_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _352_ (.A(_163_), + .Y(_031_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _353_ (.A(_133_), .Y(_035_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _359_ (.A(\divider.odd_0.counter[1] ), - .B(\divider.odd_0.counter[0] ), - .Y(_036_), + sky130_fd_sc_hd__xnor2_1 _354_ (.A(\divider.odd_0.out_counter ), + .B(\divider.odd_0.out_counter2 ), + .Y(_204_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _360_ (.A(\divider.odd_0.counter[1] ), - .B(\divider.odd_0.counter[0] ), - .Y(_186_), + sky130_fd_sc_hd__o2bb2ai_2 _355_ (.A1_N(_201_), + .A2_N(_036_), + .B1(_170_), + .B2(_204_), + .Y(\divider.out ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _361_ (.A(\divider.odd_0.counter[2] ), - .B(_186_), - .X(_037_), + sky130_fd_sc_hd__xnor2_1 _356_ (.A(\divider2.odd_0.out_counter ), + .B(\divider2.odd_0.out_counter2 ), + .Y(_205_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_2 _362_ (.A(\divider.even_0.counter[0] ), - .Y(_038_), + sky130_fd_sc_hd__o2bb2ai_2 _357_ (.A1_N(_158_), + .A2_N(_038_), + .B1(_137_), + .B2(_205_), + .Y(\divider2.out ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _363_ (.A(\divider.even_0.counter[1] ), - .B(\divider.even_0.counter[0] ), + sky130_fd_sc_hd__clkinv_2 _358_ (.A(\divider.odd_0.initial_begin[0] ), .Y(_039_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nand2_1 _364_ (.A(_179_), - .B(\divider.even_0.counter[0] ), - .Y(_022_), + sky130_fd_sc_hd__xnor2_1 _359_ (.A(net33), + .B(net29), + .Y(_040_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _365_ (.A(\divider2.odd_0.counter2[1] ), - .B(\divider2.odd_0.counter2[0] ), + sky130_fd_sc_hd__xnor2_1 _360_ (.A(\divider.odd_0.initial_begin[1] ), + .B(\divider.odd_0.initial_begin[0] ), .Y(_041_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _366_ (.A(\divider2.odd_0.counter2[1] ), - .B(\divider2.odd_0.counter2[0] ), - .Y(_187_), + sky130_fd_sc_hd__nor3_1 _361_ (.A(net33), + .B(\divider.even_0.N[2] ), + .C(net29), + .Y(_206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _367_ (.A(\divider2.odd_0.counter2[2] ), - .B(_187_), - .X(_042_), + sky130_fd_sc_hd__o21a_1 _362_ (.A1(net33), + .A2(net29), + .B1(\divider.even_0.N[2] ), + .X(_044_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_2 _368_ (.A(\divider2.odd_0.counter[0] ), - .Y(_043_), + sky130_fd_sc_hd__nor2_1 _363_ (.A(_206_), + .B(_044_), + .Y(_042_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _369_ (.A(\divider2.odd_0.counter[1] ), - .B(\divider2.odd_0.counter[0] ), - .Y(_044_), + sky130_fd_sc_hd__nor2_1 _364_ (.A(\divider.odd_0.initial_begin[1] ), + .B(\divider.odd_0.initial_begin[0] ), + .Y(_207_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _370_ (.A(\divider2.odd_0.counter[1] ), - .B(\divider2.odd_0.counter[0] ), - .Y(_188_), + sky130_fd_sc_hd__xor2_1 _365_ (.A(\divider.odd_0.initial_begin[2] ), + .B(_207_), + .X(_043_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _371_ (.A(\divider2.odd_0.counter[2] ), - .B(_188_), - .X(_045_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_2 _372_ (.A(\divider2.even_0.counter[0] ), - .Y(_046_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__xnor2_1 _373_ (.A(\divider2.even_0.counter[1] ), - .B(\divider2.even_0.counter[0] ), + sky130_fd_sc_hd__xnor2_1 _366_ (.A(\divider.odd_0.counter2[1] ), + .B(\divider.odd_0.counter2[0] ), .Y(_047_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkinv_4 _374_ (.A(net1), + sky130_fd_sc_hd__nor2_1 _367_ (.A(\divider.odd_0.counter2[1] ), + .B(\divider.odd_0.counter2[0] ), + .Y(_208_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xor2_1 _368_ (.A(\divider.odd_0.counter2[2] ), + .B(_208_), + .X(_049_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_2 _369_ (.A(\divider.odd_0.counter[0] ), + .Y(_051_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _370_ (.A(\divider.odd_0.counter[1] ), + .B(\divider.odd_0.counter[0] ), + .Y(_053_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _371_ (.A(\divider.odd_0.counter[1] ), + .B(\divider.odd_0.counter[0] ), + .Y(_209_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xor2_1 _372_ (.A(\divider.odd_0.counter[2] ), + .B(_209_), + .X(_055_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_2 _373_ (.A(\divider.even_0.counter[0] ), + .Y(_057_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _374_ (.A(\divider.even_0.counter[1] ), + .B(\divider.even_0.counter[0] ), + .Y(_058_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_2 _375_ (.A(\divider2.odd_0.initial_begin[0] ), + .Y(_059_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _376_ (.A(\divider2.even_0.N[0] ), + .B(\divider2.even_0.N[1] ), + .Y(_060_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _377_ (.A(\divider2.odd_0.initial_begin[1] ), + .B(\divider2.odd_0.initial_begin[0] ), + .Y(_061_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor3_1 _378_ (.A(\divider2.even_0.N[0] ), + .B(\divider2.even_0.N[2] ), + .C(\divider2.even_0.N[1] ), + .Y(_210_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__o21a_1 _379_ (.A1(\divider2.even_0.N[0] ), + .A2(\divider2.even_0.N[1] ), + .B1(\divider2.even_0.N[2] ), + .X(_064_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _380_ (.A(_210_), + .B(_064_), + .Y(_062_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _381_ (.A(\divider2.odd_0.initial_begin[1] ), + .B(\divider2.odd_0.initial_begin[0] ), + .Y(_211_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xor2_1 _382_ (.A(\divider2.odd_0.initial_begin[2] ), + .B(_211_), + .X(_063_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _383_ (.A(\divider2.odd_0.counter2[1] ), + .B(\divider2.odd_0.counter2[0] ), + .Y(_067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _384_ (.A(\divider2.odd_0.counter2[1] ), + .B(\divider2.odd_0.counter2[0] ), + .Y(_212_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xor2_1 _385_ (.A(\divider2.odd_0.counter2[2] ), + .B(_212_), + .X(_069_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_2 _386_ (.A(\divider2.odd_0.counter[0] ), + .Y(_071_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _387_ (.A(\divider2.odd_0.counter[1] ), + .B(\divider2.odd_0.counter[0] ), + .Y(_073_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__nor2_1 _388_ (.A(\divider2.odd_0.counter[1] ), + .B(\divider2.odd_0.counter[0] ), + .Y(_213_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xor2_1 _389_ (.A(\divider2.odd_0.counter[2] ), + .B(_213_), + .X(_075_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_2 _390_ (.A(\divider2.even_0.counter[0] ), + .Y(_077_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__xnor2_1 _391_ (.A(\divider2.even_0.counter[1] ), + .B(\divider2.even_0.counter[0] ), + .Y(_078_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 _392_ (.A(net1), .Y(pll_clk_sel), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor2_1 _375_ (.A(net2), + sky130_fd_sc_hd__nor2_1 _393_ (.A(net2), .B(\reset_delay[0] ), .Y(net11), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__nor3_1 _376_ (.A(\divider2.even_0.counter[1] ), + sky130_fd_sc_hd__nor3_1 _394_ (.A(\divider2.even_0.counter[1] ), .B(\divider2.even_0.N[0] ), .C(\divider2.even_0.counter[0] ), - .Y(_189_), + .Y(_214_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__xor2_1 _377_ (.A(\divider2.even_0.counter[2] ), - .B(_189_), - .X(_089_), + sky130_fd_sc_hd__xor2_1 _395_ (.A(\divider2.even_0.counter[2] ), + .B(_214_), + .X(_120_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__conb_1 _378__13 (.LO(net13), + sky130_fd_sc_hd__conb_1 _396__13 (.LO(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _379_ (.A0(_043_), - .A1(\divider2.even_0.N[0] ), - .S(_029_), - .X(_200_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _380_ (.A0(_200_), - .A1(\divider2.even_0.N[0] ), - .S(\divider2.odd_0.rst_pulse ), - .X(_017_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _381_ (.A0(_033_), - .A1(\divider.even_0.N[1] ), - .S(_024_), - .X(_192_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _382_ (.A0(_192_), - .A1(\divider.even_0.N[1] ), - .S(\divider.odd_0.rst_pulse ), - .X(_007_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _383_ (.A0(_040_), - .A1(\divider2.even_0.N[0] ), - .S(_028_), - .X(_197_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _384_ (.A0(_197_), - .A1(\divider2.even_0.N[0] ), - .S(\divider2.odd_0.rst_pulse ), - .X(_014_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _385_ (.A0(_032_), - .A1(\divider.even_0.N[0] ), - .S(_024_), - .X(_191_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _386_ (.A0(_191_), - .A1(\divider.even_0.N[0] ), - .S(\divider.odd_0.rst_pulse ), - .X(_006_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _387_ (.A0(\divider2.even_0.N[1] ), - .A1(_046_), - .S(_026_), - .X(_012_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _388_ (.A0(_045_), - .A1(\divider2.even_0.N[2] ), - .S(_029_), - .X(_202_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _389_ (.A0(_202_), - .A1(\divider2.even_0.N[2] ), - .S(\divider2.odd_0.rst_pulse ), - .X(_019_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _390_ (.A0(_000_), + sky130_fd_sc_hd__mux2_1 _397_ (.A0(_000_), .A1(\divider2.odd_0.rst_pulse ), - .S(_027_), + .S(_033_), .X(_002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _391_ (.A0(_044_), - .A1(\divider2.even_0.N[1] ), + sky130_fd_sc_hd__mux2_2 _398_ (.A0(_001_), + .A1(\divider.odd_0.rst_pulse ), .S(_029_), - .X(_201_), + .X(_003_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _392_ (.A0(_201_), - .A1(\divider2.even_0.N[1] ), - .S(\divider2.odd_0.rst_pulse ), - .X(_018_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _393_ (.A0(_190_), + sky130_fd_sc_hd__mux2_1 _399_ (.A0(_037_), .A1(\divider.out ), .S(use_pll_second), .X(net10), @@ -3730,7 +3621,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _394_ (.A0(_190_), + sky130_fd_sc_hd__mux2_1 _400_ (.A0(_037_), .A1(\divider2.out ), .S(use_pll_second), .X(net12), @@ -3738,63 +3629,175 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _395_ (.A0(_042_), - .A1(\divider2.even_0.N[2] ), - .S(_028_), - .X(_199_), + sky130_fd_sc_hd__mux2_1 _401_ (.A0(_065_), + .A1(\divider2.even_0.N[0] ), + .S(_034_), + .X(_066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _396_ (.A0(_199_), + sky130_fd_sc_hd__mux2_1 _402_ (.A0(_066_), + .A1(\divider2.even_0.N[0] ), + .S(\divider2.odd_0.rst_pulse ), + .X(_017_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _403_ (.A0(_067_), + .A1(\divider2.even_0.N[1] ), + .S(_034_), + .X(_068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _404_ (.A0(_068_), + .A1(\divider2.even_0.N[1] ), + .S(\divider2.odd_0.rst_pulse ), + .X(_018_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _405_ (.A0(_073_), + .A1(\divider2.even_0.N[1] ), + .S(_035_), + .X(_074_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _406_ (.A0(_074_), + .A1(\divider2.even_0.N[1] ), + .S(\divider2.odd_0.rst_pulse ), + .X(_021_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _407_ (.A0(_075_), + .A1(\divider2.even_0.N[2] ), + .S(_035_), + .X(_076_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _408_ (.A0(_076_), .A1(\divider2.even_0.N[2] ), .S(\divider2.odd_0.rst_pulse ), - .X(_016_), + .X(_022_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_4 _397_ (.A0(_001_), - .A1(\divider.odd_0.rst_pulse ), - .S(_023_), - .X(_003_), + sky130_fd_sc_hd__mux2_1 _409_ (.A0(_077_), + .A1(\divider2.even_0.N[1] ), + .S(_032_), + .X(_015_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _398_ (.A0(_037_), - .A1(\divider.even_0.N[2] ), - .S(_025_), - .X(_196_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _399_ (.A0(_196_), - .A1(\divider.even_0.N[2] ), + sky130_fd_sc_hd__mux2_1 _410_ (.A0(_039_), + .A1(_040_), .S(\divider.odd_0.rst_pulse ), - .X(_011_), + .X(_012_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _400_ (.A0(net26), - .A1(_038_), - .S(_022_), - .X(_004_), + sky130_fd_sc_hd__mux2_1 _411_ (.A0(_041_), + .A1(_042_), + .S(\divider.odd_0.rst_pulse ), + .X(_013_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _401_ (.A0(_036_), + sky130_fd_sc_hd__mux2_1 _412_ (.A0(_043_), + .A1(_044_), + .S(\divider.odd_0.rst_pulse ), + .X(_014_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _413_ (.A0(_045_), + .A1(\divider.even_0.N[0] ), + .S(_030_), + .X(_046_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _414_ (.A0(_046_), + .A1(\divider.even_0.N[0] ), + .S(\divider.odd_0.rst_pulse ), + .X(_006_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _415_ (.A0(_047_), + .A1(\divider.even_0.N[1] ), + .S(_030_), + .X(_048_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _416_ (.A0(_048_), + .A1(\divider.even_0.N[1] ), + .S(\divider.odd_0.rst_pulse ), + .X(_007_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _417_ (.A0(_049_), + .A1(\divider.even_0.N[2] ), + .S(_030_), + .X(_050_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _418_ (.A0(_050_), + .A1(net37), + .S(\divider.odd_0.rst_pulse ), + .X(_008_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _419_ (.A0(_051_), + .A1(net35), + .S(_031_), + .X(_052_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _420_ (.A0(_052_), + .A1(net36), + .S(\divider.odd_0.rst_pulse ), + .X(_009_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _421_ (.A0(_053_), .A1(net26), - .S(_025_), - .X(_195_), + .S(_031_), + .X(_054_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _402_ (.A0(_195_), + sky130_fd_sc_hd__mux2_1 _422_ (.A0(_054_), .A1(net26), .S(\divider.odd_0.rst_pulse ), .X(_010_), @@ -3802,144 +3805,176 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _403_ (.A0(\divider.even_0.N[2] ), - .A1(_039_), - .S(_022_), + sky130_fd_sc_hd__mux2_1 _423_ (.A0(_055_), + .A1(net37), + .S(_031_), + .X(_056_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _424_ (.A0(_056_), + .A1(net30), + .S(\divider.odd_0.rst_pulse ), + .X(_011_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _425_ (.A0(_057_), + .A1(net26), + .S(_028_), + .X(_004_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _426_ (.A0(_058_), + .A1(net30), + .S(_028_), .X(_005_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _404_ (.A0(_035_), - .A1(\divider.even_0.N[0] ), - .S(_025_), - .X(_194_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _405_ (.A0(_194_), - .A1(\divider.even_0.N[0] ), - .S(\divider.odd_0.rst_pulse ), - .X(_009_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _406_ (.A0(_034_), - .A1(\divider.even_0.N[2] ), - .S(_024_), - .X(_193_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _407_ (.A0(_193_), - .A1(\divider.even_0.N[2] ), - .S(\divider.odd_0.rst_pulse ), - .X(_008_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _408_ (.A0(_041_), - .A1(\divider2.even_0.N[1] ), - .S(_028_), - .X(_198_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _409_ (.A0(_198_), - .A1(\divider2.even_0.N[1] ), + sky130_fd_sc_hd__mux2_1 _427_ (.A0(_059_), + .A1(_060_), .S(\divider2.odd_0.rst_pulse ), - .X(_015_), + .X(_023_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _410_ (.A0(\divider2.even_0.N[2] ), - .A1(_047_), - .S(_026_), - .X(_013_), + sky130_fd_sc_hd__mux2_1 _428_ (.A0(_061_), + .A1(_062_), + .S(\divider2.odd_0.rst_pulse ), + .X(_024_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _411_ (.A0(\divider.even_0.out_counter ), + sky130_fd_sc_hd__mux2_1 _429_ (.A0(_063_), + .A1(_064_), + .S(\divider2.odd_0.rst_pulse ), + .X(_025_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _430_ (.A0(_069_), + .A1(\divider2.even_0.N[2] ), + .S(_034_), + .X(_070_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _431_ (.A0(_070_), + .A1(\divider2.even_0.N[2] ), + .S(\divider2.odd_0.rst_pulse ), + .X(_019_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _432_ (.A0(_071_), + .A1(\divider2.even_0.N[0] ), + .S(_035_), + .X(_072_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _433_ (.A0(_072_), + .A1(\divider2.even_0.N[0] ), + .S(\divider2.odd_0.rst_pulse ), + .X(_020_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _434_ (.A0(_078_), + .A1(\divider2.even_0.N[2] ), + .S(_032_), + .X(_016_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__mux2_1 _435_ (.A0(\divider.even_0.out_counter ), .A1(clknet_1_1_0_pll_clk), - .S(_020_), - .X(_030_), + .S(_026_), + .X(_036_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _412_ (.A0(clknet_1_1_0_ext_clk), + sky130_fd_sc_hd__mux2_1 _436_ (.A0(clknet_1_1_0_ext_clk), .A1(ext_clk_syncd), .S(use_pll_first), - .X(_190_), + .X(_037_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__mux2_1 _413_ (.A0(\divider2.even_0.out_counter ), + sky130_fd_sc_hd__mux2_1 _437_ (.A0(\divider2.even_0.out_counter ), .A1(clknet_1_1_0_pll_clk90), - .S(_021_), - .X(_031_), + .S(_027_), + .X(_038_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _414_ (.D(\divider.even_0.N[0] ), + sky130_fd_sc_hd__dfxtp_1 _438_ (.D(\divider.even_0.N[0] ), .Q(\divider.odd_0.old_N[0] ), .CLK(clknet_1_1_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _415_ (.D(net26), + sky130_fd_sc_hd__dfxtp_1 _439_ (.D(net26), .Q(\divider.odd_0.old_N[1] ), .CLK(clknet_1_1_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _416_ (.D(\divider.even_0.N[2] ), + sky130_fd_sc_hd__dfxtp_1 _440_ (.D(net30), .Q(\divider.odd_0.old_N[2] ), .CLK(clknet_1_1_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _417_ (.D(\divider2.even_0.N[0] ), + sky130_fd_sc_hd__dfxtp_1 _441_ (.D(\divider2.even_0.N[0] ), .Q(\divider2.odd_0.old_N[0] ), .CLK(clknet_1_1_0_pll_clk90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _418_ (.D(\divider2.even_0.N[1] ), + sky130_fd_sc_hd__dfxtp_1 _442_ (.D(\divider2.even_0.N[1] ), .Q(\divider2.odd_0.old_N[1] ), .CLK(clknet_1_0_0_pll_clk90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _419_ (.D(\divider2.even_0.N[2] ), + sky130_fd_sc_hd__dfxtp_1 _443_ (.D(\divider2.even_0.N[2] ), .Q(\divider2.odd_0.old_N[2] ), - .CLK(clknet_1_0_0_pll_clk90), + .CLK(clknet_1_1_0_pll_clk90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfxtp_1 _420_ (.D(_057_), + sky130_fd_sc_hd__dfxtp_1 _444_ (.D(_088_), .Q(ext_clk_syncd_pre), .CLK(clknet_1_0_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _421_ (.D(net25), + sky130_fd_sc_hd__dfstp_1 _445_ (.D(net25), .Q(\reset_delay[0] ), .SET_B(net3), .CLK(net20), @@ -3947,7 +3982,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _422_ (.D(net24), + sky130_fd_sc_hd__dfstp_1 _446_ (.D(net24), .Q(\reset_delay[1] ), .SET_B(net3), .CLK(net21), @@ -3955,7 +3990,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _423_ (.D(net13), + sky130_fd_sc_hd__dfstp_1 _447_ (.D(net13), .Q(\reset_delay[2] ), .SET_B(net3), .CLK(net22), @@ -3963,7 +3998,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _424_ (.D(pll_clk_sel), + sky130_fd_sc_hd__dfrtp_1 _448_ (.D(pll_clk_sel), .Q(use_pll_first), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk), @@ -3971,7 +4006,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _425_ (.D(use_pll_first), + sky130_fd_sc_hd__dfrtp_1 _449_ (.D(use_pll_first), .Q(use_pll_second), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk), @@ -3979,7 +4014,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _426_ (.D(net23), + sky130_fd_sc_hd__dfrtp_1 _450_ (.D(net23), .Q(ext_clk_syncd), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk), @@ -3987,7 +4022,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _427_ (.D(net7), + sky130_fd_sc_hd__dfrtp_1 _451_ (.D(net7), .Q(\divider.syncNp[0] ), .RESET_B(net3), .CLK(\divider.out ), @@ -3995,7 +4030,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _428_ (.D(net8), + sky130_fd_sc_hd__dfstp_1 _452_ (.D(net8), .Q(\divider.syncNp[1] ), .SET_B(net3), .CLK(\divider.out ), @@ -4003,7 +4038,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _429_ (.D(net9), + sky130_fd_sc_hd__dfrtp_1 _453_ (.D(net9), .Q(\divider.syncNp[2] ), .RESET_B(net3), .CLK(\divider.out ), @@ -4011,7 +4046,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _430_ (.D(\divider.syncNp[0] ), + sky130_fd_sc_hd__dfrtp_4 _454_ (.D(\divider.syncNp[0] ), .Q(\divider.even_0.N[0] ), .RESET_B(net3), .CLK(\divider.out ), @@ -4019,7 +4054,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_4 _431_ (.D(\divider.syncNp[1] ), + sky130_fd_sc_hd__dfstp_4 _455_ (.D(\divider.syncNp[1] ), .Q(\divider.even_0.N[1] ), .SET_B(net3), .CLK(\divider.out ), @@ -4027,7 +4062,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _432_ (.D(\divider.syncNp[2] ), + sky130_fd_sc_hd__dfrtp_4 _456_ (.D(\divider.syncNp[2] ), .Q(\divider.even_0.N[2] ), .RESET_B(net3), .CLK(\divider.out ), @@ -4035,7 +4070,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _433_ (.D(net4), + sky130_fd_sc_hd__dfrtp_1 _457_ (.D(net4), .Q(\divider2.syncNp[0] ), .RESET_B(net3), .CLK(\divider2.out ), @@ -4043,7 +4078,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _434_ (.D(net5), + sky130_fd_sc_hd__dfstp_1 _458_ (.D(net5), .Q(\divider2.syncNp[1] ), .SET_B(net3), .CLK(\divider2.out ), @@ -4051,7 +4086,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _435_ (.D(net6), + sky130_fd_sc_hd__dfrtp_1 _459_ (.D(net6), .Q(\divider2.syncNp[2] ), .RESET_B(net3), .CLK(\divider2.out ), @@ -4059,7 +4094,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _436_ (.D(\divider2.syncNp[0] ), + sky130_fd_sc_hd__dfrtp_4 _460_ (.D(\divider2.syncNp[0] ), .Q(\divider2.even_0.N[0] ), .RESET_B(net3), .CLK(\divider2.out ), @@ -4067,7 +4102,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_4 _437_ (.D(\divider2.syncNp[1] ), + sky130_fd_sc_hd__dfstp_4 _461_ (.D(\divider2.syncNp[1] ), .Q(\divider2.even_0.N[1] ), .SET_B(net3), .CLK(\divider2.out ), @@ -4075,7 +4110,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _438_ (.D(\divider2.syncNp[2] ), + sky130_fd_sc_hd__dfrtp_4 _462_ (.D(\divider2.syncNp[2] ), .Q(\divider2.even_0.N[2] ), .RESET_B(net3), .CLK(\divider2.out ), @@ -4083,15 +4118,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _439_ (.D(_058_), + sky130_fd_sc_hd__dfstp_1 _463_ (.D(_089_), .Q(\divider.even_0.out_counter ), .SET_B(net3), - .CLK(clknet_1_0_0_pll_clk), + .CLK(clknet_1_1_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _440_ (.D(_059_), + sky130_fd_sc_hd__dfrtn_1 _464_ (.D(_090_), .Q(\divider.odd_0.counter2[0] ), .RESET_B(net3), .CLK_N(clknet_1_1_0_pll_clk), @@ -4099,7 +4134,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_2 _441_ (.D(_060_), + sky130_fd_sc_hd__dfstp_1 _465_ (.D(_091_), .Q(\divider.odd_0.counter2[1] ), .SET_B(net3), .CLK(net19), @@ -4107,7 +4142,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _442_ (.D(_061_), + sky130_fd_sc_hd__dfrtn_1 _466_ (.D(_092_), .Q(\divider.odd_0.counter2[2] ), .RESET_B(net3), .CLK_N(clknet_1_1_0_pll_clk), @@ -4115,7 +4150,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _443_ (.D(_062_), + sky130_fd_sc_hd__dfrtp_4 _467_ (.D(_093_), .Q(\divider.odd_0.rst_pulse ), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk), @@ -4123,7 +4158,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_2 _444_ (.D(_063_), + sky130_fd_sc_hd__dfstp_2 _468_ (.D(_094_), .Q(\divider.even_0.counter[0] ), .SET_B(net3), .CLK(clknet_1_0_0_pll_clk), @@ -4131,7 +4166,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _445_ (.D(_064_), + sky130_fd_sc_hd__dfrtp_2 _469_ (.D(_095_), .Q(\divider.even_0.counter[1] ), .RESET_B(net3), .CLK(clknet_1_0_0_pll_clk), @@ -4139,7 +4174,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _446_ (.D(_065_), + sky130_fd_sc_hd__dfrtp_1 _470_ (.D(_096_), .Q(\divider.even_0.counter[2] ), .RESET_B(net3), .CLK(clknet_1_0_0_pll_clk), @@ -4147,7 +4182,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _447_ (.D(_066_), + sky130_fd_sc_hd__dfstp_1 _471_ (.D(_097_), .Q(\divider.odd_0.out_counter2 ), .SET_B(net3), .CLK(net18), @@ -4155,7 +4190,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _448_ (.D(_067_), + sky130_fd_sc_hd__dfrtn_1 _472_ (.D(_098_), .Q(\divider.odd_0.initial_begin[0] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk), @@ -4163,7 +4198,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _449_ (.D(_068_), + sky130_fd_sc_hd__dfstp_1 _473_ (.D(_099_), .Q(\divider.odd_0.initial_begin[1] ), .SET_B(net3), .CLK(net17), @@ -4171,7 +4206,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _450_ (.D(_069_), + sky130_fd_sc_hd__dfrtn_1 _474_ (.D(_100_), .Q(\divider.odd_0.initial_begin[2] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk), @@ -4179,15 +4214,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_2 _451_ (.D(_070_), + sky130_fd_sc_hd__dfrtp_2 _475_ (.D(_101_), .Q(\divider.odd_0.counter[0] ), .RESET_B(net3), - .CLK(clknet_1_0_0_pll_clk), + .CLK(clknet_1_1_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _452_ (.D(_071_), + sky130_fd_sc_hd__dfstp_1 _476_ (.D(_102_), .Q(\divider.odd_0.counter[1] ), .SET_B(net3), .CLK(clknet_1_0_0_pll_clk), @@ -4195,7 +4230,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _453_ (.D(_072_), + sky130_fd_sc_hd__dfrtp_1 _477_ (.D(_103_), .Q(\divider.odd_0.counter[2] ), .RESET_B(net3), .CLK(clknet_1_0_0_pll_clk), @@ -4203,15 +4238,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _454_ (.D(_073_), + sky130_fd_sc_hd__dfstp_1 _478_ (.D(_104_), .Q(\divider.odd_0.out_counter ), .SET_B(net3), - .CLK(clknet_1_1_0_pll_clk), + .CLK(clknet_1_0_0_pll_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _455_ (.D(_074_), + sky130_fd_sc_hd__dfstp_1 _479_ (.D(_105_), .Q(\divider2.even_0.out_counter ), .SET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4219,7 +4254,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _456_ (.D(_075_), + sky130_fd_sc_hd__dfrtn_1 _480_ (.D(_106_), .Q(\divider2.odd_0.counter2[0] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk90), @@ -4227,7 +4262,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _457_ (.D(_076_), + sky130_fd_sc_hd__dfstp_1 _481_ (.D(_107_), .Q(\divider2.odd_0.counter2[1] ), .SET_B(net3), .CLK(net16), @@ -4235,7 +4270,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _458_ (.D(_077_), + sky130_fd_sc_hd__dfrtn_1 _482_ (.D(_108_), .Q(\divider2.odd_0.counter2[2] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk90), @@ -4243,7 +4278,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _459_ (.D(_078_), + sky130_fd_sc_hd__dfstp_1 _483_ (.D(_109_), .Q(\divider2.odd_0.out_counter2 ), .SET_B(net3), .CLK(net15), @@ -4251,7 +4286,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _460_ (.D(_079_), + sky130_fd_sc_hd__dfrtn_1 _484_ (.D(_110_), .Q(\divider2.odd_0.initial_begin[0] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk90), @@ -4259,7 +4294,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _461_ (.D(_080_), + sky130_fd_sc_hd__dfstp_1 _485_ (.D(_111_), .Q(\divider2.odd_0.initial_begin[1] ), .SET_B(net3), .CLK(net14), @@ -4267,7 +4302,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtn_1 _462_ (.D(_081_), + sky130_fd_sc_hd__dfrtn_1 _486_ (.D(_112_), .Q(\divider2.odd_0.initial_begin[2] ), .RESET_B(net3), .CLK_N(clknet_1_0_0_pll_clk90), @@ -4275,15 +4310,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_2 _463_ (.D(_082_), + sky130_fd_sc_hd__dfrtp_2 _487_ (.D(_113_), .Q(\divider2.odd_0.counter[0] ), .RESET_B(net3), - .CLK(clknet_1_0_0_pll_clk90), + .CLK(clknet_1_1_0_pll_clk90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _464_ (.D(_083_), + sky130_fd_sc_hd__dfstp_1 _488_ (.D(_114_), .Q(\divider2.odd_0.counter[1] ), .SET_B(net3), .CLK(clknet_1_0_0_pll_clk90), @@ -4291,15 +4326,15 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _465_ (.D(_084_), + sky130_fd_sc_hd__dfrtp_1 _489_ (.D(_115_), .Q(\divider2.odd_0.counter[2] ), .RESET_B(net3), - .CLK(clknet_1_1_0_pll_clk90), + .CLK(clknet_1_0_0_pll_clk90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _466_ (.D(_085_), + sky130_fd_sc_hd__dfstp_1 _490_ (.D(_116_), .Q(\divider2.odd_0.out_counter ), .SET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4307,7 +4342,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_4 _467_ (.D(_086_), + sky130_fd_sc_hd__dfrtp_4 _491_ (.D(_117_), .Q(\divider2.odd_0.rst_pulse ), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4315,7 +4350,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfstp_1 _468_ (.D(_087_), + sky130_fd_sc_hd__dfstp_1 _492_ (.D(_118_), .Q(\divider2.even_0.counter[0] ), .SET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4323,7 +4358,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _469_ (.D(_088_), + sky130_fd_sc_hd__dfrtp_1 _493_ (.D(_119_), .Q(\divider2.even_0.counter[1] ), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4331,7 +4366,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dfrtp_1 _470_ (.D(_089_), + sky130_fd_sc_hd__dfrtp_1 _494_ (.D(_120_), .Q(\divider2.even_0.counter[2] ), .RESET_B(net3), .CLK(clknet_1_1_0_pll_clk90), @@ -4411,7 +4446,7 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 input1 (.A(ext_clk_sel), + sky130_fd_sc_hd__dlymetal6s2s_1 input1 (.A(ext_clk_sel), .X(net1), .VGND(VGND), .VNB(VGND), @@ -4483,22 +4518,82 @@ module caravel_clocking (VGND, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(_023_), + sky130_fd_sc_hd__clkbuf_1 rebuffer10 (.A(\divider.even_0.N[0] ), + .X(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 rebuffer11 (.A(\divider.even_0.N[0] ), + .X(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__dlygate4sd1_1 rebuffer12 (.A(net33), + .X(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 (.A(\divider.even_0.N[0] ), + .X(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 (.A(net35), + .X(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 (.A(_029_), + .X(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 rebuffer5 (.A(net37), .X(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__buf_2 rebuffer6 (.A(_003_), + sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(\divider.even_0.N[1] ), .X(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_2 split4 (.A(\divider.even_0.N[1] ), + sky130_fd_sc_hd__clkbuf_2 rebuffer7 (.A(\divider.even_0.N[1] ), + .X(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_1 rebuffer9 (.A(\divider.even_0.N[0] ), + .X(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_2 split15 (.A(\divider.even_0.N[2] ), + .X(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_4 split4 (.A(\divider.even_0.N[1] ), .X(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_fd_sc_hd__buf_2 split8 (.A(net37), + .X(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); endmodule