From bd011f7b2d67457354cfa382973a65c039aa188c Mon Sep 17 00:00:00 2001 From: marwaneltoukhy Date: Thu, 13 Oct 2022 10:48:27 -0700 Subject: [PATCH] added sdc file output from OL --- signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc index cd2fa641..d7e4db78 100644 --- a/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc +++ b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc @@ -1,12 +1,12 @@ ############################################################################### # Created by write_sdc -# Sun Oct 9 23:58:50 2022 +# Thu Oct 13 16:37:26 2022 ############################################################################### current_design mgmt_protect ############################################################################### # Timing Constraints ############################################################################### -create_clock -name v_clk -period 4.0000 +create_clock -name v_clk -period 10.0000 set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}] @@ -1635,4 +1635,4 @@ set_load -pin_load 0.2000 [get_ports {user_irq[0]}] ############################################################################### # Design Rules ############################################################################### -set_max_transition 0.7500 [current_design] +set_max_transition 1.2500 [current_design]